]> git.proxmox.com Git - mirror_ubuntu-artful-kernel.git/blame - drivers/net/bnx2x_reg.h
bnx2x: FW Internal Memory structure
[mirror_ubuntu-artful-kernel.git] / drivers / net / bnx2x_reg.h
CommitLineData
a2fbb9ea
ET
1/* bnx2x_reg.h: Broadcom Everest network driver.
2 *
f1410647 3 * Copyright (c) 2007-2008 Broadcom Corporation
a2fbb9ea
ET
4 *
5 * This program is free software; you can redistribute it and/or modify
6 * it under the terms of the GNU General Public License as published by
7 * the Free Software Foundation.
8 *
9 * The registers description starts with the regsister Access type followed
10 * by size in bits. For example [RW 32]. The access types are:
11 * R - Read only
12 * RC - Clear on read
13 * RW - Read/Write
14 * ST - Statistics register (clear on read)
15 * W - Write only
16 * WB - Wide bus register - the size is over 32 bits and it should be
17 * read/write in consecutive 32 bits accesses
18 * WR - Write Clear (write 1 to clear the bit)
19 *
20 */
21
22
23/* [R 19] Interrupt register #0 read */
24#define BRB1_REG_BRB1_INT_STS 0x6011c
25/* [RW 4] Parity mask register #0 read/write */
26#define BRB1_REG_BRB1_PRTY_MASK 0x60138
f1410647
ET
27/* [R 4] Parity register #0 read */
28#define BRB1_REG_BRB1_PRTY_STS 0x6012c
a2fbb9ea
ET
29/* [RW 10] At address BRB1_IND_FREE_LIST_PRS_CRDT initialize free head. At
30 address BRB1_IND_FREE_LIST_PRS_CRDT+1 initialize free tail. At address
31 BRB1_IND_FREE_LIST_PRS_CRDT+2 initialize parser initial credit. */
32#define BRB1_REG_FREE_LIST_PRS_CRDT 0x60200
33/* [RW 23] LL RAM data. */
34#define BRB1_REG_LL_RAM 0x61000
35/* [R 24] The number of full blocks. */
36#define BRB1_REG_NUM_OF_FULL_BLOCKS 0x60090
37/* [ST 32] The number of cycles that the write_full signal towards MAC #0
38 was asserted. */
39#define BRB1_REG_NUM_OF_FULL_CYCLES_0 0x600c8
40#define BRB1_REG_NUM_OF_FULL_CYCLES_1 0x600cc
a2fbb9ea
ET
41#define BRB1_REG_NUM_OF_FULL_CYCLES_4 0x600d8
42/* [ST 32] The number of cycles that the pause signal towards MAC #0 was
43 asserted. */
44#define BRB1_REG_NUM_OF_PAUSE_CYCLES_0 0x600b8
45#define BRB1_REG_NUM_OF_PAUSE_CYCLES_1 0x600bc
a2fbb9ea
ET
46/* [RW 10] Write client 0: De-assert pause threshold. */
47#define BRB1_REG_PAUSE_HIGH_THRESHOLD_0 0x60078
48#define BRB1_REG_PAUSE_HIGH_THRESHOLD_1 0x6007c
49/* [RW 10] Write client 0: Assert pause threshold. */
50#define BRB1_REG_PAUSE_LOW_THRESHOLD_0 0x60068
51#define BRB1_REG_PAUSE_LOW_THRESHOLD_1 0x6006c
34f80b04
EG
52/* [R 24] The number of full blocks occpied by port. */
53#define BRB1_REG_PORT_NUM_OCC_BLOCKS_0 0x60094
a2fbb9ea
ET
54/* [RW 1] Reset the design by software. */
55#define BRB1_REG_SOFT_RESET 0x600dc
56/* [R 5] Used to read the value of the XX protection CAM occupancy counter. */
57#define CCM_REG_CAM_OCCUP 0xd0188
58/* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
59 acknowledge output is deasserted; all other signals are treated as usual;
60 if 1 - normal activity. */
61#define CCM_REG_CCM_CFC_IFEN 0xd003c
62/* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
63 disregarded; valid is deasserted; all other signals are treated as usual;
64 if 1 - normal activity. */
65#define CCM_REG_CCM_CQM_IFEN 0xd000c
66/* [RW 1] If set the Q index; received from the QM is inserted to event ID.
67 Otherwise 0 is inserted. */
68#define CCM_REG_CCM_CQM_USE_Q 0xd00c0
69/* [RW 11] Interrupt mask register #0 read/write */
70#define CCM_REG_CCM_INT_MASK 0xd01e4
71/* [R 11] Interrupt register #0 read */
72#define CCM_REG_CCM_INT_STS 0xd01d8
c18487ee
YR
73/* [R 27] Parity register #0 read */
74#define CCM_REG_CCM_PRTY_STS 0xd01e8
a2fbb9ea
ET
75/* [RW 3] The size of AG context region 0 in REG-pairs. Designates the MS
76 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
77 Is used to determine the number of the AG context REG-pairs written back;
78 when the input message Reg1WbFlg isn't set. */
79#define CCM_REG_CCM_REG0_SZ 0xd00c4
80/* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
81 disregarded; valid is deasserted; all other signals are treated as usual;
82 if 1 - normal activity. */
83#define CCM_REG_CCM_STORM0_IFEN 0xd0004
84/* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
85 disregarded; valid is deasserted; all other signals are treated as usual;
86 if 1 - normal activity. */
87#define CCM_REG_CCM_STORM1_IFEN 0xd0008
88/* [RW 1] CDU AG read Interface enable. If 0 - the request input is
89 disregarded; valid output is deasserted; all other signals are treated as
90 usual; if 1 - normal activity. */
91#define CCM_REG_CDU_AG_RD_IFEN 0xd0030
92/* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
93 are disregarded; all other signals are treated as usual; if 1 - normal
94 activity. */
95#define CCM_REG_CDU_AG_WR_IFEN 0xd002c
96/* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
97 disregarded; valid output is deasserted; all other signals are treated as
98 usual; if 1 - normal activity. */
99#define CCM_REG_CDU_SM_RD_IFEN 0xd0038
100/* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
101 input is disregarded; all other signals are treated as usual; if 1 -
102 normal activity. */
103#define CCM_REG_CDU_SM_WR_IFEN 0xd0034
104/* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
105 the initial credit value; read returns the current value of the credit
106 counter. Must be initialized to 1 at start-up. */
107#define CCM_REG_CFC_INIT_CRD 0xd0204
108/* [RW 2] Auxillary counter flag Q number 1. */
109#define CCM_REG_CNT_AUX1_Q 0xd00c8
110/* [RW 2] Auxillary counter flag Q number 2. */
111#define CCM_REG_CNT_AUX2_Q 0xd00cc
112/* [RW 28] The CM header value for QM request (primary). */
113#define CCM_REG_CQM_CCM_HDR_P 0xd008c
114/* [RW 28] The CM header value for QM request (secondary). */
115#define CCM_REG_CQM_CCM_HDR_S 0xd0090
116/* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
117 acknowledge output is deasserted; all other signals are treated as usual;
118 if 1 - normal activity. */
119#define CCM_REG_CQM_CCM_IFEN 0xd0014
120/* [RW 6] QM output initial credit. Max credit available - 32. Write writes
121 the initial credit value; read returns the current value of the credit
122 counter. Must be initialized to 32 at start-up. */
123#define CCM_REG_CQM_INIT_CRD 0xd020c
124/* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
125 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
126 prioritised); 2 stands for weight 2; tc. */
127#define CCM_REG_CQM_P_WEIGHT 0xd00b8
128/* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
129 acknowledge output is deasserted; all other signals are treated as usual;
130 if 1 - normal activity. */
131#define CCM_REG_CSDM_IFEN 0xd0018
132/* [RC 1] Set when the message length mismatch (relative to last indication)
133 at the SDM interface is detected. */
134#define CCM_REG_CSDM_LENGTH_MIS 0xd0170
135/* [RW 28] The CM header for QM formatting in case of an error in the QM
136 inputs. */
137#define CCM_REG_ERR_CCM_HDR 0xd0094
138/* [RW 8] The Event ID in case the input message ErrorFlg is set. */
139#define CCM_REG_ERR_EVNT_ID 0xd0098
140/* [RW 8] FIC0 output initial credit. Max credit available - 255. Write
141 writes the initial credit value; read returns the current value of the
142 credit counter. Must be initialized to 64 at start-up. */
143#define CCM_REG_FIC0_INIT_CRD 0xd0210
144/* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
145 writes the initial credit value; read returns the current value of the
146 credit counter. Must be initialized to 64 at start-up. */
147#define CCM_REG_FIC1_INIT_CRD 0xd0214
148/* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
149 - strict priority defined by ~ccm_registers_gr_ag_pr.gr_ag_pr;
150 ~ccm_registers_gr_ld0_pr.gr_ld0_pr and
151 ~ccm_registers_gr_ld1_pr.gr_ld1_pr. Groups are according to channels and
152 outputs to STORM: aggregation; load FIC0; load FIC1 and store. */
153#define CCM_REG_GR_ARB_TYPE 0xd015c
154/* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
155 highest priority is 3. It is supposed; that the Store channel priority is
156 the compliment to 4 of the rest priorities - Aggregation channel; Load
157 (FIC0) channel and Load (FIC1). */
158#define CCM_REG_GR_LD0_PR 0xd0164
159/* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
160 highest priority is 3. It is supposed; that the Store channel priority is
161 the compliment to 4 of the rest priorities - Aggregation channel; Load
162 (FIC0) channel and Load (FIC1). */
163#define CCM_REG_GR_LD1_PR 0xd0168
164/* [RW 2] General flags index. */
165#define CCM_REG_INV_DONE_Q 0xd0108
166/* [RW 4] The number of double REG-pairs(128 bits); loaded from the STORM
167 context and sent to STORM; for a specific connection type. The double
168 REG-pairs are used in order to align to STORM context row size of 128
169 bits. The offset of these data in the STORM context is always 0. Index
170 _(0..15) stands for the connection type (one of 16). */
171#define CCM_REG_N_SM_CTX_LD_0 0xd004c
172#define CCM_REG_N_SM_CTX_LD_1 0xd0050
173#define CCM_REG_N_SM_CTX_LD_10 0xd0074
174#define CCM_REG_N_SM_CTX_LD_11 0xd0078
175#define CCM_REG_N_SM_CTX_LD_12 0xd007c
176#define CCM_REG_N_SM_CTX_LD_13 0xd0080
177#define CCM_REG_N_SM_CTX_LD_14 0xd0084
178#define CCM_REG_N_SM_CTX_LD_15 0xd0088
179#define CCM_REG_N_SM_CTX_LD_2 0xd0054
180#define CCM_REG_N_SM_CTX_LD_3 0xd0058
181#define CCM_REG_N_SM_CTX_LD_4 0xd005c
182/* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
183 acknowledge output is deasserted; all other signals are treated as usual;
184 if 1 - normal activity. */
185#define CCM_REG_PBF_IFEN 0xd0028
186/* [RC 1] Set when the message length mismatch (relative to last indication)
187 at the pbf interface is detected. */
188#define CCM_REG_PBF_LENGTH_MIS 0xd0180
189/* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
190 weight 8 (the most prioritised); 1 stands for weight 1(least
191 prioritised); 2 stands for weight 2; tc. */
192#define CCM_REG_PBF_WEIGHT 0xd00ac
a2fbb9ea
ET
193#define CCM_REG_PHYS_QNUM1_0 0xd0134
194#define CCM_REG_PHYS_QNUM1_1 0xd0138
a2fbb9ea
ET
195#define CCM_REG_PHYS_QNUM2_0 0xd013c
196#define CCM_REG_PHYS_QNUM2_1 0xd0140
a2fbb9ea 197#define CCM_REG_PHYS_QNUM3_0 0xd0144
c18487ee 198#define CCM_REG_PHYS_QNUM3_1 0xd0148
a2fbb9ea
ET
199#define CCM_REG_QOS_PHYS_QNUM0_0 0xd0114
200#define CCM_REG_QOS_PHYS_QNUM0_1 0xd0118
a2fbb9ea
ET
201#define CCM_REG_QOS_PHYS_QNUM1_0 0xd011c
202#define CCM_REG_QOS_PHYS_QNUM1_1 0xd0120
a2fbb9ea 203#define CCM_REG_QOS_PHYS_QNUM2_0 0xd0124
c18487ee
YR
204#define CCM_REG_QOS_PHYS_QNUM2_1 0xd0128
205#define CCM_REG_QOS_PHYS_QNUM3_0 0xd012c
206#define CCM_REG_QOS_PHYS_QNUM3_1 0xd0130
a2fbb9ea
ET
207/* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
208 disregarded; acknowledge output is deasserted; all other signals are
209 treated as usual; if 1 - normal activity. */
210#define CCM_REG_STORM_CCM_IFEN 0xd0010
211/* [RC 1] Set when the message length mismatch (relative to last indication)
212 at the STORM interface is detected. */
213#define CCM_REG_STORM_LENGTH_MIS 0xd016c
214/* [RW 1] Input tsem Interface enable. If 0 - the valid input is
215 disregarded; acknowledge output is deasserted; all other signals are
216 treated as usual; if 1 - normal activity. */
217#define CCM_REG_TSEM_IFEN 0xd001c
218/* [RC 1] Set when the message length mismatch (relative to last indication)
219 at the tsem interface is detected. */
220#define CCM_REG_TSEM_LENGTH_MIS 0xd0174
221/* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
222 weight 8 (the most prioritised); 1 stands for weight 1(least
223 prioritised); 2 stands for weight 2; tc. */
224#define CCM_REG_TSEM_WEIGHT 0xd00a0
225/* [RW 1] Input usem Interface enable. If 0 - the valid input is
226 disregarded; acknowledge output is deasserted; all other signals are
227 treated as usual; if 1 - normal activity. */
228#define CCM_REG_USEM_IFEN 0xd0024
229/* [RC 1] Set when message length mismatch (relative to last indication) at
230 the usem interface is detected. */
231#define CCM_REG_USEM_LENGTH_MIS 0xd017c
232/* [RW 3] The weight of the input usem in the WRR mechanism. 0 stands for
233 weight 8 (the most prioritised); 1 stands for weight 1(least
234 prioritised); 2 stands for weight 2; tc. */
235#define CCM_REG_USEM_WEIGHT 0xd00a8
236/* [RW 1] Input xsem Interface enable. If 0 - the valid input is
237 disregarded; acknowledge output is deasserted; all other signals are
238 treated as usual; if 1 - normal activity. */
239#define CCM_REG_XSEM_IFEN 0xd0020
240/* [RC 1] Set when the message length mismatch (relative to last indication)
241 at the xsem interface is detected. */
242#define CCM_REG_XSEM_LENGTH_MIS 0xd0178
243/* [RW 3] The weight of the input xsem in the WRR mechanism. 0 stands for
244 weight 8 (the most prioritised); 1 stands for weight 1(least
245 prioritised); 2 stands for weight 2; tc. */
246#define CCM_REG_XSEM_WEIGHT 0xd00a4
247/* [RW 19] Indirect access to the descriptor table of the XX protection
248 mechanism. The fields are: [5:0] - message length; [12:6] - message
249 pointer; 18:13] - next pointer. */
250#define CCM_REG_XX_DESCR_TABLE 0xd0300
c18487ee 251#define CCM_REG_XX_DESCR_TABLE_SIZE 36
a2fbb9ea
ET
252/* [R 7] Used to read the value of XX protection Free counter. */
253#define CCM_REG_XX_FREE 0xd0184
254/* [RW 6] Initial value for the credit counter; responsible for fulfilling
255 of the Input Stage XX protection buffer by the XX protection pending
256 messages. Max credit available - 127. Write writes the initial credit
257 value; read returns the current value of the credit counter. Must be
258 initialized to maximum XX protected message size - 2 at start-up. */
259#define CCM_REG_XX_INIT_CRD 0xd0220
260/* [RW 7] The maximum number of pending messages; which may be stored in XX
261 protection. At read the ~ccm_registers_xx_free.xx_free counter is read.
262 At write comprises the start value of the ~ccm_registers_xx_free.xx_free
263 counter. */
264#define CCM_REG_XX_MSG_NUM 0xd0224
265/* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
266#define CCM_REG_XX_OVFL_EVNT_ID 0xd0044
267/* [RW 18] Indirect access to the XX table of the XX protection mechanism.
268 The fields are: [5:0] - tail pointer; 11:6] - Link List size; 17:12] -
269 header pointer. */
270#define CCM_REG_XX_TABLE 0xd0280
271#define CDU_REG_CDU_CHK_MASK0 0x101000
272#define CDU_REG_CDU_CHK_MASK1 0x101004
273#define CDU_REG_CDU_CONTROL0 0x101008
274#define CDU_REG_CDU_DEBUG 0x101010
275#define CDU_REG_CDU_GLOBAL_PARAMS 0x101020
276/* [RW 7] Interrupt mask register #0 read/write */
277#define CDU_REG_CDU_INT_MASK 0x10103c
278/* [R 7] Interrupt register #0 read */
279#define CDU_REG_CDU_INT_STS 0x101030
280/* [RW 5] Parity mask register #0 read/write */
281#define CDU_REG_CDU_PRTY_MASK 0x10104c
f1410647
ET
282/* [R 5] Parity register #0 read */
283#define CDU_REG_CDU_PRTY_STS 0x101040
a2fbb9ea
ET
284/* [RC 32] logging of error data in case of a CDU load error:
285 {expected_cid[15:0]; xpected_type[2:0]; xpected_region[2:0]; ctive_error;
286 ype_error; ctual_active; ctual_compressed_context}; */
287#define CDU_REG_ERROR_DATA 0x101014
288/* [WB 216] L1TT ram access. each entry has the following format :
289 {mrege_regions[7:0]; ffset12[5:0]...offset0[5:0];
290 ength12[5:0]...length0[5:0]; d12[3:0]...id0[3:0]} */
291#define CDU_REG_L1TT 0x101800
292/* [WB 24] MATT ram access. each entry has the following
293 format:{RegionLength[11:0]; egionOffset[11:0]} */
294#define CDU_REG_MATT 0x101100
c18487ee
YR
295/* [RW 1] when this bit is set the CDU operates in e1hmf mode */
296#define CDU_REG_MF_MODE 0x101050
a2fbb9ea
ET
297/* [R 1] indication the initializing the activity counter by the hardware
298 was done. */
299#define CFC_REG_AC_INIT_DONE 0x104078
300/* [RW 13] activity counter ram access */
301#define CFC_REG_ACTIVITY_COUNTER 0x104400
302#define CFC_REG_ACTIVITY_COUNTER_SIZE 256
303/* [R 1] indication the initializing the cams by the hardware was done. */
304#define CFC_REG_CAM_INIT_DONE 0x10407c
305/* [RW 2] Interrupt mask register #0 read/write */
306#define CFC_REG_CFC_INT_MASK 0x104108
307/* [R 2] Interrupt register #0 read */
308#define CFC_REG_CFC_INT_STS 0x1040fc
309/* [RC 2] Interrupt register #0 read clear */
310#define CFC_REG_CFC_INT_STS_CLR 0x104100
311/* [RW 4] Parity mask register #0 read/write */
312#define CFC_REG_CFC_PRTY_MASK 0x104118
f1410647
ET
313/* [R 4] Parity register #0 read */
314#define CFC_REG_CFC_PRTY_STS 0x10410c
a2fbb9ea
ET
315/* [RW 21] CID cam access (21:1 - Data; alid - 0) */
316#define CFC_REG_CID_CAM 0x104800
317#define CFC_REG_CONTROL0 0x104028
318#define CFC_REG_DEBUG0 0x104050
319/* [RW 14] indicates per error (in #cfc_registers_cfc_error_vector.cfc_error
320 vector) whether the cfc should be disabled upon it */
321#define CFC_REG_DISABLE_ON_ERROR 0x104044
322/* [RC 14] CFC error vector. when the CFC detects an internal error it will
323 set one of these bits. the bit description can be found in CFC
324 specifications */
325#define CFC_REG_ERROR_VECTOR 0x10403c
326#define CFC_REG_INIT_REG 0x10404c
327/* [RW 24] {weight_load_client7[2:0] to weight_load_client0[2:0]}. this
328 field allows changing the priorities of the weighted-round-robin arbiter
329 which selects which CFC load client should be served next */
330#define CFC_REG_LCREQ_WEIGHTS 0x104084
c18487ee
YR
331/* [RW 16] Link List ram access; data = {prev_lcid; ext_lcid} */
332#define CFC_REG_LINK_LIST 0x104c00
333#define CFC_REG_LINK_LIST_SIZE 256
a2fbb9ea
ET
334/* [R 1] indication the initializing the link list by the hardware was done. */
335#define CFC_REG_LL_INIT_DONE 0x104074
336/* [R 9] Number of allocated LCIDs which are at empty state */
337#define CFC_REG_NUM_LCIDS_ALLOC 0x104020
338/* [R 9] Number of Arriving LCIDs in Link List Block */
339#define CFC_REG_NUM_LCIDS_ARRIVING 0x104004
340/* [R 9] Number of Inside LCIDs in Link List Block */
341#define CFC_REG_NUM_LCIDS_INSIDE 0x104008
342/* [R 9] Number of Leaving LCIDs in Link List Block */
343#define CFC_REG_NUM_LCIDS_LEAVING 0x104018
344/* [RW 8] The event id for aggregated interrupt 0 */
345#define CSDM_REG_AGG_INT_EVENT_0 0xc2038
c18487ee
YR
346#define CSDM_REG_AGG_INT_EVENT_1 0xc203c
347#define CSDM_REG_AGG_INT_EVENT_10 0xc2060
348#define CSDM_REG_AGG_INT_EVENT_11 0xc2064
349#define CSDM_REG_AGG_INT_EVENT_12 0xc2068
350#define CSDM_REG_AGG_INT_EVENT_13 0xc206c
351#define CSDM_REG_AGG_INT_EVENT_14 0xc2070
352#define CSDM_REG_AGG_INT_EVENT_15 0xc2074
353#define CSDM_REG_AGG_INT_EVENT_16 0xc2078
354#define CSDM_REG_AGG_INT_EVENT_17 0xc207c
355#define CSDM_REG_AGG_INT_EVENT_18 0xc2080
356#define CSDM_REG_AGG_INT_EVENT_19 0xc2084
357#define CSDM_REG_AGG_INT_EVENT_2 0xc2040
358#define CSDM_REG_AGG_INT_EVENT_20 0xc2088
359#define CSDM_REG_AGG_INT_EVENT_21 0xc208c
360#define CSDM_REG_AGG_INT_EVENT_22 0xc2090
361#define CSDM_REG_AGG_INT_EVENT_23 0xc2094
362#define CSDM_REG_AGG_INT_EVENT_24 0xc2098
363#define CSDM_REG_AGG_INT_EVENT_25 0xc209c
364#define CSDM_REG_AGG_INT_EVENT_26 0xc20a0
365#define CSDM_REG_AGG_INT_EVENT_27 0xc20a4
366#define CSDM_REG_AGG_INT_EVENT_28 0xc20a8
367#define CSDM_REG_AGG_INT_EVENT_29 0xc20ac
368#define CSDM_REG_AGG_INT_EVENT_3 0xc2044
369#define CSDM_REG_AGG_INT_EVENT_30 0xc20b0
370#define CSDM_REG_AGG_INT_EVENT_31 0xc20b4
371#define CSDM_REG_AGG_INT_EVENT_4 0xc2048
372/* [RW 1] The T bit for aggregated interrupt 0 */
373#define CSDM_REG_AGG_INT_T_0 0xc20b8
374#define CSDM_REG_AGG_INT_T_1 0xc20bc
375#define CSDM_REG_AGG_INT_T_10 0xc20e0
376#define CSDM_REG_AGG_INT_T_11 0xc20e4
377#define CSDM_REG_AGG_INT_T_12 0xc20e8
378#define CSDM_REG_AGG_INT_T_13 0xc20ec
379#define CSDM_REG_AGG_INT_T_14 0xc20f0
380#define CSDM_REG_AGG_INT_T_15 0xc20f4
381#define CSDM_REG_AGG_INT_T_16 0xc20f8
382#define CSDM_REG_AGG_INT_T_17 0xc20fc
383#define CSDM_REG_AGG_INT_T_18 0xc2100
384#define CSDM_REG_AGG_INT_T_19 0xc2104
a2fbb9ea
ET
385/* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
386#define CSDM_REG_CFC_RSP_START_ADDR 0xc2008
387/* [RW 16] The maximum value of the competion counter #0 */
388#define CSDM_REG_CMP_COUNTER_MAX0 0xc201c
389/* [RW 16] The maximum value of the competion counter #1 */
390#define CSDM_REG_CMP_COUNTER_MAX1 0xc2020
391/* [RW 16] The maximum value of the competion counter #2 */
392#define CSDM_REG_CMP_COUNTER_MAX2 0xc2024
393/* [RW 16] The maximum value of the competion counter #3 */
394#define CSDM_REG_CMP_COUNTER_MAX3 0xc2028
395/* [RW 13] The start address in the internal RAM for the completion
396 counters. */
397#define CSDM_REG_CMP_COUNTER_START_ADDR 0xc200c
398/* [RW 32] Interrupt mask register #0 read/write */
399#define CSDM_REG_CSDM_INT_MASK_0 0xc229c
400#define CSDM_REG_CSDM_INT_MASK_1 0xc22ac
c18487ee
YR
401/* [R 32] Interrupt register #0 read */
402#define CSDM_REG_CSDM_INT_STS_0 0xc2290
403#define CSDM_REG_CSDM_INT_STS_1 0xc22a0
a2fbb9ea
ET
404/* [RW 11] Parity mask register #0 read/write */
405#define CSDM_REG_CSDM_PRTY_MASK 0xc22bc
f1410647
ET
406/* [R 11] Parity register #0 read */
407#define CSDM_REG_CSDM_PRTY_STS 0xc22b0
a2fbb9ea
ET
408#define CSDM_REG_ENABLE_IN1 0xc2238
409#define CSDM_REG_ENABLE_IN2 0xc223c
410#define CSDM_REG_ENABLE_OUT1 0xc2240
411#define CSDM_REG_ENABLE_OUT2 0xc2244
412/* [RW 4] The initial number of messages that can be sent to the pxp control
413 interface without receiving any ACK. */
414#define CSDM_REG_INIT_CREDIT_PXP_CTRL 0xc24bc
415/* [ST 32] The number of ACK after placement messages received */
416#define CSDM_REG_NUM_OF_ACK_AFTER_PLACE 0xc227c
417/* [ST 32] The number of packet end messages received from the parser */
418#define CSDM_REG_NUM_OF_PKT_END_MSG 0xc2274
419/* [ST 32] The number of requests received from the pxp async if */
420#define CSDM_REG_NUM_OF_PXP_ASYNC_REQ 0xc2278
421/* [ST 32] The number of commands received in queue 0 */
422#define CSDM_REG_NUM_OF_Q0_CMD 0xc2248
423/* [ST 32] The number of commands received in queue 10 */
424#define CSDM_REG_NUM_OF_Q10_CMD 0xc226c
425/* [ST 32] The number of commands received in queue 11 */
426#define CSDM_REG_NUM_OF_Q11_CMD 0xc2270
427/* [ST 32] The number of commands received in queue 1 */
428#define CSDM_REG_NUM_OF_Q1_CMD 0xc224c
429/* [ST 32] The number of commands received in queue 3 */
430#define CSDM_REG_NUM_OF_Q3_CMD 0xc2250
431/* [ST 32] The number of commands received in queue 4 */
432#define CSDM_REG_NUM_OF_Q4_CMD 0xc2254
433/* [ST 32] The number of commands received in queue 5 */
434#define CSDM_REG_NUM_OF_Q5_CMD 0xc2258
435/* [ST 32] The number of commands received in queue 6 */
436#define CSDM_REG_NUM_OF_Q6_CMD 0xc225c
437/* [ST 32] The number of commands received in queue 7 */
438#define CSDM_REG_NUM_OF_Q7_CMD 0xc2260
439/* [ST 32] The number of commands received in queue 8 */
440#define CSDM_REG_NUM_OF_Q8_CMD 0xc2264
441/* [ST 32] The number of commands received in queue 9 */
442#define CSDM_REG_NUM_OF_Q9_CMD 0xc2268
443/* [RW 13] The start address in the internal RAM for queue counters */
444#define CSDM_REG_Q_COUNTER_START_ADDR 0xc2010
445/* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
446#define CSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0xc2548
447/* [R 1] parser fifo empty in sdm_sync block */
448#define CSDM_REG_SYNC_PARSER_EMPTY 0xc2550
449/* [R 1] parser serial fifo empty in sdm_sync block */
450#define CSDM_REG_SYNC_SYNC_EMPTY 0xc2558
451/* [RW 32] Tick for timer counter. Applicable only when
452 ~csdm_registers_timer_tick_enable.timer_tick_enable =1 */
453#define CSDM_REG_TIMER_TICK 0xc2000
454/* [RW 5] The number of time_slots in the arbitration cycle */
455#define CSEM_REG_ARB_CYCLE_SIZE 0x200034
456/* [RW 3] The source that is associated with arbitration element 0. Source
457 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
458 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
459#define CSEM_REG_ARB_ELEMENT0 0x200020
460/* [RW 3] The source that is associated with arbitration element 1. Source
461 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
462 sleeping thread with priority 1; 4- sleeping thread with priority 2.
463 Could not be equal to register ~csem_registers_arb_element0.arb_element0 */
464#define CSEM_REG_ARB_ELEMENT1 0x200024
465/* [RW 3] The source that is associated with arbitration element 2. Source
466 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
467 sleeping thread with priority 1; 4- sleeping thread with priority 2.
468 Could not be equal to register ~csem_registers_arb_element0.arb_element0
469 and ~csem_registers_arb_element1.arb_element1 */
470#define CSEM_REG_ARB_ELEMENT2 0x200028
471/* [RW 3] The source that is associated with arbitration element 3. Source
472 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
473 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
474 not be equal to register ~csem_registers_arb_element0.arb_element0 and
475 ~csem_registers_arb_element1.arb_element1 and
476 ~csem_registers_arb_element2.arb_element2 */
477#define CSEM_REG_ARB_ELEMENT3 0x20002c
478/* [RW 3] The source that is associated with arbitration element 4. Source
479 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
480 sleeping thread with priority 1; 4- sleeping thread with priority 2.
481 Could not be equal to register ~csem_registers_arb_element0.arb_element0
482 and ~csem_registers_arb_element1.arb_element1 and
483 ~csem_registers_arb_element2.arb_element2 and
484 ~csem_registers_arb_element3.arb_element3 */
485#define CSEM_REG_ARB_ELEMENT4 0x200030
486/* [RW 32] Interrupt mask register #0 read/write */
487#define CSEM_REG_CSEM_INT_MASK_0 0x200110
488#define CSEM_REG_CSEM_INT_MASK_1 0x200120
c18487ee
YR
489/* [R 32] Interrupt register #0 read */
490#define CSEM_REG_CSEM_INT_STS_0 0x200104
491#define CSEM_REG_CSEM_INT_STS_1 0x200114
a2fbb9ea
ET
492/* [RW 32] Parity mask register #0 read/write */
493#define CSEM_REG_CSEM_PRTY_MASK_0 0x200130
494#define CSEM_REG_CSEM_PRTY_MASK_1 0x200140
f1410647
ET
495/* [R 32] Parity register #0 read */
496#define CSEM_REG_CSEM_PRTY_STS_0 0x200124
497#define CSEM_REG_CSEM_PRTY_STS_1 0x200134
a2fbb9ea
ET
498#define CSEM_REG_ENABLE_IN 0x2000a4
499#define CSEM_REG_ENABLE_OUT 0x2000a8
500/* [RW 32] This address space contains all registers and memories that are
501 placed in SEM_FAST block. The SEM_FAST registers are described in
c18487ee
YR
502 appendix B. In order to access the sem_fast registers the base address
503 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
a2fbb9ea
ET
504#define CSEM_REG_FAST_MEMORY 0x220000
505/* [RW 1] Disables input messages from FIC0 May be updated during run_time
506 by the microcode */
507#define CSEM_REG_FIC0_DISABLE 0x200224
508/* [RW 1] Disables input messages from FIC1 May be updated during run_time
509 by the microcode */
510#define CSEM_REG_FIC1_DISABLE 0x200234
511/* [RW 15] Interrupt table Read and write access to it is not possible in
512 the middle of the work */
513#define CSEM_REG_INT_TABLE 0x200400
514/* [ST 24] Statistics register. The number of messages that entered through
515 FIC0 */
516#define CSEM_REG_MSG_NUM_FIC0 0x200000
517/* [ST 24] Statistics register. The number of messages that entered through
518 FIC1 */
519#define CSEM_REG_MSG_NUM_FIC1 0x200004
520/* [ST 24] Statistics register. The number of messages that were sent to
521 FOC0 */
522#define CSEM_REG_MSG_NUM_FOC0 0x200008
523/* [ST 24] Statistics register. The number of messages that were sent to
524 FOC1 */
525#define CSEM_REG_MSG_NUM_FOC1 0x20000c
526/* [ST 24] Statistics register. The number of messages that were sent to
527 FOC2 */
528#define CSEM_REG_MSG_NUM_FOC2 0x200010
529/* [ST 24] Statistics register. The number of messages that were sent to
530 FOC3 */
531#define CSEM_REG_MSG_NUM_FOC3 0x200014
532/* [RW 1] Disables input messages from the passive buffer May be updated
533 during run_time by the microcode */
534#define CSEM_REG_PAS_DISABLE 0x20024c
535/* [WB 128] Debug only. Passive buffer memory */
536#define CSEM_REG_PASSIVE_BUFFER 0x202000
537/* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
538#define CSEM_REG_PRAM 0x240000
539/* [R 16] Valid sleeping threads indication have bit per thread */
540#define CSEM_REG_SLEEP_THREADS_VALID 0x20026c
541/* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
542#define CSEM_REG_SLOW_EXT_STORE_EMPTY 0x2002a0
543/* [RW 16] List of free threads . There is a bit per thread. */
544#define CSEM_REG_THREADS_LIST 0x2002e4
545/* [RW 3] The arbitration scheme of time_slot 0 */
546#define CSEM_REG_TS_0_AS 0x200038
547/* [RW 3] The arbitration scheme of time_slot 10 */
548#define CSEM_REG_TS_10_AS 0x200060
549/* [RW 3] The arbitration scheme of time_slot 11 */
550#define CSEM_REG_TS_11_AS 0x200064
551/* [RW 3] The arbitration scheme of time_slot 12 */
552#define CSEM_REG_TS_12_AS 0x200068
553/* [RW 3] The arbitration scheme of time_slot 13 */
554#define CSEM_REG_TS_13_AS 0x20006c
555/* [RW 3] The arbitration scheme of time_slot 14 */
556#define CSEM_REG_TS_14_AS 0x200070
557/* [RW 3] The arbitration scheme of time_slot 15 */
558#define CSEM_REG_TS_15_AS 0x200074
559/* [RW 3] The arbitration scheme of time_slot 16 */
560#define CSEM_REG_TS_16_AS 0x200078
561/* [RW 3] The arbitration scheme of time_slot 17 */
562#define CSEM_REG_TS_17_AS 0x20007c
563/* [RW 3] The arbitration scheme of time_slot 18 */
564#define CSEM_REG_TS_18_AS 0x200080
565/* [RW 3] The arbitration scheme of time_slot 1 */
566#define CSEM_REG_TS_1_AS 0x20003c
567/* [RW 3] The arbitration scheme of time_slot 2 */
568#define CSEM_REG_TS_2_AS 0x200040
569/* [RW 3] The arbitration scheme of time_slot 3 */
570#define CSEM_REG_TS_3_AS 0x200044
571/* [RW 3] The arbitration scheme of time_slot 4 */
572#define CSEM_REG_TS_4_AS 0x200048
573/* [RW 3] The arbitration scheme of time_slot 5 */
574#define CSEM_REG_TS_5_AS 0x20004c
575/* [RW 3] The arbitration scheme of time_slot 6 */
576#define CSEM_REG_TS_6_AS 0x200050
577/* [RW 3] The arbitration scheme of time_slot 7 */
578#define CSEM_REG_TS_7_AS 0x200054
579/* [RW 3] The arbitration scheme of time_slot 8 */
580#define CSEM_REG_TS_8_AS 0x200058
581/* [RW 3] The arbitration scheme of time_slot 9 */
582#define CSEM_REG_TS_9_AS 0x20005c
583/* [RW 1] Parity mask register #0 read/write */
584#define DBG_REG_DBG_PRTY_MASK 0xc0a8
f1410647
ET
585/* [R 1] Parity register #0 read */
586#define DBG_REG_DBG_PRTY_STS 0xc09c
a2fbb9ea
ET
587/* [RW 32] Commands memory. The address to command X; row Y is to calculated
588 as 14*X+Y. */
589#define DMAE_REG_CMD_MEM 0x102400
34f80b04 590#define DMAE_REG_CMD_MEM_SIZE 224
a2fbb9ea
ET
591/* [RW 1] If 0 - the CRC-16c initial value is all zeroes; if 1 - the CRC-16c
592 initial value is all ones. */
593#define DMAE_REG_CRC16C_INIT 0x10201c
594/* [RW 1] If 0 - the CRC-16 T10 initial value is all zeroes; if 1 - the
595 CRC-16 T10 initial value is all ones. */
596#define DMAE_REG_CRC16T10_INIT 0x102020
597/* [RW 2] Interrupt mask register #0 read/write */
598#define DMAE_REG_DMAE_INT_MASK 0x102054
599/* [RW 4] Parity mask register #0 read/write */
600#define DMAE_REG_DMAE_PRTY_MASK 0x102064
f1410647
ET
601/* [R 4] Parity register #0 read */
602#define DMAE_REG_DMAE_PRTY_STS 0x102058
a2fbb9ea
ET
603/* [RW 1] Command 0 go. */
604#define DMAE_REG_GO_C0 0x102080
605/* [RW 1] Command 1 go. */
606#define DMAE_REG_GO_C1 0x102084
607/* [RW 1] Command 10 go. */
608#define DMAE_REG_GO_C10 0x102088
609#define DMAE_REG_GO_C10_SIZE 1
610/* [RW 1] Command 11 go. */
611#define DMAE_REG_GO_C11 0x10208c
612#define DMAE_REG_GO_C11_SIZE 1
613/* [RW 1] Command 12 go. */
614#define DMAE_REG_GO_C12 0x102090
615#define DMAE_REG_GO_C12_SIZE 1
616/* [RW 1] Command 13 go. */
617#define DMAE_REG_GO_C13 0x102094
618#define DMAE_REG_GO_C13_SIZE 1
619/* [RW 1] Command 14 go. */
620#define DMAE_REG_GO_C14 0x102098
621#define DMAE_REG_GO_C14_SIZE 1
622/* [RW 1] Command 15 go. */
623#define DMAE_REG_GO_C15 0x10209c
624#define DMAE_REG_GO_C15_SIZE 1
625/* [RW 1] Command 10 go. */
626#define DMAE_REG_GO_C10 0x102088
627/* [RW 1] Command 11 go. */
628#define DMAE_REG_GO_C11 0x10208c
629/* [RW 1] Command 12 go. */
630#define DMAE_REG_GO_C12 0x102090
631/* [RW 1] Command 13 go. */
632#define DMAE_REG_GO_C13 0x102094
633/* [RW 1] Command 14 go. */
634#define DMAE_REG_GO_C14 0x102098
635/* [RW 1] Command 15 go. */
636#define DMAE_REG_GO_C15 0x10209c
637/* [RW 1] Command 2 go. */
638#define DMAE_REG_GO_C2 0x1020a0
639/* [RW 1] Command 3 go. */
640#define DMAE_REG_GO_C3 0x1020a4
641/* [RW 1] Command 4 go. */
642#define DMAE_REG_GO_C4 0x1020a8
643/* [RW 1] Command 5 go. */
644#define DMAE_REG_GO_C5 0x1020ac
645/* [RW 1] Command 6 go. */
646#define DMAE_REG_GO_C6 0x1020b0
647/* [RW 1] Command 7 go. */
648#define DMAE_REG_GO_C7 0x1020b4
649/* [RW 1] Command 8 go. */
650#define DMAE_REG_GO_C8 0x1020b8
651/* [RW 1] Command 9 go. */
652#define DMAE_REG_GO_C9 0x1020bc
653/* [RW 1] DMAE GRC Interface (Target; aster) enable. If 0 - the acknowledge
654 input is disregarded; valid is deasserted; all other signals are treated
655 as usual; if 1 - normal activity. */
656#define DMAE_REG_GRC_IFEN 0x102008
657/* [RW 1] DMAE PCI Interface (Request; ead; rite) enable. If 0 - the
658 acknowledge input is disregarded; valid is deasserted; full is asserted;
659 all other signals are treated as usual; if 1 - normal activity. */
660#define DMAE_REG_PCI_IFEN 0x102004
661/* [RW 4] DMAE- PCI Request Interface initial credit. Write writes the
662 initial value to the credit counter; related to the address. Read returns
663 the current value of the counter. */
664#define DMAE_REG_PXP_REQ_INIT_CRD 0x1020c0
665/* [RW 8] Aggregation command. */
666#define DORQ_REG_AGG_CMD0 0x170060
667/* [RW 8] Aggregation command. */
668#define DORQ_REG_AGG_CMD1 0x170064
669/* [RW 8] Aggregation command. */
670#define DORQ_REG_AGG_CMD2 0x170068
671/* [RW 8] Aggregation command. */
672#define DORQ_REG_AGG_CMD3 0x17006c
673/* [RW 28] UCM Header. */
674#define DORQ_REG_CMHEAD_RX 0x170050
c18487ee
YR
675/* [RW 32] Doorbell address for RBC doorbells (function 0). */
676#define DORQ_REG_DB_ADDR0 0x17008c
a2fbb9ea
ET
677/* [RW 5] Interrupt mask register #0 read/write */
678#define DORQ_REG_DORQ_INT_MASK 0x170180
679/* [R 5] Interrupt register #0 read */
680#define DORQ_REG_DORQ_INT_STS 0x170174
681/* [RC 5] Interrupt register #0 read clear */
682#define DORQ_REG_DORQ_INT_STS_CLR 0x170178
683/* [RW 2] Parity mask register #0 read/write */
684#define DORQ_REG_DORQ_PRTY_MASK 0x170190
f1410647
ET
685/* [R 2] Parity register #0 read */
686#define DORQ_REG_DORQ_PRTY_STS 0x170184
a2fbb9ea
ET
687/* [RW 8] The address to write the DPM CID to STORM. */
688#define DORQ_REG_DPM_CID_ADDR 0x170044
689/* [RW 5] The DPM mode CID extraction offset. */
690#define DORQ_REG_DPM_CID_OFST 0x170030
691/* [RW 12] The threshold of the DQ FIFO to send the almost full interrupt. */
692#define DORQ_REG_DQ_FIFO_AFULL_TH 0x17007c
693/* [RW 12] The threshold of the DQ FIFO to send the full interrupt. */
694#define DORQ_REG_DQ_FIFO_FULL_TH 0x170078
695/* [R 13] Current value of the DQ FIFO fill level according to following
696 pointer. The range is 0 - 256 FIFO rows; where each row stands for the
697 doorbell. */
698#define DORQ_REG_DQ_FILL_LVLF 0x1700a4
699/* [R 1] DQ FIFO full status. Is set; when FIFO filling level is more or
700 equal to full threshold; reset on full clear. */
701#define DORQ_REG_DQ_FULL_ST 0x1700c0
702/* [RW 28] The value sent to CM header in the case of CFC load error. */
703#define DORQ_REG_ERR_CMHEAD 0x170058
704#define DORQ_REG_IF_EN 0x170004
705#define DORQ_REG_MODE_ACT 0x170008
706/* [RW 5] The normal mode CID extraction offset. */
707#define DORQ_REG_NORM_CID_OFST 0x17002c
708/* [RW 28] TCM Header when only TCP context is loaded. */
709#define DORQ_REG_NORM_CMHEAD_TX 0x17004c
710/* [RW 3] The number of simultaneous outstanding requests to Context Fetch
711 Interface. */
712#define DORQ_REG_OUTST_REQ 0x17003c
713#define DORQ_REG_REGN 0x170038
714/* [R 4] Current value of response A counter credit. Initial credit is
715 configured through write to ~dorq_registers_rsp_init_crd.rsp_init_crd
716 register. */
717#define DORQ_REG_RSPA_CRD_CNT 0x1700ac
718/* [R 4] Current value of response B counter credit. Initial credit is
719 configured through write to ~dorq_registers_rsp_init_crd.rsp_init_crd
720 register. */
721#define DORQ_REG_RSPB_CRD_CNT 0x1700b0
722/* [RW 4] The initial credit at the Doorbell Response Interface. The write
723 writes the same initial credit to the rspa_crd_cnt and rspb_crd_cnt. The
724 read reads this written value. */
725#define DORQ_REG_RSP_INIT_CRD 0x170048
726/* [RW 4] Initial activity counter value on the load request; when the
727 shortcut is done. */
728#define DORQ_REG_SHRT_ACT_CNT 0x170070
729/* [RW 28] TCM Header when both ULP and TCP context is loaded. */
730#define DORQ_REG_SHRT_CMHEAD 0x170054
731#define HC_CONFIG_0_REG_ATTN_BIT_EN_0 (0x1<<4)
732#define HC_CONFIG_0_REG_INT_LINE_EN_0 (0x1<<3)
733#define HC_CONFIG_0_REG_MSI_MSIX_INT_EN_0 (0x1<<2)
734#define HC_CONFIG_0_REG_SINGLE_ISR_EN_0 (0x1<<1)
735#define HC_REG_AGG_INT_0 0x108050
736#define HC_REG_AGG_INT_1 0x108054
a2fbb9ea 737#define HC_REG_ATTN_BIT 0x108120
a2fbb9ea 738#define HC_REG_ATTN_IDX 0x108100
a2fbb9ea 739#define HC_REG_ATTN_MSG0_ADDR_L 0x108018
a2fbb9ea 740#define HC_REG_ATTN_MSG1_ADDR_L 0x108020
a2fbb9ea 741#define HC_REG_ATTN_NUM_P0 0x108038
a2fbb9ea
ET
742#define HC_REG_ATTN_NUM_P1 0x10803c
743#define HC_REG_CONFIG_0 0x108000
744#define HC_REG_CONFIG_1 0x108004
c18487ee
YR
745#define HC_REG_FUNC_NUM_P0 0x1080ac
746#define HC_REG_FUNC_NUM_P1 0x1080b0
a2fbb9ea
ET
747/* [RW 3] Parity mask register #0 read/write */
748#define HC_REG_HC_PRTY_MASK 0x1080a0
f1410647
ET
749/* [R 3] Parity register #0 read */
750#define HC_REG_HC_PRTY_STS 0x108094
a2fbb9ea 751#define HC_REG_INT_MASK 0x108108
a2fbb9ea
ET
752#define HC_REG_LEADING_EDGE_0 0x108040
753#define HC_REG_LEADING_EDGE_1 0x108048
a2fbb9ea 754#define HC_REG_P0_PROD_CONS 0x108200
a2fbb9ea 755#define HC_REG_P1_PROD_CONS 0x108400
a2fbb9ea
ET
756#define HC_REG_PBA_COMMAND 0x108140
757#define HC_REG_PCI_CONFIG_0 0x108010
758#define HC_REG_PCI_CONFIG_1 0x108014
a2fbb9ea 759#define HC_REG_STATISTIC_COUNTERS 0x109000
a2fbb9ea
ET
760#define HC_REG_TRAILING_EDGE_0 0x108044
761#define HC_REG_TRAILING_EDGE_1 0x10804c
762#define HC_REG_UC_RAM_ADDR_0 0x108028
763#define HC_REG_UC_RAM_ADDR_1 0x108030
a2fbb9ea
ET
764#define HC_REG_USTORM_ADDR_FOR_COALESCE 0x108068
765#define HC_REG_VQID_0 0x108008
766#define HC_REG_VQID_1 0x10800c
767#define MCP_REG_MCPR_NVM_ACCESS_ENABLE 0x86424
768#define MCP_REG_MCPR_NVM_ADDR 0x8640c
769#define MCP_REG_MCPR_NVM_CFG4 0x8642c
770#define MCP_REG_MCPR_NVM_COMMAND 0x86400
771#define MCP_REG_MCPR_NVM_READ 0x86410
772#define MCP_REG_MCPR_NVM_SW_ARB 0x86420
773#define MCP_REG_MCPR_NVM_WRITE 0x86408
774#define MCP_REG_MCPR_NVM_WRITE1 0x86428
775#define MCP_REG_MCPR_SCRATCH 0xa0000
776/* [R 32] read first 32 bit after inversion of function 0. mapped as
777 follows: [0] NIG attention for function0; [1] NIG attention for
778 function1; [2] GPIO1 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp;
779 [6] GPIO1 function 1; [7] GPIO2 function 1; [8] GPIO3 function 1; [9]
780 GPIO4 function 1; [10] PCIE glue/PXP VPD event function0; [11] PCIE
781 glue/PXP VPD event function1; [12] PCIE glue/PXP Expansion ROM event0;
782 [13] PCIE glue/PXP Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16]
783 MSI/X indication for mcp; [17] MSI/X indication for function 1; [18] BRB
784 Parity error; [19] BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw
785 interrupt; [22] SRC Parity error; [23] SRC Hw interrupt; [24] TSDM Parity
786 error; [25] TSDM Hw interrupt; [26] TCM Parity error; [27] TCM Hw
787 interrupt; [28] TSEMI Parity error; [29] TSEMI Hw interrupt; [30] PBF
788 Parity error; [31] PBF Hw interrupt; */
789#define MISC_REG_AEU_AFTER_INVERT_1_FUNC_0 0xa42c
790#define MISC_REG_AEU_AFTER_INVERT_1_FUNC_1 0xa430
791/* [R 32] read first 32 bit after inversion of mcp. mapped as follows: [0]
792 NIG attention for function0; [1] NIG attention for function1; [2] GPIO1
793 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1;
794 [7] GPIO2 function 1; [8] GPIO3 function 1; [9] GPIO4 function 1; [10]
795 PCIE glue/PXP VPD event function0; [11] PCIE glue/PXP VPD event
796 function1; [12] PCIE glue/PXP Expansion ROM event0; [13] PCIE glue/PXP
797 Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16] MSI/X indication for
798 mcp; [17] MSI/X indication for function 1; [18] BRB Parity error; [19]
799 BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC
800 Parity error; [23] SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw
801 interrupt; [26] TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI
802 Parity error; [29] TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw
803 interrupt; */
804#define MISC_REG_AEU_AFTER_INVERT_1_MCP 0xa434
805/* [R 32] read second 32 bit after inversion of function 0. mapped as
806 follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
807 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
808 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
809 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
810 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
811 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
812 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
813 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
814 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
815 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
816 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
817 interrupt; */
818#define MISC_REG_AEU_AFTER_INVERT_2_FUNC_0 0xa438
819#define MISC_REG_AEU_AFTER_INVERT_2_FUNC_1 0xa43c
820/* [R 32] read second 32 bit after inversion of mcp. mapped as follows: [0]
821 PBClient Parity error; [1] PBClient Hw interrupt; [2] QM Parity error;
822 [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw interrupt;
823 [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity error; [9]
824 XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw interrupt; [12]
825 DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14] NIG Parity
826 error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error; [17] Vaux
827 PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw interrupt;
828 [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM Parity error;
829 [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI Hw interrupt;
830 [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM Parity error;
831 [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw interrupt; */
832#define MISC_REG_AEU_AFTER_INVERT_2_MCP 0xa440
833/* [R 32] read third 32 bit after inversion of function 0. mapped as
834 follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP Parity
835 error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error; [5]
836 PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
837 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
838 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
839 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
840 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
841 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
842 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
843 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
844 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
845 attn1; */
846#define MISC_REG_AEU_AFTER_INVERT_3_FUNC_0 0xa444
847#define MISC_REG_AEU_AFTER_INVERT_3_FUNC_1 0xa448
848/* [R 32] read third 32 bit after inversion of mcp. mapped as follows: [0]
849 CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP Parity error; [3] PXP
850 Hw interrupt; [4] PXPpciClockClient Parity error; [5] PXPpciClockClient
851 Hw interrupt; [6] CFC Parity error; [7] CFC Hw interrupt; [8] CDU Parity
852 error; [9] CDU Hw interrupt; [10] DMAE Parity error; [11] DMAE Hw
853 interrupt; [12] IGU (HC) Parity error; [13] IGU (HC) Hw interrupt; [14]
854 MISC Parity error; [15] MISC Hw interrupt; [16] pxp_misc_mps_attn; [17]
855 Flash event; [18] SMB event; [19] MCP attn0; [20] MCP attn1; [21] SW
856 timers attn_1 func0; [22] SW timers attn_2 func0; [23] SW timers attn_3
857 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW timers attn_1
858 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3 func1; [29] SW
859 timers attn_4 func1; [30] General attn0; [31] General attn1; */
860#define MISC_REG_AEU_AFTER_INVERT_3_MCP 0xa44c
861/* [R 32] read fourth 32 bit after inversion of function 0. mapped as
862 follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
863 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
864 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
865 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
866 [14] General attn16; [15] General attn17; [16] General attn18; [17]
867 General attn19; [18] General attn20; [19] General attn21; [20] Main power
868 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
869 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
870 Latched timeout attention; [27] GRC Latched reserved access attention;
871 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
872 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
873#define MISC_REG_AEU_AFTER_INVERT_4_FUNC_0 0xa450
874#define MISC_REG_AEU_AFTER_INVERT_4_FUNC_1 0xa454
875/* [R 32] read fourth 32 bit after inversion of mcp. mapped as follows: [0]
876 General attn2; [1] General attn3; [2] General attn4; [3] General attn5;
877 [4] General attn6; [5] General attn7; [6] General attn8; [7] General
878 attn9; [8] General attn10; [9] General attn11; [10] General attn12; [11]
879 General attn13; [12] General attn14; [13] General attn15; [14] General
880 attn16; [15] General attn17; [16] General attn18; [17] General attn19;
881 [18] General attn20; [19] General attn21; [20] Main power interrupt; [21]
882 RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN Latched attn; [24]
883 RBCU Latched attn; [25] RBCP Latched attn; [26] GRC Latched timeout
884 attention; [27] GRC Latched reserved access attention; [28] MCP Latched
885 rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP Latched
886 ump_tx_parity; [31] MCP Latched scpad_parity; */
887#define MISC_REG_AEU_AFTER_INVERT_4_MCP 0xa458
c18487ee 888/* [W 14] write to this register results with the clear of the latched
a2fbb9ea
ET
889 signals; one in d0 clears RBCR latch; one in d1 clears RBCT latch; one in
890 d2 clears RBCN latch; one in d3 clears RBCU latch; one in d4 clears RBCP
891 latch; one in d5 clears GRC Latched timeout attention; one in d6 clears
892 GRC Latched reserved access attention; one in d7 clears Latched
893 rom_parity; one in d8 clears Latched ump_rx_parity; one in d9 clears
c18487ee
YR
894 Latched ump_tx_parity; one in d10 clears Latched scpad_parity (both
895 ports); one in d11 clears pxpv_misc_mps_attn; one in d12 clears
896 pxp_misc_exp_rom_attn0; one in d13 clears pxp_misc_exp_rom_attn1; read
897 from this register return zero */
a2fbb9ea
ET
898#define MISC_REG_AEU_CLR_LATCH_SIGNAL 0xa45c
899/* [RW 32] first 32b for enabling the output for function 0 output0. mapped
900 as follows: [0] NIG attention for function0; [1] NIG attention for
901 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
902 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
903 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
904 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
905 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
906 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
907 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
908 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
909 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
910 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
911 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
912#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_0 0xa06c
913#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_1 0xa07c
c18487ee 914#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_2 0xa08c
a2fbb9ea 915#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_3 0xa09c
c18487ee
YR
916#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_5 0xa0bc
917#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_6 0xa0cc
918#define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_7 0xa0dc
a2fbb9ea
ET
919/* [RW 32] first 32b for enabling the output for function 1 output0. mapped
920 as follows: [0] NIG attention for function0; [1] NIG attention for
921 function1; [2] GPIO1 function 1; [3] GPIO2 function 1; [4] GPIO3 function
922 1; [5] GPIO4 function 1; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
923 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
924 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
925 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
926 SPIO4; [15] SPIO5; [16] MSI/X indication for function 1; [17] MSI/X
927 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
928 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
929 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
930 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
931 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
932#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_0 0xa10c
933#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_1 0xa11c
c18487ee 934#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_2 0xa12c
a2fbb9ea 935#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_3 0xa13c
c18487ee
YR
936#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_5 0xa15c
937#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_6 0xa16c
938#define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_7 0xa17c
939/* [RW 32] first 32b for enabling the output for close the gate nig. mapped
940 as follows: [0] NIG attention for function0; [1] NIG attention for
a2fbb9ea
ET
941 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
942 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
943 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
944 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
945 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
946 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
947 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
948 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
949 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
950 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
951 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
952#define MISC_REG_AEU_ENABLE1_NIG_0 0xa0ec
953#define MISC_REG_AEU_ENABLE1_NIG_1 0xa18c
c18487ee
YR
954/* [RW 32] first 32b for enabling the output for close the gate pxp. mapped
955 as follows: [0] NIG attention for function0; [1] NIG attention for
a2fbb9ea
ET
956 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
957 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
958 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
959 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
960 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
961 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
962 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
963 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
964 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
965 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
966 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
967#define MISC_REG_AEU_ENABLE1_PXP_0 0xa0fc
968#define MISC_REG_AEU_ENABLE1_PXP_1 0xa19c
969/* [RW 32] second 32b for enabling the output for function 0 output0. mapped
970 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
971 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
972 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
973 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
974 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
975 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
976 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
977 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
978 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
979 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
980 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
981 interrupt; */
982#define MISC_REG_AEU_ENABLE2_FUNC_0_OUT_0 0xa070
983#define MISC_REG_AEU_ENABLE2_FUNC_0_OUT_1 0xa080
984/* [RW 32] second 32b for enabling the output for function 1 output0. mapped
985 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
986 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
987 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
988 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
989 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
990 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
991 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
992 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
993 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
994 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
995 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
996 interrupt; */
997#define MISC_REG_AEU_ENABLE2_FUNC_1_OUT_0 0xa110
998#define MISC_REG_AEU_ENABLE2_FUNC_1_OUT_1 0xa120
c18487ee
YR
999/* [RW 32] second 32b for enabling the output for close the gate nig. mapped
1000 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1001 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1002 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1003 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1004 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1005 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1006 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1007 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1008 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1009 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1010 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1011 interrupt; */
a2fbb9ea
ET
1012#define MISC_REG_AEU_ENABLE2_NIG_0 0xa0f0
1013#define MISC_REG_AEU_ENABLE2_NIG_1 0xa190
c18487ee
YR
1014/* [RW 32] second 32b for enabling the output for close the gate pxp. mapped
1015 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1016 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1017 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1018 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1019 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1020 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1021 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1022 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1023 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1024 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1025 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1026 interrupt; */
a2fbb9ea
ET
1027#define MISC_REG_AEU_ENABLE2_PXP_0 0xa100
1028#define MISC_REG_AEU_ENABLE2_PXP_1 0xa1a0
1029/* [RW 32] third 32b for enabling the output for function 0 output0. mapped
1030 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1031 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1032 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1033 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1034 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1035 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1036 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1037 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1038 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1039 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1040 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1041 attn1; */
1042#define MISC_REG_AEU_ENABLE3_FUNC_0_OUT_0 0xa074
1043#define MISC_REG_AEU_ENABLE3_FUNC_0_OUT_1 0xa084
1044/* [RW 32] third 32b for enabling the output for function 1 output0. mapped
1045 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1046 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1047 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1048 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1049 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1050 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1051 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1052 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1053 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1054 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1055 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1056 attn1; */
1057#define MISC_REG_AEU_ENABLE3_FUNC_1_OUT_0 0xa114
1058#define MISC_REG_AEU_ENABLE3_FUNC_1_OUT_1 0xa124
c18487ee
YR
1059/* [RW 32] third 32b for enabling the output for close the gate nig. mapped
1060 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1061 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1062 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1063 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1064 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1065 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1066 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1067 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1068 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1069 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1070 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1071 attn1; */
a2fbb9ea
ET
1072#define MISC_REG_AEU_ENABLE3_NIG_0 0xa0f4
1073#define MISC_REG_AEU_ENABLE3_NIG_1 0xa194
c18487ee
YR
1074/* [RW 32] third 32b for enabling the output for close the gate pxp. mapped
1075 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1076 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1077 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1078 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1079 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1080 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1081 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1082 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1083 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1084 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1085 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1086 attn1; */
a2fbb9ea
ET
1087#define MISC_REG_AEU_ENABLE3_PXP_0 0xa104
1088#define MISC_REG_AEU_ENABLE3_PXP_1 0xa1a4
1089/* [RW 32] fourth 32b for enabling the output for function 0 output0.mapped
1090 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1091 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1092 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1093 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1094 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1095 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1096 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1097 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1098 Latched timeout attention; [27] GRC Latched reserved access attention;
1099 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1100 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1101#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_0 0xa078
1102#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_2 0xa098
c18487ee
YR
1103#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_4 0xa0b8
1104#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_5 0xa0c8
1105#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_6 0xa0d8
1106#define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_7 0xa0e8
a2fbb9ea
ET
1107/* [RW 32] fourth 32b for enabling the output for function 1 output0.mapped
1108 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1109 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1110 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1111 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1112 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1113 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1114 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1115 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1116 Latched timeout attention; [27] GRC Latched reserved access attention;
1117 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1118 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1119#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_0 0xa118
1120#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_2 0xa138
c18487ee
YR
1121#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_4 0xa158
1122#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_5 0xa168
1123#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_6 0xa178
1124#define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_7 0xa188
1125/* [RW 32] fourth 32b for enabling the output for close the gate nig.mapped
1126 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1127 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1128 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1129 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1130 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1131 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1132 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1133 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1134 Latched timeout attention; [27] GRC Latched reserved access attention;
1135 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1136 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
a2fbb9ea
ET
1137#define MISC_REG_AEU_ENABLE4_NIG_0 0xa0f8
1138#define MISC_REG_AEU_ENABLE4_NIG_1 0xa198
c18487ee
YR
1139/* [RW 32] fourth 32b for enabling the output for close the gate pxp.mapped
1140 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1141 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1142 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1143 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1144 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1145 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1146 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1147 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1148 Latched timeout attention; [27] GRC Latched reserved access attention;
1149 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1150 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
a2fbb9ea
ET
1151#define MISC_REG_AEU_ENABLE4_PXP_0 0xa108
1152#define MISC_REG_AEU_ENABLE4_PXP_1 0xa1a8
1153/* [RW 1] set/clr general attention 0; this will set/clr bit 94 in the aeu
1154 128 bit vector */
1155#define MISC_REG_AEU_GENERAL_ATTN_0 0xa000
1156#define MISC_REG_AEU_GENERAL_ATTN_1 0xa004
1157#define MISC_REG_AEU_GENERAL_ATTN_10 0xa028
1158#define MISC_REG_AEU_GENERAL_ATTN_11 0xa02c
1159#define MISC_REG_AEU_GENERAL_ATTN_12 0xa030
1160#define MISC_REG_AEU_GENERAL_ATTN_13 0xa034
1161#define MISC_REG_AEU_GENERAL_ATTN_14 0xa038
1162#define MISC_REG_AEU_GENERAL_ATTN_15 0xa03c
1163#define MISC_REG_AEU_GENERAL_ATTN_16 0xa040
1164#define MISC_REG_AEU_GENERAL_ATTN_17 0xa044
1165#define MISC_REG_AEU_GENERAL_ATTN_18 0xa048
1166#define MISC_REG_AEU_GENERAL_ATTN_19 0xa04c
f1410647 1167#define MISC_REG_AEU_GENERAL_ATTN_10 0xa028
a2fbb9ea 1168#define MISC_REG_AEU_GENERAL_ATTN_11 0xa02c
c18487ee 1169#define MISC_REG_AEU_GENERAL_ATTN_12 0xa030
a2fbb9ea
ET
1170#define MISC_REG_AEU_GENERAL_ATTN_2 0xa008
1171#define MISC_REG_AEU_GENERAL_ATTN_20 0xa050
1172#define MISC_REG_AEU_GENERAL_ATTN_21 0xa054
1173#define MISC_REG_AEU_GENERAL_ATTN_3 0xa00c
1174#define MISC_REG_AEU_GENERAL_ATTN_4 0xa010
1175#define MISC_REG_AEU_GENERAL_ATTN_5 0xa014
1176#define MISC_REG_AEU_GENERAL_ATTN_6 0xa018
f1410647
ET
1177#define MISC_REG_AEU_GENERAL_ATTN_7 0xa01c
1178#define MISC_REG_AEU_GENERAL_ATTN_8 0xa020
1179#define MISC_REG_AEU_GENERAL_ATTN_9 0xa024
c18487ee 1180#define MISC_REG_AEU_GENERAL_MASK 0xa61c
a2fbb9ea
ET
1181/* [RW 32] first 32b for inverting the input for function 0; for each bit:
1182 0= do not invert; 1= invert; mapped as follows: [0] NIG attention for
1183 function0; [1] NIG attention for function1; [2] GPIO1 mcp; [3] GPIO2 mcp;
1184 [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1; [7] GPIO2 function 1;
1185 [8] GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1186 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1187 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1188 SPIO4; [15] SPIO5; [16] MSI/X indication for mcp; [17] MSI/X indication
1189 for function 1; [18] BRB Parity error; [19] BRB Hw interrupt; [20] PRS
1190 Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23] SRC Hw
1191 interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26] TCM
1192 Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29] TSEMI
1193 Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1194#define MISC_REG_AEU_INVERTER_1_FUNC_0 0xa22c
1195#define MISC_REG_AEU_INVERTER_1_FUNC_1 0xa23c
1196/* [RW 32] second 32b for inverting the input for function 0; for each bit:
1197 0= do not invert; 1= invert. mapped as follows: [0] PBClient Parity
1198 error; [1] PBClient Hw interrupt; [2] QM Parity error; [3] QM Hw
1199 interrupt; [4] Timers Parity error; [5] Timers Hw interrupt; [6] XSDM
1200 Parity error; [7] XSDM Hw interrupt; [8] XCM Parity error; [9] XCM Hw
1201 interrupt; [10] XSEMI Parity error; [11] XSEMI Hw interrupt; [12]
1202 DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14] NIG Parity
1203 error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error; [17] Vaux
1204 PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw interrupt;
1205 [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM Parity error;
1206 [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI Hw interrupt;
1207 [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM Parity error;
1208 [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw interrupt; */
1209#define MISC_REG_AEU_INVERTER_2_FUNC_0 0xa230
1210#define MISC_REG_AEU_INVERTER_2_FUNC_1 0xa240
1211/* [RW 10] [7:0] = mask 8 attention output signals toward IGU function0;
c18487ee 1212 [9:8] = raserved. Zero = mask; one = unmask */
a2fbb9ea
ET
1213#define MISC_REG_AEU_MASK_ATTN_FUNC_0 0xa060
1214#define MISC_REG_AEU_MASK_ATTN_FUNC_1 0xa064
c18487ee
YR
1215/* [RW 1] If set a system kill occurred */
1216#define MISC_REG_AEU_SYS_KILL_OCCURRED 0xa610
1217/* [RW 32] Represent the status of the input vector to the AEU when a system
1218 kill occurred. The register is reset in por reset. Mapped as follows: [0]
1219 NIG attention for function0; [1] NIG attention for function1; [2] GPIO1
1220 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1;
1221 [7] GPIO2 function 1; [8] GPIO3 function 1; [9] GPIO4 function 1; [10]
1222 PCIE glue/PXP VPD event function0; [11] PCIE glue/PXP VPD event
1223 function1; [12] PCIE glue/PXP Expansion ROM event0; [13] PCIE glue/PXP
1224 Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16] MSI/X indication for
1225 mcp; [17] MSI/X indication for function 1; [18] BRB Parity error; [19]
1226 BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC
1227 Parity error; [23] SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw
1228 interrupt; [26] TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI
1229 Parity error; [29] TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw
1230 interrupt; */
1231#define MISC_REG_AEU_SYS_KILL_STATUS_0 0xa600
1232#define MISC_REG_AEU_SYS_KILL_STATUS_1 0xa604
1233#define MISC_REG_AEU_SYS_KILL_STATUS_2 0xa608
1234#define MISC_REG_AEU_SYS_KILL_STATUS_3 0xa60c
a2fbb9ea
ET
1235/* [R 4] This field indicates the type of the device. '0' - 2 Ports; '1' - 1
1236 Port. */
1237#define MISC_REG_BOND_ID 0xa400
1238/* [R 8] These bits indicate the metal revision of the chip. This value
1239 starts at 0x00 for each all-layer tape-out and increments by one for each
1240 tape-out. */
1241#define MISC_REG_CHIP_METAL 0xa404
1242/* [R 16] These bits indicate the part number for the chip. */
1243#define MISC_REG_CHIP_NUM 0xa408
1244/* [R 4] These bits indicate the base revision of the chip. This value
1245 starts at 0x0 for the A0 tape-out and increments by one for each
1246 all-layer tape-out. */
1247#define MISC_REG_CHIP_REV 0xa40c
c18487ee
YR
1248/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1249 32 clients. Each client can be controlled by one driver only. One in each
1250 bit represent that this driver control the appropriate client (Ex: bit 5
1251 is set means this driver control client number 5). addr1 = set; addr0 =
1252 clear; read from both addresses will give the same result = status. write
1253 to address 1 will set a request to control all the clients that their
1254 appropriate bit (in the write command) is set. if the client is free (the
1255 appropriate bit in all the other drivers is clear) one will be written to
1256 that driver register; if the client isn't free the bit will remain zero.
1257 if the appropriate bit is set (the driver request to gain control on a
1258 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1259 interrupt will be asserted). write to address 0 will set a request to
1260 free all the clients that their appropriate bit (in the write command) is
1261 set. if the appropriate bit is clear (the driver request to free a client
1262 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1263 be asserted). */
1264#define MISC_REG_DRIVER_CONTROL_10 0xa3e0
1265#define MISC_REG_DRIVER_CONTROL_10_SIZE 2
1266/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1267 32 clients. Each client can be controlled by one driver only. One in each
1268 bit represent that this driver control the appropriate client (Ex: bit 5
1269 is set means this driver control client number 5). addr1 = set; addr0 =
1270 clear; read from both addresses will give the same result = status. write
1271 to address 1 will set a request to control all the clients that their
1272 appropriate bit (in the write command) is set. if the client is free (the
1273 appropriate bit in all the other drivers is clear) one will be written to
1274 that driver register; if the client isn't free the bit will remain zero.
1275 if the appropriate bit is set (the driver request to gain control on a
1276 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1277 interrupt will be asserted). write to address 0 will set a request to
1278 free all the clients that their appropriate bit (in the write command) is
1279 set. if the appropriate bit is clear (the driver request to free a client
1280 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1281 be asserted). */
1282#define MISC_REG_DRIVER_CONTROL_11 0xa3e8
1283#define MISC_REG_DRIVER_CONTROL_11_SIZE 2
1284/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1285 32 clients. Each client can be controlled by one driver only. One in each
1286 bit represent that this driver control the appropriate client (Ex: bit 5
1287 is set means this driver control client number 5). addr1 = set; addr0 =
1288 clear; read from both addresses will give the same result = status. write
1289 to address 1 will set a request to control all the clients that their
1290 appropriate bit (in the write command) is set. if the client is free (the
1291 appropriate bit in all the other drivers is clear) one will be written to
1292 that driver register; if the client isn't free the bit will remain zero.
1293 if the appropriate bit is set (the driver request to gain control on a
1294 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1295 interrupt will be asserted). write to address 0 will set a request to
1296 free all the clients that their appropriate bit (in the write command) is
1297 set. if the appropriate bit is clear (the driver request to free a client
1298 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1299 be asserted). */
1300#define MISC_REG_DRIVER_CONTROL_12 0xa3f0
1301#define MISC_REG_DRIVER_CONTROL_12_SIZE 2
1302/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1303 32 clients. Each client can be controlled by one driver only. One in each
1304 bit represent that this driver control the appropriate client (Ex: bit 5
1305 is set means this driver control client number 5). addr1 = set; addr0 =
1306 clear; read from both addresses will give the same result = status. write
1307 to address 1 will set a request to control all the clients that their
1308 appropriate bit (in the write command) is set. if the client is free (the
1309 appropriate bit in all the other drivers is clear) one will be written to
1310 that driver register; if the client isn't free the bit will remain zero.
1311 if the appropriate bit is set (the driver request to gain control on a
1312 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1313 interrupt will be asserted). write to address 0 will set a request to
1314 free all the clients that their appropriate bit (in the write command) is
1315 set. if the appropriate bit is clear (the driver request to free a client
1316 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1317 be asserted). */
1318#define MISC_REG_DRIVER_CONTROL_13 0xa3f8
1319#define MISC_REG_DRIVER_CONTROL_13_SIZE 2
1320/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1321 32 clients. Each client can be controlled by one driver only. One in each
f1410647
ET
1322 bit represent that this driver control the appropriate client (Ex: bit 5
1323 is set means this driver control client number 5). addr1 = set; addr0 =
1324 clear; read from both addresses will give the same result = status. write
1325 to address 1 will set a request to control all the clients that their
1326 appropriate bit (in the write command) is set. if the client is free (the
1327 appropriate bit in all the other drivers is clear) one will be written to
1328 that driver register; if the client isn't free the bit will remain zero.
1329 if the appropriate bit is set (the driver request to gain control on a
1330 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1331 interrupt will be asserted). write to address 0 will set a request to
1332 free all the clients that their appropriate bit (in the write command) is
1333 set. if the appropriate bit is clear (the driver request to free a client
1334 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1335 be asserted). */
1336#define MISC_REG_DRIVER_CONTROL_1 0xa510
c18487ee
YR
1337#define MISC_REG_DRIVER_CONTROL_14 0xa5e0
1338#define MISC_REG_DRIVER_CONTROL_14_SIZE 2
1339/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1340 32 clients. Each client can be controlled by one driver only. One in each
1341 bit represent that this driver control the appropriate client (Ex: bit 5
1342 is set means this driver control client number 5). addr1 = set; addr0 =
1343 clear; read from both addresses will give the same result = status. write
1344 to address 1 will set a request to control all the clients that their
1345 appropriate bit (in the write command) is set. if the client is free (the
1346 appropriate bit in all the other drivers is clear) one will be written to
1347 that driver register; if the client isn't free the bit will remain zero.
1348 if the appropriate bit is set (the driver request to gain control on a
1349 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1350 interrupt will be asserted). write to address 0 will set a request to
1351 free all the clients that their appropriate bit (in the write command) is
1352 set. if the appropriate bit is clear (the driver request to free a client
1353 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1354 be asserted). */
1355#define MISC_REG_DRIVER_CONTROL_15 0xa5e8
1356#define MISC_REG_DRIVER_CONTROL_15_SIZE 2
1357/* [RW 32] The following driver registers(1...16) represent 16 drivers and
1358 32 clients. Each client can be controlled by one driver only. One in each
1359 bit represent that this driver control the appropriate client (Ex: bit 5
1360 is set means this driver control client number 5). addr1 = set; addr0 =
1361 clear; read from both addresses will give the same result = status. write
1362 to address 1 will set a request to control all the clients that their
1363 appropriate bit (in the write command) is set. if the client is free (the
1364 appropriate bit in all the other drivers is clear) one will be written to
1365 that driver register; if the client isn't free the bit will remain zero.
1366 if the appropriate bit is set (the driver request to gain control on a
1367 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1368 interrupt will be asserted). write to address 0 will set a request to
1369 free all the clients that their appropriate bit (in the write command) is
1370 set. if the appropriate bit is clear (the driver request to free a client
1371 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1372 be asserted). */
1373#define MISC_REG_DRIVER_CONTROL_16 0xa5f0
1374#define MISC_REG_DRIVER_CONTROL_16_SIZE 2
1375/* [RW 1] e1hmf for WOL. If clr WOL signal o the PXP will be send on bit 0
1376 only. */
1377#define MISC_REG_E1HMF_MODE 0xa5f8
f1410647
ET
1378/* [RW 32] GPIO. [31-28] FLOAT port 0; [27-24] FLOAT port 0; When any of
1379 these bits is written as a '1'; the corresponding SPIO bit will turn off
1380 it's drivers and become an input. This is the reset state of all GPIO
1381 pins. The read value of these bits will be a '1' if that last command
1382 (#SET; #CLR; or #FLOAT) for this bit was a #FLOAT. (reset value 0xff).
1383 [23-20] CLR port 1; 19-16] CLR port 0; When any of these bits is written
1384 as a '1'; the corresponding GPIO bit will drive low. The read value of
1385 these bits will be a '1' if that last command (#SET; #CLR; or #FLOAT) for
1386 this bit was a #CLR. (reset value 0). [15-12] SET port 1; 11-8] port 0;
1387 SET When any of these bits is written as a '1'; the corresponding GPIO
1388 bit will drive high (if it has that capability). The read value of these
1389 bits will be a '1' if that last command (#SET; #CLR; or #FLOAT) for this
1390 bit was a #SET. (reset value 0). [7-4] VALUE port 1; [3-0] VALUE port 0;
1391 RO; These bits indicate the read value of each of the eight GPIO pins.
1392 This is the result value of the pin; not the drive value. Writing these
1393 bits will have not effect. */
1394#define MISC_REG_GPIO 0xa490
c18487ee
YR
1395/* [R 28] this field hold the last information that caused reserved
1396 attention. bits [19:0] - address; [22:20] function; [23] reserved;
1397 [27:24] the master thatcaused the attention - according to the following
1398 encodeing:1 = pxp; 2 = mcp; 3 = usdm; 4 = tsdm; 5 = xsdm; 6 = csdm; 7 =
1399 dbu; 8 = dmae */
1400#define MISC_REG_GRC_RSV_ATTN 0xa3c0
1401/* [R 28] this field hold the last information that caused timeout
1402 attention. bits [19:0] - address; [22:20] function; [23] reserved;
1403 [27:24] the master thatcaused the attention - according to the following
1404 encodeing:1 = pxp; 2 = mcp; 3 = usdm; 4 = tsdm; 5 = xsdm; 6 = csdm; 7 =
1405 dbu; 8 = dmae */
1406#define MISC_REG_GRC_TIMEOUT_ATTN 0xa3c4
a2fbb9ea
ET
1407/* [RW 1] Setting this bit enables a timer in the GRC block to timeout any
1408 access that does not finish within
1409 ~misc_registers_grc_timout_val.grc_timeout_val cycles. When this bit is
1410 cleared; this timeout is disabled. If this timeout occurs; the GRC shall
1411 assert it attention output. */
1412#define MISC_REG_GRC_TIMEOUT_EN 0xa280
1413/* [RW 28] 28 LSB of LCPLL first register; reset val = 521. inside order of
1414 the bits is: [2:0] OAC reset value 001) CML output buffer bias control;
1415 111 for +40%; 011 for +20%; 001 for 0%; 000 for -20%. [5:3] Icp_ctrl
1416 (reset value 001) Charge pump current control; 111 for 720u; 011 for
1417 600u; 001 for 480u and 000 for 360u. [7:6] Bias_ctrl (reset value 00)
1418 Global bias control; When bit 7 is high bias current will be 10 0gh; When
1419 bit 6 is high bias will be 100w; Valid values are 00; 10; 01. [10:8]
1420 Pll_observe (reset value 010) Bits to control observability. bit 10 is
1421 for test bias; bit 9 is for test CK; bit 8 is test Vc. [12:11] Vth_ctrl
1422 (reset value 00) Comparator threshold control. 00 for 0.6V; 01 for 0.54V
1423 and 10 for 0.66V. [13] pllSeqStart (reset value 0) Enables VCO tuning
1424 sequencer: 1= sequencer disabled; 0= sequencer enabled (inverted
1425 internally). [14] reserved (reset value 0) Reset for VCO sequencer is
1426 connected to RESET input directly. [15] capRetry_en (reset value 0)
1427 enable retry on cap search failure (inverted). [16] freqMonitor_e (reset
1428 value 0) bit to continuously monitor vco freq (inverted). [17]
1429 freqDetRestart_en (reset value 0) bit to enable restart when not freq
1430 locked (inverted). [18] freqDetRetry_en (reset value 0) bit to enable
1431 retry on freq det failure(inverted). [19] pllForceFdone_en (reset value
1432 0) bit to enable pllForceFdone & pllForceFpass into pllSeq. [20]
1433 pllForceFdone (reset value 0) bit to force freqDone. [21] pllForceFpass
1434 (reset value 0) bit to force freqPass. [22] pllForceDone_en (reset value
1435 0) bit to enable pllForceCapDone. [23] pllForceCapDone (reset value 0)
1436 bit to force capDone. [24] pllForceCapPass_en (reset value 0) bit to
1437 enable pllForceCapPass. [25] pllForceCapPass (reset value 0) bit to force
1438 capPass. [26] capRestart (reset value 0) bit to force cap sequencer to
1439 restart. [27] capSelectM_en (reset value 0) bit to enable cap select
1440 register bits. */
1441#define MISC_REG_LCPLL_CTRL_1 0xa2a4
1442#define MISC_REG_LCPLL_CTRL_REG_2 0xa2a8
1443/* [RW 4] Interrupt mask register #0 read/write */
1444#define MISC_REG_MISC_INT_MASK 0xa388
1445/* [RW 1] Parity mask register #0 read/write */
1446#define MISC_REG_MISC_PRTY_MASK 0xa398
f1410647
ET
1447/* [R 1] Parity register #0 read */
1448#define MISC_REG_MISC_PRTY_STS 0xa38c
c18487ee
YR
1449#define MISC_REG_NIG_WOL_P0 0xa270
1450#define MISC_REG_NIG_WOL_P1 0xa274
1451/* [R 1] If set indicate that the pcie_rst_b was asserted without perst
1452 assertion */
1453#define MISC_REG_PCIE_HOT_RESET 0xa618
a2fbb9ea
ET
1454/* [RW 32] 32 LSB of storm PLL first register; reset val = 0x 071d2911.
1455 inside order of the bits is: [0] P1 divider[0] (reset value 1); [1] P1
1456 divider[1] (reset value 0); [2] P1 divider[2] (reset value 0); [3] P1
1457 divider[3] (reset value 0); [4] P2 divider[0] (reset value 1); [5] P2
1458 divider[1] (reset value 0); [6] P2 divider[2] (reset value 0); [7] P2
1459 divider[3] (reset value 0); [8] ph_det_dis (reset value 1); [9]
1460 freq_det_dis (reset value 0); [10] Icpx[0] (reset value 0); [11] Icpx[1]
1461 (reset value 1); [12] Icpx[2] (reset value 0); [13] Icpx[3] (reset value
1462 1); [14] Icpx[4] (reset value 0); [15] Icpx[5] (reset value 0); [16]
1463 Rx[0] (reset value 1); [17] Rx[1] (reset value 0); [18] vc_en (reset
1464 value 1); [19] vco_rng[0] (reset value 1); [20] vco_rng[1] (reset value
1465 1); [21] Kvco_xf[0] (reset value 0); [22] Kvco_xf[1] (reset value 0);
1466 [23] Kvco_xf[2] (reset value 0); [24] Kvco_xs[0] (reset value 1); [25]
1467 Kvco_xs[1] (reset value 1); [26] Kvco_xs[2] (reset value 1); [27]
1468 testd_en (reset value 0); [28] testd_sel[0] (reset value 0); [29]
1469 testd_sel[1] (reset value 0); [30] testd_sel[2] (reset value 0); [31]
1470 testa_en (reset value 0); */
1471#define MISC_REG_PLL_STORM_CTRL_1 0xa294
1472#define MISC_REG_PLL_STORM_CTRL_2 0xa298
1473#define MISC_REG_PLL_STORM_CTRL_3 0xa29c
1474#define MISC_REG_PLL_STORM_CTRL_4 0xa2a0
c18487ee 1475/* [RW 32] reset reg#2; rite/read one = the specific block is out of reset;
a2fbb9ea
ET
1476 write/read zero = the specific block is in reset; addr 0-wr- the write
1477 value will be written to the register; addr 1-set - one will be written
1478 to all the bits that have the value of one in the data written (bits that
1479 have the value of zero will not be change) ; addr 2-clear - zero will be
1480 written to all the bits that have the value of one in the data written
1481 (bits that have the value of zero will not be change); addr 3-ignore;
1482 read ignore from all addr except addr 00; inside order of the bits is:
c18487ee
YR
1483 [0] rst_bmac0; [1] rst_bmac1; [2] rst_emac0; [3] rst_emac1; [4] rst_grc;
1484 [5] rst_mcp_n_reset_reg_hard_core; [6] rst_ mcp_n_hard_core_rst_b; [7]
1485 rst_ mcp_n_reset_cmn_cpu; [8] rst_ mcp_n_reset_cmn_core; [9] rst_rbcn;
1486 [10] rst_dbg; [11] rst_misc_core; [12] rst_dbue (UART); [13]
1487 Pci_resetmdio_n; [14] rst_emac0_hard_core; [15] rst_emac1_hard_core; 16]
1488 rst_pxp_rq_rd_wr; 31:17] reserved */
a2fbb9ea
ET
1489#define MISC_REG_RESET_REG_2 0xa590
1490/* [RW 20] 20 bit GRC address where the scratch-pad of the MCP that is
1491 shared with the driver resides */
1492#define MISC_REG_SHARED_MEM_ADDR 0xa2b4
f1410647
ET
1493/* [RW 32] SPIO. [31-24] FLOAT When any of these bits is written as a '1';
1494 the corresponding SPIO bit will turn off it's drivers and become an
1495 input. This is the reset state of all SPIO pins. The read value of these
1496 bits will be a '1' if that last command (#SET; #CL; or #FLOAT) for this
1497 bit was a #FLOAT. (reset value 0xff). [23-16] CLR When any of these bits
1498 is written as a '1'; the corresponding SPIO bit will drive low. The read
1499 value of these bits will be a '1' if that last command (#SET; #CLR; or
1500#FLOAT) for this bit was a #CLR. (reset value 0). [15-8] SET When any of
1501 these bits is written as a '1'; the corresponding SPIO bit will drive
1502 high (if it has that capability). The read value of these bits will be a
1503 '1' if that last command (#SET; #CLR; or #FLOAT) for this bit was a #SET.
1504 (reset value 0). [7-0] VALUE RO; These bits indicate the read value of
1505 each of the eight SPIO pins. This is the result value of the pin; not the
1506 drive value. Writing these bits will have not effect. Each 8 bits field
1507 is divided as follows: [0] VAUX Enable; when pulsed low; enables supply
1508 from VAUX. (This is an output pin only; the FLOAT field is not applicable
1509 for this pin); [1] VAUX Disable; when pulsed low; disables supply form
1510 VAUX. (This is an output pin only; FLOAT field is not applicable for this
1511 pin); [2] SEL_VAUX_B - Control to power switching logic. Drive low to
1512 select VAUX supply. (This is an output pin only; it is not controlled by
1513 the SET and CLR fields; it is controlled by the Main Power SM; the FLOAT
1514 field is not applicable for this pin; only the VALUE fields is relevant -
c18487ee 1515 it reflects the output value); [3] port swap [4] spio_4; [5] spio_5; [6]
f1410647
ET
1516 Bit 0 of UMP device ID select; read by UMP firmware; [7] Bit 1 of UMP
1517 device ID select; read by UMP firmware. */
1518#define MISC_REG_SPIO 0xa4fc
1519/* [RW 8] These bits enable the SPIO_INTs to signals event to the IGU/MC.
1520 according to the following map: [3:0] reserved; [4] spio_4 [5] spio_5;
1521 [7:0] reserved */
1522#define MISC_REG_SPIO_EVENT_EN 0xa2b8
1523/* [RW 32] SPIO INT. [31-24] OLD_CLR Writing a '1' to these bit clears the
1524 corresponding bit in the #OLD_VALUE register. This will acknowledge an
1525 interrupt on the falling edge of corresponding SPIO input (reset value
1526 0). [23-16] OLD_SET Writing a '1' to these bit sets the corresponding bit
1527 in the #OLD_VALUE register. This will acknowledge an interrupt on the
1528 rising edge of corresponding SPIO input (reset value 0). [15-8] OLD_VALUE
1529 RO; These bits indicate the old value of the SPIO input value. When the
1530 ~INT_STATE bit is set; this bit indicates the OLD value of the pin such
1531 that if ~INT_STATE is set and this bit is '0'; then the interrupt is due
1532 to a low to high edge. If ~INT_STATE is set and this bit is '1'; then the
1533 interrupt is due to a high to low edge (reset value 0). [7-0] INT_STATE
1534 RO; These bits indicate the current SPIO interrupt state for each SPIO
1535 pin. This bit is cleared when the appropriate #OLD_SET or #OLD_CLR
1536 command bit is written. This bit is set when the SPIO input does not
1537 match the current value in #OLD_VALUE (reset value 0). */
1538#define MISC_REG_SPIO_INT 0xa500
1539/* [RW 1] Set by the MCP to remember if one or more of the drivers is/are
1540 loaded; 0-prepare; -unprepare */
1541#define MISC_REG_UNPREPARED 0xa424
a2fbb9ea
ET
1542#define NIG_MASK_INTERRUPT_PORT0_REG_MASK_EMAC0_MISC_MI_INT (0x1<<0)
1543#define NIG_MASK_INTERRUPT_PORT0_REG_MASK_SERDES0_LINK_STATUS (0x1<<9)
1544#define NIG_MASK_INTERRUPT_PORT0_REG_MASK_XGXS0_LINK10G (0x1<<15)
1545#define NIG_MASK_INTERRUPT_PORT0_REG_MASK_XGXS0_LINK_STATUS (0xf<<18)
1546/* [RW 1] Input enable for RX_BMAC0 IF */
1547#define NIG_REG_BMAC0_IN_EN 0x100ac
1548/* [RW 1] output enable for TX_BMAC0 IF */
1549#define NIG_REG_BMAC0_OUT_EN 0x100e0
1550/* [RW 1] output enable for TX BMAC pause port 0 IF */
1551#define NIG_REG_BMAC0_PAUSE_OUT_EN 0x10110
1552/* [RW 1] output enable for RX_BMAC0_REGS IF */
1553#define NIG_REG_BMAC0_REGS_OUT_EN 0x100e8
1554/* [RW 1] output enable for RX BRB1 port0 IF */
1555#define NIG_REG_BRB0_OUT_EN 0x100f8
1556/* [RW 1] Input enable for TX BRB1 pause port 0 IF */
1557#define NIG_REG_BRB0_PAUSE_IN_EN 0x100c4
1558/* [RW 1] output enable for RX BRB1 port1 IF */
1559#define NIG_REG_BRB1_OUT_EN 0x100fc
1560/* [RW 1] Input enable for TX BRB1 pause port 1 IF */
1561#define NIG_REG_BRB1_PAUSE_IN_EN 0x100c8
1562/* [RW 1] output enable for RX BRB1 LP IF */
1563#define NIG_REG_BRB_LB_OUT_EN 0x10100
c18487ee
YR
1564/* [WB_W 82] Debug packet to LP from RBC; Data spelling:[63:0] data; 64]
1565 error; [67:65]eop_bvalid; [68]eop; [69]sop; [70]port_id; 71]flush;
1566 72:73]-vnic_num; 81:74]-sideband_info */
a2fbb9ea
ET
1567#define NIG_REG_DEBUG_PACKET_LB 0x10800
1568/* [RW 1] Input enable for TX Debug packet */
1569#define NIG_REG_EGRESS_DEBUG_IN_EN 0x100dc
1570/* [RW 1] If 1 - egress drain mode for port0 is active. In this mode all
1571 packets from PBFare not forwarded to the MAC and just deleted from FIFO.
1572 First packet may be deleted from the middle. And last packet will be
1573 always deleted till the end. */
1574#define NIG_REG_EGRESS_DRAIN0_MODE 0x10060
1575/* [RW 1] Output enable to EMAC0 */
1576#define NIG_REG_EGRESS_EMAC0_OUT_EN 0x10120
1577/* [RW 1] MAC configuration for packets of port0. If 1 - all packet outputs
1578 to emac for port0; other way to bmac for port0 */
1579#define NIG_REG_EGRESS_EMAC0_PORT 0x10058
c18487ee
YR
1580/* [RW 32] TX_MNG_FIFO in NIG_TX_PORT0; data[31:0] written in FIFO order. */
1581#define NIG_REG_EGRESS_MNG0_FIFO 0x1045c
a2fbb9ea
ET
1582/* [RW 1] Input enable for TX PBF user packet port0 IF */
1583#define NIG_REG_EGRESS_PBF0_IN_EN 0x100cc
1584/* [RW 1] Input enable for TX PBF user packet port1 IF */
1585#define NIG_REG_EGRESS_PBF1_IN_EN 0x100d0
1586/* [RW 1] Input enable for RX_EMAC0 IF */
1587#define NIG_REG_EMAC0_IN_EN 0x100a4
1588/* [RW 1] output enable for TX EMAC pause port 0 IF */
1589#define NIG_REG_EMAC0_PAUSE_OUT_EN 0x10118
1590/* [R 1] status from emac0. This bit is set when MDINT from either the
1591 EXT_MDINT pin or from the Copper PHY is driven low. This condition must
1592 be cleared in the attached PHY device that is driving the MINT pin. */
1593#define NIG_REG_EMAC0_STATUS_MISC_MI_INT 0x10494
1594/* [WB 48] This address space contains BMAC0 registers. The BMAC registers
1595 are described in appendix A. In order to access the BMAC0 registers; the
1596 base address; NIG_REGISTERS_INGRESS_BMAC0_MEM; Offset: 0x10c00; should be
1597 added to each BMAC register offset */
1598#define NIG_REG_INGRESS_BMAC0_MEM 0x10c00
1599/* [WB 48] This address space contains BMAC1 registers. The BMAC registers
1600 are described in appendix A. In order to access the BMAC0 registers; the
1601 base address; NIG_REGISTERS_INGRESS_BMAC1_MEM; Offset: 0x11000; should be
1602 added to each BMAC register offset */
1603#define NIG_REG_INGRESS_BMAC1_MEM 0x11000
1604/* [R 1] FIFO empty in EOP descriptor FIFO of LP in NIG_RX_EOP */
1605#define NIG_REG_INGRESS_EOP_LB_EMPTY 0x104e0
1606/* [RW 17] Debug only. RX_EOP_DSCR_lb_FIFO in NIG_RX_EOP. Data
1607 packet_length[13:0]; mac_error[14]; trunc_error[15]; parity[16] */
1608#define NIG_REG_INGRESS_EOP_LB_FIFO 0x104e4
1609/* [RW 1] led 10g for port 0 */
1610#define NIG_REG_LED_10G_P0 0x10320
c18487ee
YR
1611/* [RW 1] led 10g for port 1 */
1612#define NIG_REG_LED_10G_P1 0x10324
a2fbb9ea
ET
1613/* [RW 1] Port0: This bit is set to enable the use of the
1614 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 field
1615 defined below. If this bit is cleared; then the blink rate will be about
1616 8Hz. */
1617#define NIG_REG_LED_CONTROL_BLINK_RATE_ENA_P0 0x10318
1618/* [RW 12] Port0: Specifies the period of each blink cycle (on + off) for
1619 Traffic LED in milliseconds. Must be a non-zero value. This 12-bit field
1620 is reset to 0x080; giving a default blink period of approximately 8Hz. */
1621#define NIG_REG_LED_CONTROL_BLINK_RATE_P0 0x10310
1622/* [RW 1] Port0: If set along with the
34f80b04 1623 ~nig_registers_led_control_override_traffic_p0.led_control_override_traffic_p0
a2fbb9ea
ET
1624 bit and ~nig_registers_led_control_traffic_p0.led_control_traffic_p0 LED
1625 bit; the Traffic LED will blink with the blink rate specified in
1626 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 and
1627 ~nig_registers_led_control_blink_rate_ena_p0.led_control_blink_rate_ena_p0
1628 fields. */
1629#define NIG_REG_LED_CONTROL_BLINK_TRAFFIC_P0 0x10308
1630/* [RW 1] Port0: If set overrides hardware control of the Traffic LED. The
1631 Traffic LED will then be controlled via bit ~nig_registers_
1632 led_control_traffic_p0.led_control_traffic_p0 and bit
1633 ~nig_registers_led_control_blink_traffic_p0.led_control_blink_traffic_p0 */
1634#define NIG_REG_LED_CONTROL_OVERRIDE_TRAFFIC_P0 0x102f8
1635/* [RW 1] Port0: If set along with the led_control_override_trafic_p0 bit;
1636 turns on the Traffic LED. If the led_control_blink_traffic_p0 bit is also
1637 set; the LED will blink with blink rate specified in
1638 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 and
1639 ~nig_regsters_led_control_blink_rate_ena_p0.led_control_blink_rate_ena_p0
1640 fields. */
1641#define NIG_REG_LED_CONTROL_TRAFFIC_P0 0x10300
1642/* [RW 4] led mode for port0: 0 MAC; 1-3 PHY1; 4 MAC2; 5-7 PHY4; 8-MAC3;
1643 9-11PHY7; 12 MAC4; 13-15 PHY10; */
1644#define NIG_REG_LED_MODE_P0 0x102f0
c18487ee
YR
1645#define NIG_REG_LLH0_ACPI_PAT_0_CRC 0x1015c
1646#define NIG_REG_LLH0_ACPI_PAT_6_LEN 0x10154
a2fbb9ea 1647#define NIG_REG_LLH0_BRB1_DRV_MASK 0x10244
c18487ee 1648#define NIG_REG_LLH0_BRB1_DRV_MASK_MF 0x16048
a2fbb9ea
ET
1649/* [RW 1] send to BRB1 if no match on any of RMP rules. */
1650#define NIG_REG_LLH0_BRB1_NOT_MCP 0x1025c
c18487ee
YR
1651/* [RW 2] Determine the classification participants. 0: no classification.1:
1652 classification upon VLAN id. 2: classification upon MAC address. 3:
1653 classification upon both VLAN id & MAC addr. */
1654#define NIG_REG_LLH0_CLS_TYPE 0x16080
a2fbb9ea
ET
1655/* [RW 32] cm header for llh0 */
1656#define NIG_REG_LLH0_CM_HEADER 0x1007c
c18487ee
YR
1657#define NIG_REG_LLH0_DEST_IP_0_1 0x101dc
1658#define NIG_REG_LLH0_DEST_MAC_0_0 0x101c0
1659/* [RW 16] destination TCP address 1. The LLH will look for this address in
1660 all incoming packets. */
1661#define NIG_REG_LLH0_DEST_TCP_0 0x10220
1662/* [RW 16] destination UDP address 1 The LLH will look for this address in
1663 all incoming packets. */
1664#define NIG_REG_LLH0_DEST_UDP_0 0x10214
a2fbb9ea
ET
1665#define NIG_REG_LLH0_ERROR_MASK 0x1008c
1666/* [RW 8] event id for llh0 */
1667#define NIG_REG_LLH0_EVENT_ID 0x10084
c18487ee
YR
1668#define NIG_REG_LLH0_FUNC_EN 0x160fc
1669#define NIG_REG_LLH0_FUNC_VLAN_ID 0x16100
1670/* [RW 1] Determine the IP version to look for in
1671 ~nig_registers_llh0_dest_ip_0.llh0_dest_ip_0. 0 - IPv6; 1-IPv4 */
1672#define NIG_REG_LLH0_IPV4_IPV6_0 0x10208
1673/* [RW 1] t bit for llh0 */
1674#define NIG_REG_LLH0_T_BIT 0x10074
1675/* [RW 12] VLAN ID 1. In case of VLAN packet the LLH will look for this ID. */
1676#define NIG_REG_LLH0_VLAN_ID_0 0x1022c
a2fbb9ea
ET
1677/* [RW 8] init credit counter for port0 in LLH */
1678#define NIG_REG_LLH0_XCM_INIT_CREDIT 0x10554
1679#define NIG_REG_LLH0_XCM_MASK 0x10130
1680/* [RW 1] send to BRB1 if no match on any of RMP rules. */
1681#define NIG_REG_LLH1_BRB1_NOT_MCP 0x102dc
c18487ee
YR
1682/* [RW 2] Determine the classification participants. 0: no classification.1:
1683 classification upon VLAN id. 2: classification upon MAC address. 3:
1684 classification upon both VLAN id & MAC addr. */
1685#define NIG_REG_LLH1_CLS_TYPE 0x16084
a2fbb9ea
ET
1686/* [RW 32] cm header for llh1 */
1687#define NIG_REG_LLH1_CM_HEADER 0x10080
1688#define NIG_REG_LLH1_ERROR_MASK 0x10090
1689/* [RW 8] event id for llh1 */
1690#define NIG_REG_LLH1_EVENT_ID 0x10088
1691/* [RW 8] init credit counter for port1 in LLH */
1692#define NIG_REG_LLH1_XCM_INIT_CREDIT 0x10564
1693#define NIG_REG_LLH1_XCM_MASK 0x10134
c18487ee
YR
1694/* [RW 1] When this bit is set; the LLH will expect all packets to be with
1695 e1hov */
1696#define NIG_REG_LLH_E1HOV_MODE 0x160d8
1697/* [RW 1] When this bit is set; the LLH will classify the packet before
1698 sending it to the BRB or calculating WoL on it. */
1699#define NIG_REG_LLH_MF_MODE 0x16024
a2fbb9ea
ET
1700#define NIG_REG_MASK_INTERRUPT_PORT0 0x10330
1701#define NIG_REG_MASK_INTERRUPT_PORT1 0x10334
1702/* [RW 1] Output signal from NIG to EMAC0. When set enables the EMAC0 block. */
1703#define NIG_REG_NIG_EMAC0_EN 0x1003c
c18487ee
YR
1704/* [RW 1] Output signal from NIG to EMAC1. When set enables the EMAC1 block. */
1705#define NIG_REG_NIG_EMAC1_EN 0x10040
a2fbb9ea
ET
1706/* [RW 1] Output signal from NIG to TX_EMAC0. When set indicates to the
1707 EMAC0 to strip the CRC from the ingress packets. */
1708#define NIG_REG_NIG_INGRESS_EMAC0_NO_CRC 0x10044
c18487ee
YR
1709/* [R 32] Interrupt register #0 read */
1710#define NIG_REG_NIG_INT_STS_0 0x103b0
1711#define NIG_REG_NIG_INT_STS_1 0x103c0
1712/* [R 32] Parity register #0 read */
1713#define NIG_REG_NIG_PRTY_STS 0x103d0
a2fbb9ea
ET
1714/* [RW 1] Input enable for RX PBF LP IF */
1715#define NIG_REG_PBF_LB_IN_EN 0x100b4
f1410647
ET
1716/* [RW 1] Value of this register will be transmitted to port swap when
1717 ~nig_registers_strap_override.strap_override =1 */
1718#define NIG_REG_PORT_SWAP 0x10394
a2fbb9ea
ET
1719/* [RW 1] output enable for RX parser descriptor IF */
1720#define NIG_REG_PRS_EOP_OUT_EN 0x10104
1721/* [RW 1] Input enable for RX parser request IF */
1722#define NIG_REG_PRS_REQ_IN_EN 0x100b8
1723/* [RW 5] control to serdes - CL22 PHY_ADD and CL45 PRTAD */
1724#define NIG_REG_SERDES0_CTRL_PHY_ADDR 0x10374
1725/* [R 1] status from serdes0 that inputs to interrupt logic of link status */
1726#define NIG_REG_SERDES0_STATUS_LINK_STATUS 0x10578
1727/* [R 32] Rx statistics : In user packets discarded due to BRB backpressure
1728 for port0 */
1729#define NIG_REG_STAT0_BRB_DISCARD 0x105f0
66e855f3
YG
1730/* [R 32] Rx statistics : In user packets truncated due to BRB backpressure
1731 for port0 */
1732#define NIG_REG_STAT0_BRB_TRUNCATE 0x105f8
34f80b04
EG
1733/* [WB_R 36] Tx statistics : Number of packets from emac0 or bmac0 that
1734 between 1024 and 1522 bytes for port0 */
1735#define NIG_REG_STAT0_EGRESS_MAC_PKT0 0x10750
1736/* [WB_R 36] Tx statistics : Number of packets from emac0 or bmac0 that
1737 between 1523 bytes and above for port0 */
1738#define NIG_REG_STAT0_EGRESS_MAC_PKT1 0x10760
a2fbb9ea
ET
1739/* [R 32] Rx statistics : In user packets discarded due to BRB backpressure
1740 for port1 */
1741#define NIG_REG_STAT1_BRB_DISCARD 0x10628
34f80b04
EG
1742/* [WB_R 36] Tx statistics : Number of packets from emac1 or bmac1 that
1743 between 1024 and 1522 bytes for port1 */
1744#define NIG_REG_STAT1_EGRESS_MAC_PKT0 0x107a0
1745/* [WB_R 36] Tx statistics : Number of packets from emac1 or bmac1 that
1746 between 1523 bytes and above for port1 */
1747#define NIG_REG_STAT1_EGRESS_MAC_PKT1 0x107b0
a2fbb9ea
ET
1748/* [WB_R 64] Rx statistics : User octets received for LP */
1749#define NIG_REG_STAT2_BRB_OCTET 0x107e0
1750#define NIG_REG_STATUS_INTERRUPT_PORT0 0x10328
1751#define NIG_REG_STATUS_INTERRUPT_PORT1 0x1032c
f1410647
ET
1752/* [RW 1] port swap mux selection. If this register equal to 0 then port
1753 swap is equal to SPIO pin that inputs from ifmux_serdes_swap. If 1 then
1754 ort swap is equal to ~nig_registers_port_swap.port_swap */
1755#define NIG_REG_STRAP_OVERRIDE 0x10398
a2fbb9ea
ET
1756/* [RW 1] output enable for RX_XCM0 IF */
1757#define NIG_REG_XCM0_OUT_EN 0x100f0
1758/* [RW 1] output enable for RX_XCM1 IF */
1759#define NIG_REG_XCM1_OUT_EN 0x100f4
c18487ee
YR
1760/* [RW 1] control to xgxs - remote PHY in-band MDIO */
1761#define NIG_REG_XGXS0_CTRL_EXTREMOTEMDIOST 0x10348
a2fbb9ea
ET
1762/* [RW 5] control to xgxs - CL45 DEVAD */
1763#define NIG_REG_XGXS0_CTRL_MD_DEVAD 0x1033c
c18487ee
YR
1764/* [RW 1] control to xgxs; 0 - clause 45; 1 - clause 22 */
1765#define NIG_REG_XGXS0_CTRL_MD_ST 0x10338
a2fbb9ea
ET
1766/* [RW 5] control to xgxs - CL22 PHY_ADD and CL45 PRTAD */
1767#define NIG_REG_XGXS0_CTRL_PHY_ADDR 0x10340
1768/* [R 1] status from xgxs0 that inputs to interrupt logic of link10g. */
1769#define NIG_REG_XGXS0_STATUS_LINK10G 0x10680
1770/* [R 4] status from xgxs0 that inputs to interrupt logic of link status */
1771#define NIG_REG_XGXS0_STATUS_LINK_STATUS 0x10684
1772/* [RW 2] selection for XGXS lane of port 0 in NIG_MUX block */
1773#define NIG_REG_XGXS_LANE_SEL_P0 0x102e8
1774/* [RW 1] selection for port0 for NIG_MUX block : 0 = SerDes; 1 = XGXS */
1775#define NIG_REG_XGXS_SERDES0_MODE_SEL 0x102e0
1776#define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_SERDES0_LINK_STATUS (0x1<<9)
1777#define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK10G (0x1<<15)
1778#define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK_STATUS (0xf<<18)
1779#define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK_STATUS_SIZE 18
1780/* [RW 1] Disable processing further tasks from port 0 (after ending the
1781 current task in process). */
1782#define PBF_REG_DISABLE_NEW_TASK_PROC_P0 0x14005c
1783/* [RW 1] Disable processing further tasks from port 1 (after ending the
1784 current task in process). */
1785#define PBF_REG_DISABLE_NEW_TASK_PROC_P1 0x140060
1786/* [RW 1] Disable processing further tasks from port 4 (after ending the
1787 current task in process). */
1788#define PBF_REG_DISABLE_NEW_TASK_PROC_P4 0x14006c
1789#define PBF_REG_IF_ENABLE_REG 0x140044
1790/* [RW 1] Init bit. When set the initial credits are copied to the credit
1791 registers (except the port credits). Should be set and then reset after
1792 the configuration of the block has ended. */
1793#define PBF_REG_INIT 0x140000
1794/* [RW 1] Init bit for port 0. When set the initial credit of port 0 is
1795 copied to the credit register. Should be set and then reset after the
1796 configuration of the port has ended. */
1797#define PBF_REG_INIT_P0 0x140004
1798/* [RW 1] Init bit for port 1. When set the initial credit of port 1 is
1799 copied to the credit register. Should be set and then reset after the
1800 configuration of the port has ended. */
1801#define PBF_REG_INIT_P1 0x140008
1802/* [RW 1] Init bit for port 4. When set the initial credit of port 4 is
1803 copied to the credit register. Should be set and then reset after the
1804 configuration of the port has ended. */
1805#define PBF_REG_INIT_P4 0x14000c
1806/* [RW 1] Enable for mac interface 0. */
1807#define PBF_REG_MAC_IF0_ENABLE 0x140030
1808/* [RW 1] Enable for mac interface 1. */
1809#define PBF_REG_MAC_IF1_ENABLE 0x140034
1810/* [RW 1] Enable for the loopback interface. */
1811#define PBF_REG_MAC_LB_ENABLE 0x140040
1812/* [RW 10] Port 0 threshold used by arbiter in 16 byte lines used when pause
1813 not suppoterd. */
1814#define PBF_REG_P0_ARB_THRSH 0x1400e4
1815/* [R 11] Current credit for port 0 in the tx port buffers in 16 byte lines. */
1816#define PBF_REG_P0_CREDIT 0x140200
1817/* [RW 11] Initial credit for port 0 in the tx port buffers in 16 byte
1818 lines. */
1819#define PBF_REG_P0_INIT_CRD 0x1400d0
1820/* [RW 1] Indication that pause is enabled for port 0. */
1821#define PBF_REG_P0_PAUSE_ENABLE 0x140014
1822/* [R 8] Number of tasks in port 0 task queue. */
1823#define PBF_REG_P0_TASK_CNT 0x140204
1824/* [R 11] Current credit for port 1 in the tx port buffers in 16 byte lines. */
1825#define PBF_REG_P1_CREDIT 0x140208
1826/* [RW 11] Initial credit for port 1 in the tx port buffers in 16 byte
1827 lines. */
1828#define PBF_REG_P1_INIT_CRD 0x1400d4
1829/* [R 8] Number of tasks in port 1 task queue. */
1830#define PBF_REG_P1_TASK_CNT 0x14020c
1831/* [R 11] Current credit for port 4 in the tx port buffers in 16 byte lines. */
1832#define PBF_REG_P4_CREDIT 0x140210
1833/* [RW 11] Initial credit for port 4 in the tx port buffers in 16 byte
1834 lines. */
1835#define PBF_REG_P4_INIT_CRD 0x1400e0
1836/* [R 8] Number of tasks in port 4 task queue. */
1837#define PBF_REG_P4_TASK_CNT 0x140214
1838/* [RW 5] Interrupt mask register #0 read/write */
1839#define PBF_REG_PBF_INT_MASK 0x1401d4
1840/* [R 5] Interrupt register #0 read */
1841#define PBF_REG_PBF_INT_STS 0x1401c8
1842#define PB_REG_CONTROL 0
1843/* [RW 2] Interrupt mask register #0 read/write */
1844#define PB_REG_PB_INT_MASK 0x28
1845/* [R 2] Interrupt register #0 read */
1846#define PB_REG_PB_INT_STS 0x1c
1847/* [RW 4] Parity mask register #0 read/write */
1848#define PB_REG_PB_PRTY_MASK 0x38
f1410647
ET
1849/* [R 4] Parity register #0 read */
1850#define PB_REG_PB_PRTY_STS 0x2c
a2fbb9ea
ET
1851#define PRS_REG_A_PRSU_20 0x40134
1852/* [R 8] debug only: CFC load request current credit. Transaction based. */
1853#define PRS_REG_CFC_LD_CURRENT_CREDIT 0x40164
1854/* [R 8] debug only: CFC search request current credit. Transaction based. */
1855#define PRS_REG_CFC_SEARCH_CURRENT_CREDIT 0x40168
1856/* [RW 6] The initial credit for the search message to the CFC interface.
1857 Credit is transaction based. */
1858#define PRS_REG_CFC_SEARCH_INITIAL_CREDIT 0x4011c
1859/* [RW 24] CID for port 0 if no match */
1860#define PRS_REG_CID_PORT_0 0x400fc
a2fbb9ea
ET
1861/* [RW 32] The CM header for flush message where 'load existed' bit in CFC
1862 load response is reset and packet type is 0. Used in packet start message
1863 to TCM. */
1864#define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_0 0x400dc
1865#define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_1 0x400e0
1866#define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_2 0x400e4
1867#define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_3 0x400e8
1868#define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_4 0x400ec
1869/* [RW 32] The CM header for flush message where 'load existed' bit in CFC
1870 load response is set and packet type is 0. Used in packet start message
1871 to TCM. */
1872#define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_0 0x400bc
1873#define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_1 0x400c0
1874#define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_2 0x400c4
1875#define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_3 0x400c8
1876#define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_4 0x400cc
1877/* [RW 32] The CM header for a match and packet type 1 for loopback port.
1878 Used in packet start message to TCM. */
1879#define PRS_REG_CM_HDR_LOOPBACK_TYPE_1 0x4009c
1880#define PRS_REG_CM_HDR_LOOPBACK_TYPE_2 0x400a0
1881#define PRS_REG_CM_HDR_LOOPBACK_TYPE_3 0x400a4
1882#define PRS_REG_CM_HDR_LOOPBACK_TYPE_4 0x400a8
1883/* [RW 32] The CM header for a match and packet type 0. Used in packet start
1884 message to TCM. */
1885#define PRS_REG_CM_HDR_TYPE_0 0x40078
1886#define PRS_REG_CM_HDR_TYPE_1 0x4007c
1887#define PRS_REG_CM_HDR_TYPE_2 0x40080
1888#define PRS_REG_CM_HDR_TYPE_3 0x40084
1889#define PRS_REG_CM_HDR_TYPE_4 0x40088
1890/* [RW 32] The CM header in case there was not a match on the connection */
1891#define PRS_REG_CM_NO_MATCH_HDR 0x400b8
c18487ee
YR
1892/* [RW 1] Indicates if in e1hov mode. 0=non-e1hov mode; 1=e1hov mode. */
1893#define PRS_REG_E1HOV_MODE 0x401c8
a2fbb9ea
ET
1894/* [RW 8] The 8-bit event ID for a match and packet type 1. Used in packet
1895 start message to TCM. */
1896#define PRS_REG_EVENT_ID_1 0x40054
1897#define PRS_REG_EVENT_ID_2 0x40058
1898#define PRS_REG_EVENT_ID_3 0x4005c
c18487ee
YR
1899/* [RW 16] The Ethernet type value for FCoE */
1900#define PRS_REG_FCOE_TYPE 0x401d0
a2fbb9ea
ET
1901/* [RW 8] Context region for flush packet with packet type 0. Used in CFC
1902 load request message. */
1903#define PRS_REG_FLUSH_REGIONS_TYPE_0 0x40004
1904#define PRS_REG_FLUSH_REGIONS_TYPE_1 0x40008
1905#define PRS_REG_FLUSH_REGIONS_TYPE_2 0x4000c
1906#define PRS_REG_FLUSH_REGIONS_TYPE_3 0x40010
1907#define PRS_REG_FLUSH_REGIONS_TYPE_4 0x40014
1908#define PRS_REG_FLUSH_REGIONS_TYPE_5 0x40018
1909#define PRS_REG_FLUSH_REGIONS_TYPE_6 0x4001c
1910#define PRS_REG_FLUSH_REGIONS_TYPE_7 0x40020
1911/* [RW 4] The increment value to send in the CFC load request message */
1912#define PRS_REG_INC_VALUE 0x40048
1913/* [RW 1] If set indicates not to send messages to CFC on received packets */
1914#define PRS_REG_NIC_MODE 0x40138
1915/* [RW 8] The 8-bit event ID for cases where there is no match on the
1916 connection. Used in packet start message to TCM. */
1917#define PRS_REG_NO_MATCH_EVENT_ID 0x40070
1918/* [ST 24] The number of input CFC flush packets */
1919#define PRS_REG_NUM_OF_CFC_FLUSH_MESSAGES 0x40128
1920/* [ST 32] The number of cycles the Parser halted its operation since it
1921 could not allocate the next serial number */
1922#define PRS_REG_NUM_OF_DEAD_CYCLES 0x40130
1923/* [ST 24] The number of input packets */
1924#define PRS_REG_NUM_OF_PACKETS 0x40124
1925/* [ST 24] The number of input transparent flush packets */
1926#define PRS_REG_NUM_OF_TRANSPARENT_FLUSH_MESSAGES 0x4012c
1927/* [RW 8] Context region for received Ethernet packet with a match and
1928 packet type 0. Used in CFC load request message */
1929#define PRS_REG_PACKET_REGIONS_TYPE_0 0x40028
1930#define PRS_REG_PACKET_REGIONS_TYPE_1 0x4002c
1931#define PRS_REG_PACKET_REGIONS_TYPE_2 0x40030
1932#define PRS_REG_PACKET_REGIONS_TYPE_3 0x40034
1933#define PRS_REG_PACKET_REGIONS_TYPE_4 0x40038
1934#define PRS_REG_PACKET_REGIONS_TYPE_5 0x4003c
1935#define PRS_REG_PACKET_REGIONS_TYPE_6 0x40040
1936#define PRS_REG_PACKET_REGIONS_TYPE_7 0x40044
1937/* [R 2] debug only: Number of pending requests for CAC on port 0. */
1938#define PRS_REG_PENDING_BRB_CAC0_RQ 0x40174
1939/* [R 2] debug only: Number of pending requests for header parsing. */
1940#define PRS_REG_PENDING_BRB_PRS_RQ 0x40170
1941/* [R 1] Interrupt register #0 read */
1942#define PRS_REG_PRS_INT_STS 0x40188
1943/* [RW 8] Parity mask register #0 read/write */
1944#define PRS_REG_PRS_PRTY_MASK 0x401a4
f1410647
ET
1945/* [R 8] Parity register #0 read */
1946#define PRS_REG_PRS_PRTY_STS 0x40198
a2fbb9ea
ET
1947/* [RW 8] Context region for pure acknowledge packets. Used in CFC load
1948 request message */
1949#define PRS_REG_PURE_REGIONS 0x40024
1950/* [R 32] debug only: Serial number status lsb 32 bits. '1' indicates this
1951 serail number was released by SDM but cannot be used because a previous
1952 serial number was not released. */
1953#define PRS_REG_SERIAL_NUM_STATUS_LSB 0x40154
1954/* [R 32] debug only: Serial number status msb 32 bits. '1' indicates this
1955 serail number was released by SDM but cannot be used because a previous
1956 serial number was not released. */
1957#define PRS_REG_SERIAL_NUM_STATUS_MSB 0x40158
1958/* [R 4] debug only: SRC current credit. Transaction based. */
1959#define PRS_REG_SRC_CURRENT_CREDIT 0x4016c
1960/* [R 8] debug only: TCM current credit. Cycle based. */
1961#define PRS_REG_TCM_CURRENT_CREDIT 0x40160
1962/* [R 8] debug only: TSDM current credit. Transaction based. */
1963#define PRS_REG_TSDM_CURRENT_CREDIT 0x4015c
1964/* [R 6] Debug only: Number of used entries in the data FIFO */
1965#define PXP2_REG_HST_DATA_FIFO_STATUS 0x12047c
1966/* [R 7] Debug only: Number of used entries in the header FIFO */
1967#define PXP2_REG_HST_HEADER_FIFO_STATUS 0x120478
34f80b04
EG
1968#define PXP2_REG_PGL_ADDR_88_F0 0x120534
1969#define PXP2_REG_PGL_ADDR_8C_F0 0x120538
1970#define PXP2_REG_PGL_ADDR_90_F0 0x12053c
1971#define PXP2_REG_PGL_ADDR_94_F0 0x120540
a2fbb9ea
ET
1972#define PXP2_REG_PGL_CONTROL0 0x120490
1973#define PXP2_REG_PGL_CONTROL1 0x120514
c18487ee
YR
1974/* [RW 32] third dword data of expansion rom request. this register is
1975 special. reading from it provides a vector outstanding read requests. if
1976 a bit is zero it means that a read request on the corresponding tag did
1977 not finish yet (not all completions have arrived for it) */
1978#define PXP2_REG_PGL_EXP_ROM2 0x120808
a2fbb9ea
ET
1979/* [RW 32] Inbound interrupt table for CSDM: bits[31:16]-mask;
1980 its[15:0]-address */
1981#define PXP2_REG_PGL_INT_CSDM_0 0x1204f4
1982#define PXP2_REG_PGL_INT_CSDM_1 0x1204f8
1983#define PXP2_REG_PGL_INT_CSDM_2 0x1204fc
1984#define PXP2_REG_PGL_INT_CSDM_3 0x120500
1985#define PXP2_REG_PGL_INT_CSDM_4 0x120504
1986#define PXP2_REG_PGL_INT_CSDM_5 0x120508
1987#define PXP2_REG_PGL_INT_CSDM_6 0x12050c
1988#define PXP2_REG_PGL_INT_CSDM_7 0x120510
1989/* [RW 32] Inbound interrupt table for TSDM: bits[31:16]-mask;
1990 its[15:0]-address */
1991#define PXP2_REG_PGL_INT_TSDM_0 0x120494
1992#define PXP2_REG_PGL_INT_TSDM_1 0x120498
1993#define PXP2_REG_PGL_INT_TSDM_2 0x12049c
1994#define PXP2_REG_PGL_INT_TSDM_3 0x1204a0
1995#define PXP2_REG_PGL_INT_TSDM_4 0x1204a4
1996#define PXP2_REG_PGL_INT_TSDM_5 0x1204a8
1997#define PXP2_REG_PGL_INT_TSDM_6 0x1204ac
1998#define PXP2_REG_PGL_INT_TSDM_7 0x1204b0
1999/* [RW 32] Inbound interrupt table for USDM: bits[31:16]-mask;
2000 its[15:0]-address */
2001#define PXP2_REG_PGL_INT_USDM_0 0x1204b4
2002#define PXP2_REG_PGL_INT_USDM_1 0x1204b8
2003#define PXP2_REG_PGL_INT_USDM_2 0x1204bc
2004#define PXP2_REG_PGL_INT_USDM_3 0x1204c0
2005#define PXP2_REG_PGL_INT_USDM_4 0x1204c4
2006#define PXP2_REG_PGL_INT_USDM_5 0x1204c8
2007#define PXP2_REG_PGL_INT_USDM_6 0x1204cc
2008#define PXP2_REG_PGL_INT_USDM_7 0x1204d0
2009/* [RW 32] Inbound interrupt table for XSDM: bits[31:16]-mask;
2010 its[15:0]-address */
2011#define PXP2_REG_PGL_INT_XSDM_0 0x1204d4
2012#define PXP2_REG_PGL_INT_XSDM_1 0x1204d8
2013#define PXP2_REG_PGL_INT_XSDM_2 0x1204dc
2014#define PXP2_REG_PGL_INT_XSDM_3 0x1204e0
2015#define PXP2_REG_PGL_INT_XSDM_4 0x1204e4
2016#define PXP2_REG_PGL_INT_XSDM_5 0x1204e8
2017#define PXP2_REG_PGL_INT_XSDM_6 0x1204ec
2018#define PXP2_REG_PGL_INT_XSDM_7 0x1204f0
2019/* [R 1] this bit indicates that a read request was blocked because of
2020 bus_master_en was deasserted */
2021#define PXP2_REG_PGL_READ_BLOCKED 0x120568
c18487ee 2022#define PXP2_REG_PGL_TAGS_LIMIT 0x1205a8
a2fbb9ea
ET
2023/* [R 18] debug only */
2024#define PXP2_REG_PGL_TXW_CDTS 0x12052c
2025/* [R 1] this bit indicates that a write request was blocked because of
2026 bus_master_en was deasserted */
2027#define PXP2_REG_PGL_WRITE_BLOCKED 0x120564
2028#define PXP2_REG_PSWRQ_BW_ADD1 0x1201c0
2029#define PXP2_REG_PSWRQ_BW_ADD10 0x1201e4
2030#define PXP2_REG_PSWRQ_BW_ADD11 0x1201e8
2031#define PXP2_REG_PSWRQ_BW_ADD10 0x1201e4
2032#define PXP2_REG_PSWRQ_BW_ADD11 0x1201e8
2033#define PXP2_REG_PSWRQ_BW_ADD2 0x1201c4
2034#define PXP2_REG_PSWRQ_BW_ADD28 0x120228
2035#define PXP2_REG_PSWRQ_BW_ADD28 0x120228
2036#define PXP2_REG_PSWRQ_BW_ADD3 0x1201c8
2037#define PXP2_REG_PSWRQ_BW_ADD6 0x1201d4
2038#define PXP2_REG_PSWRQ_BW_ADD7 0x1201d8
2039#define PXP2_REG_PSWRQ_BW_ADD8 0x1201dc
2040#define PXP2_REG_PSWRQ_BW_ADD9 0x1201e0
2041#define PXP2_REG_PSWRQ_BW_CREDIT 0x12032c
2042#define PXP2_REG_PSWRQ_BW_L1 0x1202b0
2043#define PXP2_REG_PSWRQ_BW_L10 0x1202d4
2044#define PXP2_REG_PSWRQ_BW_L11 0x1202d8
2045#define PXP2_REG_PSWRQ_BW_L10 0x1202d4
2046#define PXP2_REG_PSWRQ_BW_L11 0x1202d8
2047#define PXP2_REG_PSWRQ_BW_L2 0x1202b4
2048#define PXP2_REG_PSWRQ_BW_L28 0x120318
2049#define PXP2_REG_PSWRQ_BW_L28 0x120318
2050#define PXP2_REG_PSWRQ_BW_L3 0x1202b8
2051#define PXP2_REG_PSWRQ_BW_L6 0x1202c4
2052#define PXP2_REG_PSWRQ_BW_L7 0x1202c8
2053#define PXP2_REG_PSWRQ_BW_L8 0x1202cc
2054#define PXP2_REG_PSWRQ_BW_L9 0x1202d0
2055#define PXP2_REG_PSWRQ_BW_RD 0x120324
2056#define PXP2_REG_PSWRQ_BW_UB1 0x120238
2057#define PXP2_REG_PSWRQ_BW_UB10 0x12025c
2058#define PXP2_REG_PSWRQ_BW_UB11 0x120260
2059#define PXP2_REG_PSWRQ_BW_UB10 0x12025c
2060#define PXP2_REG_PSWRQ_BW_UB11 0x120260
2061#define PXP2_REG_PSWRQ_BW_UB2 0x12023c
2062#define PXP2_REG_PSWRQ_BW_UB28 0x1202a0
2063#define PXP2_REG_PSWRQ_BW_UB28 0x1202a0
2064#define PXP2_REG_PSWRQ_BW_UB3 0x120240
2065#define PXP2_REG_PSWRQ_BW_UB6 0x12024c
2066#define PXP2_REG_PSWRQ_BW_UB7 0x120250
2067#define PXP2_REG_PSWRQ_BW_UB8 0x120254
2068#define PXP2_REG_PSWRQ_BW_UB9 0x120258
2069#define PXP2_REG_PSWRQ_BW_WR 0x120328
2070#define PXP2_REG_PSWRQ_CDU0_L2P 0x120000
2071#define PXP2_REG_PSWRQ_QM0_L2P 0x120038
2072#define PXP2_REG_PSWRQ_SRC0_L2P 0x120054
2073#define PXP2_REG_PSWRQ_TM0_L2P 0x12001c
c18487ee 2074#define PXP2_REG_PSWRQ_TSDM0_L2P 0x1200e0
34f80b04
EG
2075/* [RW 32] Interrupt mask register #0 read/write */
2076#define PXP2_REG_PXP2_INT_MASK_0 0x120578
2077/* [R 32] Interrupt register #0 read */
2078#define PXP2_REG_PXP2_INT_STS_0 0x12056c
2079#define PXP2_REG_PXP2_INT_STS_1 0x120608
2080/* [RC 32] Interrupt register #0 read clear */
2081#define PXP2_REG_PXP2_INT_STS_CLR_0 0x120570
a2fbb9ea
ET
2082/* [RW 32] Parity mask register #0 read/write */
2083#define PXP2_REG_PXP2_PRTY_MASK_0 0x120588
2084#define PXP2_REG_PXP2_PRTY_MASK_1 0x120598
f1410647
ET
2085/* [R 32] Parity register #0 read */
2086#define PXP2_REG_PXP2_PRTY_STS_0 0x12057c
2087#define PXP2_REG_PXP2_PRTY_STS_1 0x12058c
a2fbb9ea
ET
2088/* [R 1] Debug only: The 'almost full' indication from each fifo (gives
2089 indication about backpressure) */
2090#define PXP2_REG_RD_ALMOST_FULL_0 0x120424
2091/* [R 8] Debug only: The blocks counter - number of unused block ids */
2092#define PXP2_REG_RD_BLK_CNT 0x120418
2093/* [RW 8] Debug only: Total number of available blocks in Tetris Buffer.
2094 Must be bigger than 6. Normally should not be changed. */
2095#define PXP2_REG_RD_BLK_NUM_CFG 0x12040c
2096/* [RW 2] CDU byte swapping mode configuration for master read requests */
2097#define PXP2_REG_RD_CDURD_SWAP_MODE 0x120404
2098/* [RW 1] When '1'; inputs to the PSWRD block are ignored */
2099#define PXP2_REG_RD_DISABLE_INPUTS 0x120374
2100/* [R 1] PSWRD internal memories initialization is done */
2101#define PXP2_REG_RD_INIT_DONE 0x120370
2102/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2103 allocated for vq10 */
2104#define PXP2_REG_RD_MAX_BLKS_VQ10 0x1203a0
2105/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2106 allocated for vq11 */
2107#define PXP2_REG_RD_MAX_BLKS_VQ11 0x1203a4
2108/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2109 allocated for vq17 */
2110#define PXP2_REG_RD_MAX_BLKS_VQ17 0x1203bc
2111/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2112 allocated for vq18 */
2113#define PXP2_REG_RD_MAX_BLKS_VQ18 0x1203c0
2114/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2115 allocated for vq19 */
2116#define PXP2_REG_RD_MAX_BLKS_VQ19 0x1203c4
2117/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2118 allocated for vq22 */
2119#define PXP2_REG_RD_MAX_BLKS_VQ22 0x1203d0
2120/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2121 allocated for vq6 */
2122#define PXP2_REG_RD_MAX_BLKS_VQ6 0x120390
2123/* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2124 allocated for vq9 */
2125#define PXP2_REG_RD_MAX_BLKS_VQ9 0x12039c
2126/* [RW 2] PBF byte swapping mode configuration for master read requests */
2127#define PXP2_REG_RD_PBF_SWAP_MODE 0x1203f4
2128/* [R 1] Debug only: Indication if delivery ports are idle */
2129#define PXP2_REG_RD_PORT_IS_IDLE_0 0x12041c
2130#define PXP2_REG_RD_PORT_IS_IDLE_1 0x120420
2131/* [RW 2] QM byte swapping mode configuration for master read requests */
2132#define PXP2_REG_RD_QM_SWAP_MODE 0x1203f8
2133/* [R 7] Debug only: The SR counter - number of unused sub request ids */
2134#define PXP2_REG_RD_SR_CNT 0x120414
2135/* [RW 2] SRC byte swapping mode configuration for master read requests */
2136#define PXP2_REG_RD_SRC_SWAP_MODE 0x120400
2137/* [RW 7] Debug only: Total number of available PCI read sub-requests. Must
2138 be bigger than 1. Normally should not be changed. */
2139#define PXP2_REG_RD_SR_NUM_CFG 0x120408
2140/* [RW 1] Signals the PSWRD block to start initializing internal memories */
2141#define PXP2_REG_RD_START_INIT 0x12036c
2142/* [RW 2] TM byte swapping mode configuration for master read requests */
2143#define PXP2_REG_RD_TM_SWAP_MODE 0x1203fc
2144/* [RW 10] Bandwidth addition to VQ0 write requests */
2145#define PXP2_REG_RQ_BW_RD_ADD0 0x1201bc
2146/* [RW 10] Bandwidth addition to VQ12 read requests */
2147#define PXP2_REG_RQ_BW_RD_ADD12 0x1201ec
2148/* [RW 10] Bandwidth addition to VQ13 read requests */
2149#define PXP2_REG_RQ_BW_RD_ADD13 0x1201f0
2150/* [RW 10] Bandwidth addition to VQ14 read requests */
2151#define PXP2_REG_RQ_BW_RD_ADD14 0x1201f4
2152/* [RW 10] Bandwidth addition to VQ15 read requests */
2153#define PXP2_REG_RQ_BW_RD_ADD15 0x1201f8
2154/* [RW 10] Bandwidth addition to VQ16 read requests */
2155#define PXP2_REG_RQ_BW_RD_ADD16 0x1201fc
2156/* [RW 10] Bandwidth addition to VQ17 read requests */
2157#define PXP2_REG_RQ_BW_RD_ADD17 0x120200
2158/* [RW 10] Bandwidth addition to VQ18 read requests */
2159#define PXP2_REG_RQ_BW_RD_ADD18 0x120204
2160/* [RW 10] Bandwidth addition to VQ19 read requests */
2161#define PXP2_REG_RQ_BW_RD_ADD19 0x120208
2162/* [RW 10] Bandwidth addition to VQ20 read requests */
2163#define PXP2_REG_RQ_BW_RD_ADD20 0x12020c
2164/* [RW 10] Bandwidth addition to VQ22 read requests */
2165#define PXP2_REG_RQ_BW_RD_ADD22 0x120210
2166/* [RW 10] Bandwidth addition to VQ23 read requests */
2167#define PXP2_REG_RQ_BW_RD_ADD23 0x120214
2168/* [RW 10] Bandwidth addition to VQ24 read requests */
2169#define PXP2_REG_RQ_BW_RD_ADD24 0x120218
2170/* [RW 10] Bandwidth addition to VQ25 read requests */
2171#define PXP2_REG_RQ_BW_RD_ADD25 0x12021c
2172/* [RW 10] Bandwidth addition to VQ26 read requests */
2173#define PXP2_REG_RQ_BW_RD_ADD26 0x120220
2174/* [RW 10] Bandwidth addition to VQ27 read requests */
2175#define PXP2_REG_RQ_BW_RD_ADD27 0x120224
2176/* [RW 10] Bandwidth addition to VQ4 read requests */
2177#define PXP2_REG_RQ_BW_RD_ADD4 0x1201cc
2178/* [RW 10] Bandwidth addition to VQ5 read requests */
2179#define PXP2_REG_RQ_BW_RD_ADD5 0x1201d0
2180/* [RW 10] Bandwidth Typical L for VQ0 Read requests */
2181#define PXP2_REG_RQ_BW_RD_L0 0x1202ac
2182/* [RW 10] Bandwidth Typical L for VQ12 Read requests */
2183#define PXP2_REG_RQ_BW_RD_L12 0x1202dc
2184/* [RW 10] Bandwidth Typical L for VQ13 Read requests */
2185#define PXP2_REG_RQ_BW_RD_L13 0x1202e0
2186/* [RW 10] Bandwidth Typical L for VQ14 Read requests */
2187#define PXP2_REG_RQ_BW_RD_L14 0x1202e4
2188/* [RW 10] Bandwidth Typical L for VQ15 Read requests */
2189#define PXP2_REG_RQ_BW_RD_L15 0x1202e8
2190/* [RW 10] Bandwidth Typical L for VQ16 Read requests */
2191#define PXP2_REG_RQ_BW_RD_L16 0x1202ec
2192/* [RW 10] Bandwidth Typical L for VQ17 Read requests */
2193#define PXP2_REG_RQ_BW_RD_L17 0x1202f0
2194/* [RW 10] Bandwidth Typical L for VQ18 Read requests */
2195#define PXP2_REG_RQ_BW_RD_L18 0x1202f4
2196/* [RW 10] Bandwidth Typical L for VQ19 Read requests */
2197#define PXP2_REG_RQ_BW_RD_L19 0x1202f8
2198/* [RW 10] Bandwidth Typical L for VQ20 Read requests */
2199#define PXP2_REG_RQ_BW_RD_L20 0x1202fc
2200/* [RW 10] Bandwidth Typical L for VQ22 Read requests */
2201#define PXP2_REG_RQ_BW_RD_L22 0x120300
2202/* [RW 10] Bandwidth Typical L for VQ23 Read requests */
2203#define PXP2_REG_RQ_BW_RD_L23 0x120304
2204/* [RW 10] Bandwidth Typical L for VQ24 Read requests */
2205#define PXP2_REG_RQ_BW_RD_L24 0x120308
2206/* [RW 10] Bandwidth Typical L for VQ25 Read requests */
2207#define PXP2_REG_RQ_BW_RD_L25 0x12030c
2208/* [RW 10] Bandwidth Typical L for VQ26 Read requests */
2209#define PXP2_REG_RQ_BW_RD_L26 0x120310
2210/* [RW 10] Bandwidth Typical L for VQ27 Read requests */
2211#define PXP2_REG_RQ_BW_RD_L27 0x120314
2212/* [RW 10] Bandwidth Typical L for VQ4 Read requests */
2213#define PXP2_REG_RQ_BW_RD_L4 0x1202bc
2214/* [RW 10] Bandwidth Typical L for VQ5 Read- currently not used */
2215#define PXP2_REG_RQ_BW_RD_L5 0x1202c0
2216/* [RW 7] Bandwidth upper bound for VQ0 read requests */
2217#define PXP2_REG_RQ_BW_RD_UBOUND0 0x120234
2218/* [RW 7] Bandwidth upper bound for VQ12 read requests */
2219#define PXP2_REG_RQ_BW_RD_UBOUND12 0x120264
2220/* [RW 7] Bandwidth upper bound for VQ13 read requests */
2221#define PXP2_REG_RQ_BW_RD_UBOUND13 0x120268
2222/* [RW 7] Bandwidth upper bound for VQ14 read requests */
2223#define PXP2_REG_RQ_BW_RD_UBOUND14 0x12026c
2224/* [RW 7] Bandwidth upper bound for VQ15 read requests */
2225#define PXP2_REG_RQ_BW_RD_UBOUND15 0x120270
2226/* [RW 7] Bandwidth upper bound for VQ16 read requests */
2227#define PXP2_REG_RQ_BW_RD_UBOUND16 0x120274
2228/* [RW 7] Bandwidth upper bound for VQ17 read requests */
2229#define PXP2_REG_RQ_BW_RD_UBOUND17 0x120278
2230/* [RW 7] Bandwidth upper bound for VQ18 read requests */
2231#define PXP2_REG_RQ_BW_RD_UBOUND18 0x12027c
2232/* [RW 7] Bandwidth upper bound for VQ19 read requests */
2233#define PXP2_REG_RQ_BW_RD_UBOUND19 0x120280
2234/* [RW 7] Bandwidth upper bound for VQ20 read requests */
2235#define PXP2_REG_RQ_BW_RD_UBOUND20 0x120284
2236/* [RW 7] Bandwidth upper bound for VQ22 read requests */
2237#define PXP2_REG_RQ_BW_RD_UBOUND22 0x120288
2238/* [RW 7] Bandwidth upper bound for VQ23 read requests */
2239#define PXP2_REG_RQ_BW_RD_UBOUND23 0x12028c
2240/* [RW 7] Bandwidth upper bound for VQ24 read requests */
2241#define PXP2_REG_RQ_BW_RD_UBOUND24 0x120290
2242/* [RW 7] Bandwidth upper bound for VQ25 read requests */
2243#define PXP2_REG_RQ_BW_RD_UBOUND25 0x120294
2244/* [RW 7] Bandwidth upper bound for VQ26 read requests */
2245#define PXP2_REG_RQ_BW_RD_UBOUND26 0x120298
2246/* [RW 7] Bandwidth upper bound for VQ27 read requests */
2247#define PXP2_REG_RQ_BW_RD_UBOUND27 0x12029c
2248/* [RW 7] Bandwidth upper bound for VQ4 read requests */
2249#define PXP2_REG_RQ_BW_RD_UBOUND4 0x120244
2250/* [RW 7] Bandwidth upper bound for VQ5 read requests */
2251#define PXP2_REG_RQ_BW_RD_UBOUND5 0x120248
2252/* [RW 10] Bandwidth addition to VQ29 write requests */
2253#define PXP2_REG_RQ_BW_WR_ADD29 0x12022c
2254/* [RW 10] Bandwidth addition to VQ30 write requests */
2255#define PXP2_REG_RQ_BW_WR_ADD30 0x120230
2256/* [RW 10] Bandwidth Typical L for VQ29 Write requests */
2257#define PXP2_REG_RQ_BW_WR_L29 0x12031c
2258/* [RW 10] Bandwidth Typical L for VQ30 Write requests */
2259#define PXP2_REG_RQ_BW_WR_L30 0x120320
2260/* [RW 7] Bandwidth upper bound for VQ29 */
2261#define PXP2_REG_RQ_BW_WR_UBOUND29 0x1202a4
2262/* [RW 7] Bandwidth upper bound for VQ30 */
2263#define PXP2_REG_RQ_BW_WR_UBOUND30 0x1202a8
c18487ee
YR
2264/* [RW 18] external first_mem_addr field in L2P table for CDU module port 0 */
2265#define PXP2_REG_RQ_CDU0_EFIRST_MEM_ADDR 0x120008
a2fbb9ea
ET
2266/* [RW 2] Endian mode for cdu */
2267#define PXP2_REG_RQ_CDU_ENDIAN_M 0x1201a0
c18487ee
YR
2268#define PXP2_REG_RQ_CDU_FIRST_ILT 0x12061c
2269#define PXP2_REG_RQ_CDU_LAST_ILT 0x120620
a2fbb9ea
ET
2270/* [RW 3] page size in L2P table for CDU module; -4k; -8k; -16k; -32k; -64k;
2271 -128k */
2272#define PXP2_REG_RQ_CDU_P_SIZE 0x120018
2273/* [R 1] 1' indicates that the requester has finished its internal
2274 configuration */
2275#define PXP2_REG_RQ_CFG_DONE 0x1201b4
2276/* [RW 2] Endian mode for debug */
2277#define PXP2_REG_RQ_DBG_ENDIAN_M 0x1201a4
2278/* [RW 1] When '1'; requests will enter input buffers but wont get out
2279 towards the glue */
2280#define PXP2_REG_RQ_DISABLE_INPUTS 0x120330
c18487ee
YR
2281/* [RW 1] 1 - SR will be aligned by 64B; 0 - SR will be aligned by 8B */
2282#define PXP2_REG_RQ_DRAM_ALIGN 0x1205b0
2283/* [RW 1] If 1 ILT failiue will not result in ELT access; An interrupt will
2284 be asserted */
2285#define PXP2_REG_RQ_ELT_DISABLE 0x12066c
a2fbb9ea
ET
2286/* [RW 2] Endian mode for hc */
2287#define PXP2_REG_RQ_HC_ENDIAN_M 0x1201a8
c18487ee
YR
2288/* [RW 1] when '0' ILT logic will work as in A0; otherwise B0; for back
2289 compatibility needs; Note that different registers are used per mode */
2290#define PXP2_REG_RQ_ILT_MODE 0x1205b4
a2fbb9ea
ET
2291/* [WB 53] Onchip address table */
2292#define PXP2_REG_RQ_ONCHIP_AT 0x122000
c18487ee
YR
2293/* [WB 53] Onchip address table - B0 */
2294#define PXP2_REG_RQ_ONCHIP_AT_B0 0x128000
f1410647
ET
2295/* [RW 13] Pending read limiter threshold; in Dwords */
2296#define PXP2_REG_RQ_PDR_LIMIT 0x12033c
a2fbb9ea
ET
2297/* [RW 2] Endian mode for qm */
2298#define PXP2_REG_RQ_QM_ENDIAN_M 0x120194
c18487ee
YR
2299#define PXP2_REG_RQ_QM_FIRST_ILT 0x120634
2300#define PXP2_REG_RQ_QM_LAST_ILT 0x120638
a2fbb9ea
ET
2301/* [RW 3] page size in L2P table for QM module; -4k; -8k; -16k; -32k; -64k;
2302 -128k */
2303#define PXP2_REG_RQ_QM_P_SIZE 0x120050
2304/* [RW 1] 1' indicates that the RBC has finished configurating the PSWRQ */
2305#define PXP2_REG_RQ_RBC_DONE 0x1201b0
2306/* [RW 3] Max burst size filed for read requests port 0; 000 - 128B;
2307 001:256B; 010: 512B; 11:1K:100:2K; 01:4K */
2308#define PXP2_REG_RQ_RD_MBS0 0x120160
f1410647
ET
2309/* [RW 3] Max burst size filed for read requests port 1; 000 - 128B;
2310 001:256B; 010: 512B; 11:1K:100:2K; 01:4K */
2311#define PXP2_REG_RQ_RD_MBS1 0x120168
a2fbb9ea
ET
2312/* [RW 2] Endian mode for src */
2313#define PXP2_REG_RQ_SRC_ENDIAN_M 0x12019c
c18487ee
YR
2314#define PXP2_REG_RQ_SRC_FIRST_ILT 0x12063c
2315#define PXP2_REG_RQ_SRC_LAST_ILT 0x120640
a2fbb9ea
ET
2316/* [RW 3] page size in L2P table for SRC module; -4k; -8k; -16k; -32k; -64k;
2317 -128k */
2318#define PXP2_REG_RQ_SRC_P_SIZE 0x12006c
2319/* [RW 2] Endian mode for tm */
2320#define PXP2_REG_RQ_TM_ENDIAN_M 0x120198
c18487ee
YR
2321#define PXP2_REG_RQ_TM_FIRST_ILT 0x120644
2322#define PXP2_REG_RQ_TM_LAST_ILT 0x120648
a2fbb9ea
ET
2323/* [RW 3] page size in L2P table for TM module; -4k; -8k; -16k; -32k; -64k;
2324 -128k */
2325#define PXP2_REG_RQ_TM_P_SIZE 0x120034
2326/* [R 5] Number of entries in the ufifo; his fifo has l2p completions */
2327#define PXP2_REG_RQ_UFIFO_NUM_OF_ENTRY 0x12080c
c18487ee
YR
2328/* [RW 18] external first_mem_addr field in L2P table for USDM module port 0 */
2329#define PXP2_REG_RQ_USDM0_EFIRST_MEM_ADDR 0x120094
a2fbb9ea
ET
2330/* [R 8] Number of entries occupied by vq 0 in pswrq memory */
2331#define PXP2_REG_RQ_VQ0_ENTRY_CNT 0x120810
2332/* [R 8] Number of entries occupied by vq 10 in pswrq memory */
2333#define PXP2_REG_RQ_VQ10_ENTRY_CNT 0x120818
2334/* [R 8] Number of entries occupied by vq 11 in pswrq memory */
2335#define PXP2_REG_RQ_VQ11_ENTRY_CNT 0x120820
2336/* [R 8] Number of entries occupied by vq 12 in pswrq memory */
2337#define PXP2_REG_RQ_VQ12_ENTRY_CNT 0x120828
2338/* [R 8] Number of entries occupied by vq 13 in pswrq memory */
2339#define PXP2_REG_RQ_VQ13_ENTRY_CNT 0x120830
2340/* [R 8] Number of entries occupied by vq 14 in pswrq memory */
2341#define PXP2_REG_RQ_VQ14_ENTRY_CNT 0x120838
2342/* [R 8] Number of entries occupied by vq 15 in pswrq memory */
2343#define PXP2_REG_RQ_VQ15_ENTRY_CNT 0x120840
2344/* [R 8] Number of entries occupied by vq 16 in pswrq memory */
2345#define PXP2_REG_RQ_VQ16_ENTRY_CNT 0x120848
2346/* [R 8] Number of entries occupied by vq 17 in pswrq memory */
2347#define PXP2_REG_RQ_VQ17_ENTRY_CNT 0x120850
2348/* [R 8] Number of entries occupied by vq 18 in pswrq memory */
2349#define PXP2_REG_RQ_VQ18_ENTRY_CNT 0x120858
2350/* [R 8] Number of entries occupied by vq 19 in pswrq memory */
2351#define PXP2_REG_RQ_VQ19_ENTRY_CNT 0x120860
2352/* [R 8] Number of entries occupied by vq 1 in pswrq memory */
2353#define PXP2_REG_RQ_VQ1_ENTRY_CNT 0x120868
2354/* [R 8] Number of entries occupied by vq 20 in pswrq memory */
2355#define PXP2_REG_RQ_VQ20_ENTRY_CNT 0x120870
2356/* [R 8] Number of entries occupied by vq 21 in pswrq memory */
2357#define PXP2_REG_RQ_VQ21_ENTRY_CNT 0x120878
2358/* [R 8] Number of entries occupied by vq 22 in pswrq memory */
2359#define PXP2_REG_RQ_VQ22_ENTRY_CNT 0x120880
2360/* [R 8] Number of entries occupied by vq 23 in pswrq memory */
2361#define PXP2_REG_RQ_VQ23_ENTRY_CNT 0x120888
2362/* [R 8] Number of entries occupied by vq 24 in pswrq memory */
2363#define PXP2_REG_RQ_VQ24_ENTRY_CNT 0x120890
2364/* [R 8] Number of entries occupied by vq 25 in pswrq memory */
2365#define PXP2_REG_RQ_VQ25_ENTRY_CNT 0x120898
2366/* [R 8] Number of entries occupied by vq 26 in pswrq memory */
2367#define PXP2_REG_RQ_VQ26_ENTRY_CNT 0x1208a0
2368/* [R 8] Number of entries occupied by vq 27 in pswrq memory */
2369#define PXP2_REG_RQ_VQ27_ENTRY_CNT 0x1208a8
2370/* [R 8] Number of entries occupied by vq 28 in pswrq memory */
2371#define PXP2_REG_RQ_VQ28_ENTRY_CNT 0x1208b0
2372/* [R 8] Number of entries occupied by vq 29 in pswrq memory */
2373#define PXP2_REG_RQ_VQ29_ENTRY_CNT 0x1208b8
2374/* [R 8] Number of entries occupied by vq 2 in pswrq memory */
2375#define PXP2_REG_RQ_VQ2_ENTRY_CNT 0x1208c0
2376/* [R 8] Number of entries occupied by vq 30 in pswrq memory */
2377#define PXP2_REG_RQ_VQ30_ENTRY_CNT 0x1208c8
2378/* [R 8] Number of entries occupied by vq 31 in pswrq memory */
2379#define PXP2_REG_RQ_VQ31_ENTRY_CNT 0x1208d0
2380/* [R 8] Number of entries occupied by vq 3 in pswrq memory */
2381#define PXP2_REG_RQ_VQ3_ENTRY_CNT 0x1208d8
2382/* [R 8] Number of entries occupied by vq 4 in pswrq memory */
2383#define PXP2_REG_RQ_VQ4_ENTRY_CNT 0x1208e0
2384/* [R 8] Number of entries occupied by vq 5 in pswrq memory */
2385#define PXP2_REG_RQ_VQ5_ENTRY_CNT 0x1208e8
2386/* [R 8] Number of entries occupied by vq 6 in pswrq memory */
2387#define PXP2_REG_RQ_VQ6_ENTRY_CNT 0x1208f0
2388/* [R 8] Number of entries occupied by vq 7 in pswrq memory */
2389#define PXP2_REG_RQ_VQ7_ENTRY_CNT 0x1208f8
2390/* [R 8] Number of entries occupied by vq 8 in pswrq memory */
2391#define PXP2_REG_RQ_VQ8_ENTRY_CNT 0x120900
2392/* [R 8] Number of entries occupied by vq 9 in pswrq memory */
2393#define PXP2_REG_RQ_VQ9_ENTRY_CNT 0x120908
2394/* [RW 3] Max burst size filed for write requests port 0; 000 - 128B;
2395 001:256B; 010: 512B; */
2396#define PXP2_REG_RQ_WR_MBS0 0x12015c
f1410647
ET
2397/* [RW 3] Max burst size filed for write requests port 1; 000 - 128B;
2398 001:256B; 010: 512B; */
2399#define PXP2_REG_RQ_WR_MBS1 0x120164
c18487ee
YR
2400/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2401 buffer reaches this number has_payload will be asserted */
2402#define PXP2_REG_WR_CDU_MPS 0x1205f0
2403/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2404 buffer reaches this number has_payload will be asserted */
2405#define PXP2_REG_WR_CSDM_MPS 0x1205d0
2406/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2407 buffer reaches this number has_payload will be asserted */
2408#define PXP2_REG_WR_DBG_MPS 0x1205e8
2409/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2410 buffer reaches this number has_payload will be asserted */
2411#define PXP2_REG_WR_DMAE_MPS 0x1205ec
a2fbb9ea
ET
2412/* [RW 10] if Number of entries in dmae fifo will be higer than this
2413 threshold then has_payload indication will be asserted; the default value
2414 should be equal to &gt; write MBS size! */
2415#define PXP2_REG_WR_DMAE_TH 0x120368
c18487ee
YR
2416/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2417 buffer reaches this number has_payload will be asserted */
2418#define PXP2_REG_WR_HC_MPS 0x1205c8
2419/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2420 buffer reaches this number has_payload will be asserted */
2421#define PXP2_REG_WR_QM_MPS 0x1205dc
2422/* [RW 1] 0 - working in A0 mode; - working in B0 mode */
2423#define PXP2_REG_WR_REV_MODE 0x120670
2424/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2425 buffer reaches this number has_payload will be asserted */
2426#define PXP2_REG_WR_SRC_MPS 0x1205e4
2427/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2428 buffer reaches this number has_payload will be asserted */
2429#define PXP2_REG_WR_TM_MPS 0x1205e0
2430/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2431 buffer reaches this number has_payload will be asserted */
2432#define PXP2_REG_WR_TSDM_MPS 0x1205d4
f1410647
ET
2433/* [RW 10] if Number of entries in usdmdp fifo will be higer than this
2434 threshold then has_payload indication will be asserted; the default value
2435 should be equal to &gt; write MBS size! */
2436#define PXP2_REG_WR_USDMDP_TH 0x120348
c18487ee
YR
2437/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2438 buffer reaches this number has_payload will be asserted */
2439#define PXP2_REG_WR_USDM_MPS 0x1205cc
2440/* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2441 buffer reaches this number has_payload will be asserted */
2442#define PXP2_REG_WR_XSDM_MPS 0x1205d8
a2fbb9ea
ET
2443/* [R 1] debug only: Indication if PSWHST arbiter is idle */
2444#define PXP_REG_HST_ARB_IS_IDLE 0x103004
2445/* [R 8] debug only: A bit mask for all PSWHST arbiter clients. '1' means
2446 this client is waiting for the arbiter. */
2447#define PXP_REG_HST_CLIENTS_WAITING_TO_ARB 0x103008
c18487ee
YR
2448/* [R 1] debug only: '1' means this PSWHST is discarding doorbells. This bit
2449 should update accoring to 'hst_discard_doorbells' register when the state
2450 machine is idle */
2451#define PXP_REG_HST_DISCARD_DOORBELLS_STATUS 0x1030a0
2452/* [R 6] debug only: A bit mask for all PSWHST internal write clients. '1'
2453 means this PSWHST is discarding inputs from this client. Each bit should
2454 update accoring to 'hst_discard_internal_writes' register when the state
2455 machine is idle. */
2456#define PXP_REG_HST_DISCARD_INTERNAL_WRITES_STATUS 0x10309c
a2fbb9ea
ET
2457/* [WB 160] Used for initialization of the inbound interrupts memory */
2458#define PXP_REG_HST_INBOUND_INT 0x103800
2459/* [RW 32] Interrupt mask register #0 read/write */
2460#define PXP_REG_PXP_INT_MASK_0 0x103074
2461#define PXP_REG_PXP_INT_MASK_1 0x103084
2462/* [R 32] Interrupt register #0 read */
2463#define PXP_REG_PXP_INT_STS_0 0x103068
2464#define PXP_REG_PXP_INT_STS_1 0x103078
2465/* [RC 32] Interrupt register #0 read clear */
2466#define PXP_REG_PXP_INT_STS_CLR_0 0x10306c
2467/* [RW 26] Parity mask register #0 read/write */
2468#define PXP_REG_PXP_PRTY_MASK 0x103094
f1410647
ET
2469/* [R 26] Parity register #0 read */
2470#define PXP_REG_PXP_PRTY_STS 0x103088
a2fbb9ea
ET
2471/* [RW 4] The activity counter initial increment value sent in the load
2472 request */
2473#define QM_REG_ACTCTRINITVAL_0 0x168040
2474#define QM_REG_ACTCTRINITVAL_1 0x168044
2475#define QM_REG_ACTCTRINITVAL_2 0x168048
2476#define QM_REG_ACTCTRINITVAL_3 0x16804c
2477/* [RW 32] The base logical address (in bytes) of each physical queue. The
2478 index I represents the physical queue number. The 12 lsbs are ignore and
c18487ee
YR
2479 considered zero so practically there are only 20 bits in this register;
2480 queues 63-0 */
a2fbb9ea
ET
2481#define QM_REG_BASEADDR 0x168900
2482/* [RW 16] The byte credit cost for each task. This value is for both ports */
2483#define QM_REG_BYTECRDCOST 0x168234
2484/* [RW 16] The initial byte credit value for both ports. */
2485#define QM_REG_BYTECRDINITVAL 0x168238
2486/* [RW 32] A bit per physical queue. If the bit is cleared then the physical
c18487ee 2487 queue uses port 0 else it uses port 1; queues 31-0 */
a2fbb9ea
ET
2488#define QM_REG_BYTECRDPORT_LSB 0x168228
2489/* [RW 32] A bit per physical queue. If the bit is cleared then the physical
c18487ee
YR
2490 queue uses port 0 else it uses port 1; queues 95-64 */
2491#define QM_REG_BYTECRDPORT_LSB_EXT_A 0x16e520
2492/* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2493 queue uses port 0 else it uses port 1; queues 63-32 */
a2fbb9ea 2494#define QM_REG_BYTECRDPORT_MSB 0x168224
c18487ee
YR
2495/* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2496 queue uses port 0 else it uses port 1; queues 127-96 */
2497#define QM_REG_BYTECRDPORT_MSB_EXT_A 0x16e51c
a2fbb9ea
ET
2498/* [RW 16] The byte credit value that if above the QM is considered almost
2499 full */
2500#define QM_REG_BYTECREDITAFULLTHR 0x168094
2501/* [RW 4] The initial credit for interface */
2502#define QM_REG_CMINITCRD_0 0x1680cc
2503#define QM_REG_CMINITCRD_1 0x1680d0
2504#define QM_REG_CMINITCRD_2 0x1680d4
2505#define QM_REG_CMINITCRD_3 0x1680d8
2506#define QM_REG_CMINITCRD_4 0x1680dc
2507#define QM_REG_CMINITCRD_5 0x1680e0
2508#define QM_REG_CMINITCRD_6 0x1680e4
2509#define QM_REG_CMINITCRD_7 0x1680e8
2510/* [RW 8] A mask bit per CM interface. If this bit is 0 then this interface
2511 is masked */
2512#define QM_REG_CMINTEN 0x1680ec
2513/* [RW 12] A bit vector which indicates which one of the queues are tied to
2514 interface 0 */
2515#define QM_REG_CMINTVOQMASK_0 0x1681f4
2516#define QM_REG_CMINTVOQMASK_1 0x1681f8
2517#define QM_REG_CMINTVOQMASK_2 0x1681fc
2518#define QM_REG_CMINTVOQMASK_3 0x168200
2519#define QM_REG_CMINTVOQMASK_4 0x168204
2520#define QM_REG_CMINTVOQMASK_5 0x168208
2521#define QM_REG_CMINTVOQMASK_6 0x16820c
2522#define QM_REG_CMINTVOQMASK_7 0x168210
2523/* [RW 20] The number of connections divided by 16 which dictates the size
c18487ee 2524 of each queue which belongs to even function number. */
a2fbb9ea
ET
2525#define QM_REG_CONNNUM_0 0x168020
2526/* [R 6] Keep the fill level of the fifo from write client 4 */
2527#define QM_REG_CQM_WRC_FIFOLVL 0x168018
2528/* [RW 8] The context regions sent in the CFC load request */
2529#define QM_REG_CTXREG_0 0x168030
2530#define QM_REG_CTXREG_1 0x168034
2531#define QM_REG_CTXREG_2 0x168038
2532#define QM_REG_CTXREG_3 0x16803c
2533/* [RW 12] The VOQ mask used to select the VOQs which needs to be full for
2534 bypass enable */
2535#define QM_REG_ENBYPVOQMASK 0x16823c
2536/* [RW 32] A bit mask per each physical queue. If a bit is set then the
c18487ee 2537 physical queue uses the byte credit; queues 31-0 */
a2fbb9ea
ET
2538#define QM_REG_ENBYTECRD_LSB 0x168220
2539/* [RW 32] A bit mask per each physical queue. If a bit is set then the
c18487ee
YR
2540 physical queue uses the byte credit; queues 95-64 */
2541#define QM_REG_ENBYTECRD_LSB_EXT_A 0x16e518
2542/* [RW 32] A bit mask per each physical queue. If a bit is set then the
2543 physical queue uses the byte credit; queues 63-32 */
a2fbb9ea 2544#define QM_REG_ENBYTECRD_MSB 0x16821c
c18487ee
YR
2545/* [RW 32] A bit mask per each physical queue. If a bit is set then the
2546 physical queue uses the byte credit; queues 127-96 */
2547#define QM_REG_ENBYTECRD_MSB_EXT_A 0x16e514
a2fbb9ea
ET
2548/* [RW 4] If cleared then the secondary interface will not be served by the
2549 RR arbiter */
2550#define QM_REG_ENSEC 0x1680f0
c18487ee 2551/* [RW 32] NA */
a2fbb9ea 2552#define QM_REG_FUNCNUMSEL_LSB 0x168230
c18487ee 2553/* [RW 32] NA */
a2fbb9ea
ET
2554#define QM_REG_FUNCNUMSEL_MSB 0x16822c
2555/* [RW 32] A mask register to mask the Almost empty signals which will not
c18487ee 2556 be use for the almost empty indication to the HW block; queues 31:0 */
a2fbb9ea
ET
2557#define QM_REG_HWAEMPTYMASK_LSB 0x168218
2558/* [RW 32] A mask register to mask the Almost empty signals which will not
c18487ee
YR
2559 be use for the almost empty indication to the HW block; queues 95-64 */
2560#define QM_REG_HWAEMPTYMASK_LSB_EXT_A 0x16e510
2561/* [RW 32] A mask register to mask the Almost empty signals which will not
2562 be use for the almost empty indication to the HW block; queues 63:32 */
a2fbb9ea 2563#define QM_REG_HWAEMPTYMASK_MSB 0x168214
c18487ee
YR
2564/* [RW 32] A mask register to mask the Almost empty signals which will not
2565 be use for the almost empty indication to the HW block; queues 127-96 */
2566#define QM_REG_HWAEMPTYMASK_MSB_EXT_A 0x16e50c
a2fbb9ea
ET
2567/* [RW 4] The number of outstanding request to CFC */
2568#define QM_REG_OUTLDREQ 0x168804
2569/* [RC 1] A flag to indicate that overflow error occurred in one of the
2570 queues. */
2571#define QM_REG_OVFERROR 0x16805c
c18487ee 2572/* [RC 7] the Q were the qverflow occurs */
a2fbb9ea 2573#define QM_REG_OVFQNUM 0x168058
c18487ee 2574/* [R 16] Pause state for physical queues 15-0 */
a2fbb9ea 2575#define QM_REG_PAUSESTATE0 0x168410
c18487ee 2576/* [R 16] Pause state for physical queues 31-16 */
a2fbb9ea 2577#define QM_REG_PAUSESTATE1 0x168414
c18487ee
YR
2578/* [R 16] Pause state for physical queues 47-32 */
2579#define QM_REG_PAUSESTATE2 0x16e684
2580/* [R 16] Pause state for physical queues 63-48 */
2581#define QM_REG_PAUSESTATE3 0x16e688
2582/* [R 16] Pause state for physical queues 79-64 */
2583#define QM_REG_PAUSESTATE4 0x16e68c
2584/* [R 16] Pause state for physical queues 95-80 */
2585#define QM_REG_PAUSESTATE5 0x16e690
2586/* [R 16] Pause state for physical queues 111-96 */
2587#define QM_REG_PAUSESTATE6 0x16e694
2588/* [R 16] Pause state for physical queues 127-112 */
2589#define QM_REG_PAUSESTATE7 0x16e698
a2fbb9ea
ET
2590/* [RW 2] The PCI attributes field used in the PCI request. */
2591#define QM_REG_PCIREQAT 0x168054
2592/* [R 16] The byte credit of port 0 */
2593#define QM_REG_PORT0BYTECRD 0x168300
2594/* [R 16] The byte credit of port 1 */
2595#define QM_REG_PORT1BYTECRD 0x168304
c18487ee
YR
2596/* [RW 3] pci function number of queues 15-0 */
2597#define QM_REG_PQ2PCIFUNC_0 0x16e6bc
2598#define QM_REG_PQ2PCIFUNC_1 0x16e6c0
2599#define QM_REG_PQ2PCIFUNC_2 0x16e6c4
2600#define QM_REG_PQ2PCIFUNC_3 0x16e6c8
2601#define QM_REG_PQ2PCIFUNC_4 0x16e6cc
2602#define QM_REG_PQ2PCIFUNC_5 0x16e6d0
2603#define QM_REG_PQ2PCIFUNC_6 0x16e6d4
2604#define QM_REG_PQ2PCIFUNC_7 0x16e6d8
2605/* [WB 54] Pointer Table Memory for queues 63-0; The mapping is as follow:
2606 ptrtbl[53:30] read pointer; ptrtbl[29:6] write pointer; ptrtbl[5:4] read
2607 bank0; ptrtbl[3:2] read bank 1; ptrtbl[1:0] write bank; */
a2fbb9ea 2608#define QM_REG_PTRTBL 0x168a00
c18487ee
YR
2609/* [WB 54] Pointer Table Memory for queues 127-64; The mapping is as follow:
2610 ptrtbl[53:30] read pointer; ptrtbl[29:6] write pointer; ptrtbl[5:4] read
2611 bank0; ptrtbl[3:2] read bank 1; ptrtbl[1:0] write bank; */
2612#define QM_REG_PTRTBL_EXT_A 0x16e200
a2fbb9ea
ET
2613/* [RW 2] Interrupt mask register #0 read/write */
2614#define QM_REG_QM_INT_MASK 0x168444
2615/* [R 2] Interrupt register #0 read */
2616#define QM_REG_QM_INT_STS 0x168438
c18487ee 2617/* [RW 12] Parity mask register #0 read/write */
a2fbb9ea 2618#define QM_REG_QM_PRTY_MASK 0x168454
c18487ee 2619/* [R 12] Parity register #0 read */
f1410647 2620#define QM_REG_QM_PRTY_STS 0x168448
a2fbb9ea
ET
2621/* [R 32] Current queues in pipeline: Queues from 32 to 63 */
2622#define QM_REG_QSTATUS_HIGH 0x16802c
c18487ee
YR
2623/* [R 32] Current queues in pipeline: Queues from 96 to 127 */
2624#define QM_REG_QSTATUS_HIGH_EXT_A 0x16e408
a2fbb9ea
ET
2625/* [R 32] Current queues in pipeline: Queues from 0 to 31 */
2626#define QM_REG_QSTATUS_LOW 0x168028
c18487ee
YR
2627/* [R 32] Current queues in pipeline: Queues from 64 to 95 */
2628#define QM_REG_QSTATUS_LOW_EXT_A 0x16e404
2629/* [R 24] The number of tasks queued for each queue; queues 63-0 */
a2fbb9ea 2630#define QM_REG_QTASKCTR_0 0x168308
c18487ee
YR
2631/* [R 24] The number of tasks queued for each queue; queues 127-64 */
2632#define QM_REG_QTASKCTR_EXT_A_0 0x16e584
a2fbb9ea
ET
2633/* [RW 4] Queue tied to VOQ */
2634#define QM_REG_QVOQIDX_0 0x1680f4
2635#define QM_REG_QVOQIDX_10 0x16811c
c18487ee
YR
2636#define QM_REG_QVOQIDX_100 0x16e49c
2637#define QM_REG_QVOQIDX_101 0x16e4a0
2638#define QM_REG_QVOQIDX_102 0x16e4a4
2639#define QM_REG_QVOQIDX_103 0x16e4a8
2640#define QM_REG_QVOQIDX_104 0x16e4ac
2641#define QM_REG_QVOQIDX_105 0x16e4b0
2642#define QM_REG_QVOQIDX_106 0x16e4b4
2643#define QM_REG_QVOQIDX_107 0x16e4b8
2644#define QM_REG_QVOQIDX_108 0x16e4bc
2645#define QM_REG_QVOQIDX_109 0x16e4c0
2646#define QM_REG_QVOQIDX_100 0x16e49c
2647#define QM_REG_QVOQIDX_101 0x16e4a0
2648#define QM_REG_QVOQIDX_102 0x16e4a4
2649#define QM_REG_QVOQIDX_103 0x16e4a8
2650#define QM_REG_QVOQIDX_104 0x16e4ac
2651#define QM_REG_QVOQIDX_105 0x16e4b0
2652#define QM_REG_QVOQIDX_106 0x16e4b4
2653#define QM_REG_QVOQIDX_107 0x16e4b8
2654#define QM_REG_QVOQIDX_108 0x16e4bc
2655#define QM_REG_QVOQIDX_109 0x16e4c0
a2fbb9ea 2656#define QM_REG_QVOQIDX_11 0x168120
c18487ee
YR
2657#define QM_REG_QVOQIDX_110 0x16e4c4
2658#define QM_REG_QVOQIDX_111 0x16e4c8
2659#define QM_REG_QVOQIDX_112 0x16e4cc
2660#define QM_REG_QVOQIDX_113 0x16e4d0
2661#define QM_REG_QVOQIDX_114 0x16e4d4
2662#define QM_REG_QVOQIDX_115 0x16e4d8
2663#define QM_REG_QVOQIDX_116 0x16e4dc
2664#define QM_REG_QVOQIDX_117 0x16e4e0
2665#define QM_REG_QVOQIDX_118 0x16e4e4
2666#define QM_REG_QVOQIDX_119 0x16e4e8
2667#define QM_REG_QVOQIDX_110 0x16e4c4
2668#define QM_REG_QVOQIDX_111 0x16e4c8
2669#define QM_REG_QVOQIDX_112 0x16e4cc
2670#define QM_REG_QVOQIDX_113 0x16e4d0
2671#define QM_REG_QVOQIDX_114 0x16e4d4
2672#define QM_REG_QVOQIDX_115 0x16e4d8
2673#define QM_REG_QVOQIDX_116 0x16e4dc
2674#define QM_REG_QVOQIDX_117 0x16e4e0
2675#define QM_REG_QVOQIDX_118 0x16e4e4
2676#define QM_REG_QVOQIDX_119 0x16e4e8
a2fbb9ea 2677#define QM_REG_QVOQIDX_12 0x168124
c18487ee
YR
2678#define QM_REG_QVOQIDX_120 0x16e4ec
2679#define QM_REG_QVOQIDX_121 0x16e4f0
2680#define QM_REG_QVOQIDX_122 0x16e4f4
2681#define QM_REG_QVOQIDX_123 0x16e4f8
2682#define QM_REG_QVOQIDX_124 0x16e4fc
2683#define QM_REG_QVOQIDX_125 0x16e500
2684#define QM_REG_QVOQIDX_126 0x16e504
2685#define QM_REG_QVOQIDX_127 0x16e508
2686#define QM_REG_QVOQIDX_120 0x16e4ec
2687#define QM_REG_QVOQIDX_121 0x16e4f0
2688#define QM_REG_QVOQIDX_122 0x16e4f4
2689#define QM_REG_QVOQIDX_123 0x16e4f8
2690#define QM_REG_QVOQIDX_124 0x16e4fc
2691#define QM_REG_QVOQIDX_125 0x16e500
2692#define QM_REG_QVOQIDX_126 0x16e504
2693#define QM_REG_QVOQIDX_127 0x16e508
a2fbb9ea
ET
2694#define QM_REG_QVOQIDX_13 0x168128
2695#define QM_REG_QVOQIDX_14 0x16812c
2696#define QM_REG_QVOQIDX_15 0x168130
2697#define QM_REG_QVOQIDX_16 0x168134
2698#define QM_REG_QVOQIDX_17 0x168138
2699#define QM_REG_QVOQIDX_21 0x168148
c18487ee
YR
2700#define QM_REG_QVOQIDX_22 0x16814c
2701#define QM_REG_QVOQIDX_23 0x168150
2702#define QM_REG_QVOQIDX_24 0x168154
a2fbb9ea 2703#define QM_REG_QVOQIDX_25 0x168158
c18487ee
YR
2704#define QM_REG_QVOQIDX_26 0x16815c
2705#define QM_REG_QVOQIDX_27 0x168160
2706#define QM_REG_QVOQIDX_28 0x168164
a2fbb9ea 2707#define QM_REG_QVOQIDX_29 0x168168
c18487ee
YR
2708#define QM_REG_QVOQIDX_30 0x16816c
2709#define QM_REG_QVOQIDX_31 0x168170
a2fbb9ea
ET
2710#define QM_REG_QVOQIDX_32 0x168174
2711#define QM_REG_QVOQIDX_33 0x168178
2712#define QM_REG_QVOQIDX_34 0x16817c
2713#define QM_REG_QVOQIDX_35 0x168180
2714#define QM_REG_QVOQIDX_36 0x168184
2715#define QM_REG_QVOQIDX_37 0x168188
2716#define QM_REG_QVOQIDX_38 0x16818c
2717#define QM_REG_QVOQIDX_39 0x168190
2718#define QM_REG_QVOQIDX_40 0x168194
2719#define QM_REG_QVOQIDX_41 0x168198
2720#define QM_REG_QVOQIDX_42 0x16819c
2721#define QM_REG_QVOQIDX_43 0x1681a0
2722#define QM_REG_QVOQIDX_44 0x1681a4
2723#define QM_REG_QVOQIDX_45 0x1681a8
2724#define QM_REG_QVOQIDX_46 0x1681ac
2725#define QM_REG_QVOQIDX_47 0x1681b0
2726#define QM_REG_QVOQIDX_48 0x1681b4
2727#define QM_REG_QVOQIDX_49 0x1681b8
2728#define QM_REG_QVOQIDX_5 0x168108
2729#define QM_REG_QVOQIDX_50 0x1681bc
2730#define QM_REG_QVOQIDX_51 0x1681c0
2731#define QM_REG_QVOQIDX_52 0x1681c4
2732#define QM_REG_QVOQIDX_53 0x1681c8
2733#define QM_REG_QVOQIDX_54 0x1681cc
2734#define QM_REG_QVOQIDX_55 0x1681d0
2735#define QM_REG_QVOQIDX_56 0x1681d4
2736#define QM_REG_QVOQIDX_57 0x1681d8
2737#define QM_REG_QVOQIDX_58 0x1681dc
2738#define QM_REG_QVOQIDX_59 0x1681e0
2739#define QM_REG_QVOQIDX_50 0x1681bc
2740#define QM_REG_QVOQIDX_51 0x1681c0
2741#define QM_REG_QVOQIDX_52 0x1681c4
2742#define QM_REG_QVOQIDX_53 0x1681c8
2743#define QM_REG_QVOQIDX_54 0x1681cc
2744#define QM_REG_QVOQIDX_55 0x1681d0
2745#define QM_REG_QVOQIDX_56 0x1681d4
2746#define QM_REG_QVOQIDX_57 0x1681d8
2747#define QM_REG_QVOQIDX_58 0x1681dc
2748#define QM_REG_QVOQIDX_59 0x1681e0
2749#define QM_REG_QVOQIDX_6 0x16810c
2750#define QM_REG_QVOQIDX_60 0x1681e4
2751#define QM_REG_QVOQIDX_61 0x1681e8
2752#define QM_REG_QVOQIDX_62 0x1681ec
2753#define QM_REG_QVOQIDX_63 0x1681f0
c18487ee
YR
2754#define QM_REG_QVOQIDX_64 0x16e40c
2755#define QM_REG_QVOQIDX_65 0x16e410
2756#define QM_REG_QVOQIDX_66 0x16e414
2757#define QM_REG_QVOQIDX_67 0x16e418
2758#define QM_REG_QVOQIDX_68 0x16e41c
2759#define QM_REG_QVOQIDX_69 0x16e420
a2fbb9ea
ET
2760#define QM_REG_QVOQIDX_60 0x1681e4
2761#define QM_REG_QVOQIDX_61 0x1681e8
2762#define QM_REG_QVOQIDX_62 0x1681ec
2763#define QM_REG_QVOQIDX_63 0x1681f0
c18487ee
YR
2764#define QM_REG_QVOQIDX_64 0x16e40c
2765#define QM_REG_QVOQIDX_65 0x16e410
2766#define QM_REG_QVOQIDX_69 0x16e420
a2fbb9ea 2767#define QM_REG_QVOQIDX_7 0x168110
c18487ee
YR
2768#define QM_REG_QVOQIDX_70 0x16e424
2769#define QM_REG_QVOQIDX_71 0x16e428
2770#define QM_REG_QVOQIDX_72 0x16e42c
2771#define QM_REG_QVOQIDX_73 0x16e430
2772#define QM_REG_QVOQIDX_74 0x16e434
2773#define QM_REG_QVOQIDX_75 0x16e438
2774#define QM_REG_QVOQIDX_76 0x16e43c
2775#define QM_REG_QVOQIDX_77 0x16e440
2776#define QM_REG_QVOQIDX_78 0x16e444
2777#define QM_REG_QVOQIDX_79 0x16e448
2778#define QM_REG_QVOQIDX_70 0x16e424
2779#define QM_REG_QVOQIDX_71 0x16e428
2780#define QM_REG_QVOQIDX_72 0x16e42c
2781#define QM_REG_QVOQIDX_73 0x16e430
2782#define QM_REG_QVOQIDX_74 0x16e434
2783#define QM_REG_QVOQIDX_75 0x16e438
2784#define QM_REG_QVOQIDX_76 0x16e43c
2785#define QM_REG_QVOQIDX_77 0x16e440
2786#define QM_REG_QVOQIDX_78 0x16e444
2787#define QM_REG_QVOQIDX_79 0x16e448
a2fbb9ea 2788#define QM_REG_QVOQIDX_8 0x168114
c18487ee
YR
2789#define QM_REG_QVOQIDX_80 0x16e44c
2790#define QM_REG_QVOQIDX_81 0x16e450
2791#define QM_REG_QVOQIDX_82 0x16e454
2792#define QM_REG_QVOQIDX_83 0x16e458
2793#define QM_REG_QVOQIDX_84 0x16e45c
2794#define QM_REG_QVOQIDX_85 0x16e460
2795#define QM_REG_QVOQIDX_86 0x16e464
2796#define QM_REG_QVOQIDX_87 0x16e468
2797#define QM_REG_QVOQIDX_88 0x16e46c
2798#define QM_REG_QVOQIDX_89 0x16e470
2799#define QM_REG_QVOQIDX_80 0x16e44c
2800#define QM_REG_QVOQIDX_81 0x16e450
2801#define QM_REG_QVOQIDX_85 0x16e460
2802#define QM_REG_QVOQIDX_86 0x16e464
2803#define QM_REG_QVOQIDX_87 0x16e468
2804#define QM_REG_QVOQIDX_88 0x16e46c
2805#define QM_REG_QVOQIDX_89 0x16e470
a2fbb9ea 2806#define QM_REG_QVOQIDX_9 0x168118
c18487ee
YR
2807#define QM_REG_QVOQIDX_90 0x16e474
2808#define QM_REG_QVOQIDX_91 0x16e478
2809#define QM_REG_QVOQIDX_92 0x16e47c
2810#define QM_REG_QVOQIDX_93 0x16e480
2811#define QM_REG_QVOQIDX_94 0x16e484
2812#define QM_REG_QVOQIDX_95 0x16e488
2813#define QM_REG_QVOQIDX_96 0x16e48c
2814#define QM_REG_QVOQIDX_97 0x16e490
2815#define QM_REG_QVOQIDX_98 0x16e494
2816#define QM_REG_QVOQIDX_99 0x16e498
2817#define QM_REG_QVOQIDX_90 0x16e474
2818#define QM_REG_QVOQIDX_91 0x16e478
2819#define QM_REG_QVOQIDX_92 0x16e47c
2820#define QM_REG_QVOQIDX_93 0x16e480
2821#define QM_REG_QVOQIDX_94 0x16e484
2822#define QM_REG_QVOQIDX_95 0x16e488
2823#define QM_REG_QVOQIDX_96 0x16e48c
2824#define QM_REG_QVOQIDX_97 0x16e490
2825#define QM_REG_QVOQIDX_98 0x16e494
2826#define QM_REG_QVOQIDX_99 0x16e498
a2fbb9ea
ET
2827/* [RW 1] Initialization bit command */
2828#define QM_REG_SOFT_RESET 0x168428
2829/* [RW 8] The credit cost per every task in the QM. A value per each VOQ */
2830#define QM_REG_TASKCRDCOST_0 0x16809c
2831#define QM_REG_TASKCRDCOST_1 0x1680a0
2832#define QM_REG_TASKCRDCOST_10 0x1680c4
2833#define QM_REG_TASKCRDCOST_11 0x1680c8
2834#define QM_REG_TASKCRDCOST_2 0x1680a4
2835#define QM_REG_TASKCRDCOST_4 0x1680ac
2836#define QM_REG_TASKCRDCOST_5 0x1680b0
2837/* [R 6] Keep the fill level of the fifo from write client 3 */
2838#define QM_REG_TQM_WRC_FIFOLVL 0x168010
2839/* [R 6] Keep the fill level of the fifo from write client 2 */
2840#define QM_REG_UQM_WRC_FIFOLVL 0x168008
2841/* [RC 32] Credit update error register */
2842#define QM_REG_VOQCRDERRREG 0x168408
2843/* [R 16] The credit value for each VOQ */
2844#define QM_REG_VOQCREDIT_0 0x1682d0
2845#define QM_REG_VOQCREDIT_1 0x1682d4
2846#define QM_REG_VOQCREDIT_10 0x1682f8
2847#define QM_REG_VOQCREDIT_11 0x1682fc
2848#define QM_REG_VOQCREDIT_4 0x1682e0
2849/* [RW 16] The credit value that if above the QM is considered almost full */
2850#define QM_REG_VOQCREDITAFULLTHR 0x168090
2851/* [RW 16] The init and maximum credit for each VoQ */
2852#define QM_REG_VOQINITCREDIT_0 0x168060
2853#define QM_REG_VOQINITCREDIT_1 0x168064
2854#define QM_REG_VOQINITCREDIT_10 0x168088
2855#define QM_REG_VOQINITCREDIT_11 0x16808c
2856#define QM_REG_VOQINITCREDIT_2 0x168068
2857#define QM_REG_VOQINITCREDIT_4 0x168070
2858#define QM_REG_VOQINITCREDIT_5 0x168074
2859/* [RW 1] The port of which VOQ belongs */
c18487ee 2860#define QM_REG_VOQPORT_0 0x1682a0
a2fbb9ea
ET
2861#define QM_REG_VOQPORT_1 0x1682a4
2862#define QM_REG_VOQPORT_10 0x1682c8
2863#define QM_REG_VOQPORT_11 0x1682cc
2864#define QM_REG_VOQPORT_2 0x1682a8
c18487ee 2865/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2866#define QM_REG_VOQQMASK_0_LSB 0x168240
c18487ee
YR
2867/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2868#define QM_REG_VOQQMASK_0_LSB_EXT_A 0x16e524
2869/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2870#define QM_REG_VOQQMASK_0_MSB 0x168244
c18487ee
YR
2871/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2872#define QM_REG_VOQQMASK_0_MSB_EXT_A 0x16e528
2873/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
2874#define QM_REG_VOQQMASK_10_LSB 0x168290
2875/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2876#define QM_REG_VOQQMASK_10_LSB_EXT_A 0x16e574
2877/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
2878#define QM_REG_VOQQMASK_10_MSB 0x168294
2879/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2880#define QM_REG_VOQQMASK_10_MSB_EXT_A 0x16e578
2881/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
2882#define QM_REG_VOQQMASK_11_LSB 0x168298
2883/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2884#define QM_REG_VOQQMASK_11_LSB_EXT_A 0x16e57c
2885/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
2886#define QM_REG_VOQQMASK_11_MSB 0x16829c
2887/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2888#define QM_REG_VOQQMASK_11_MSB_EXT_A 0x16e580
2889/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
2890#define QM_REG_VOQQMASK_1_LSB 0x168248
2891/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2892#define QM_REG_VOQQMASK_1_LSB_EXT_A 0x16e52c
2893/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2894#define QM_REG_VOQQMASK_1_MSB 0x16824c
c18487ee
YR
2895/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2896#define QM_REG_VOQQMASK_1_MSB_EXT_A 0x16e530
2897/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2898#define QM_REG_VOQQMASK_2_LSB 0x168250
c18487ee
YR
2899/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2900#define QM_REG_VOQQMASK_2_LSB_EXT_A 0x16e534
2901/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2902#define QM_REG_VOQQMASK_2_MSB 0x168254
c18487ee
YR
2903/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2904#define QM_REG_VOQQMASK_2_MSB_EXT_A 0x16e538
2905/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2906#define QM_REG_VOQQMASK_3_LSB 0x168258
c18487ee
YR
2907/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2908#define QM_REG_VOQQMASK_3_LSB_EXT_A 0x16e53c
2909/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2910#define QM_REG_VOQQMASK_3_MSB_EXT_A 0x16e540
2911/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2912#define QM_REG_VOQQMASK_4_LSB 0x168260
c18487ee
YR
2913/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2914#define QM_REG_VOQQMASK_4_LSB_EXT_A 0x16e544
2915/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2916#define QM_REG_VOQQMASK_4_MSB 0x168264
c18487ee
YR
2917/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2918#define QM_REG_VOQQMASK_4_MSB_EXT_A 0x16e548
2919/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2920#define QM_REG_VOQQMASK_5_LSB 0x168268
c18487ee
YR
2921/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2922#define QM_REG_VOQQMASK_5_LSB_EXT_A 0x16e54c
2923/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2924#define QM_REG_VOQQMASK_5_MSB 0x16826c
c18487ee
YR
2925/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2926#define QM_REG_VOQQMASK_5_MSB_EXT_A 0x16e550
2927/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2928#define QM_REG_VOQQMASK_6_LSB 0x168270
c18487ee
YR
2929/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2930#define QM_REG_VOQQMASK_6_LSB_EXT_A 0x16e554
2931/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2932#define QM_REG_VOQQMASK_6_MSB 0x168274
c18487ee
YR
2933/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2934#define QM_REG_VOQQMASK_6_MSB_EXT_A 0x16e558
2935/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2936#define QM_REG_VOQQMASK_7_LSB 0x168278
c18487ee
YR
2937/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2938#define QM_REG_VOQQMASK_7_LSB_EXT_A 0x16e55c
2939/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2940#define QM_REG_VOQQMASK_7_MSB 0x16827c
c18487ee
YR
2941/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2942#define QM_REG_VOQQMASK_7_MSB_EXT_A 0x16e560
2943/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2944#define QM_REG_VOQQMASK_8_LSB 0x168280
c18487ee
YR
2945/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2946#define QM_REG_VOQQMASK_8_LSB_EXT_A 0x16e564
2947/* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
a2fbb9ea 2948#define QM_REG_VOQQMASK_8_MSB 0x168284
c18487ee
YR
2949/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2950#define QM_REG_VOQQMASK_8_MSB_EXT_A 0x16e568
2951/* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
a2fbb9ea 2952#define QM_REG_VOQQMASK_9_LSB 0x168288
c18487ee
YR
2953/* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
2954#define QM_REG_VOQQMASK_9_LSB_EXT_A 0x16e56c
2955/* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
2956#define QM_REG_VOQQMASK_9_MSB_EXT_A 0x16e570
a2fbb9ea
ET
2957/* [RW 32] Wrr weights */
2958#define QM_REG_WRRWEIGHTS_0 0x16880c
2959#define QM_REG_WRRWEIGHTS_1 0x168810
2960#define QM_REG_WRRWEIGHTS_10 0x168814
2961#define QM_REG_WRRWEIGHTS_10_SIZE 1
2962/* [RW 32] Wrr weights */
2963#define QM_REG_WRRWEIGHTS_11 0x168818
2964#define QM_REG_WRRWEIGHTS_11_SIZE 1
2965/* [RW 32] Wrr weights */
2966#define QM_REG_WRRWEIGHTS_12 0x16881c
2967#define QM_REG_WRRWEIGHTS_12_SIZE 1
2968/* [RW 32] Wrr weights */
2969#define QM_REG_WRRWEIGHTS_13 0x168820
2970#define QM_REG_WRRWEIGHTS_13_SIZE 1
2971/* [RW 32] Wrr weights */
2972#define QM_REG_WRRWEIGHTS_14 0x168824
2973#define QM_REG_WRRWEIGHTS_14_SIZE 1
2974/* [RW 32] Wrr weights */
2975#define QM_REG_WRRWEIGHTS_15 0x168828
2976#define QM_REG_WRRWEIGHTS_15_SIZE 1
2977/* [RW 32] Wrr weights */
c18487ee
YR
2978#define QM_REG_WRRWEIGHTS_16 0x16e000
2979#define QM_REG_WRRWEIGHTS_16_SIZE 1
2980/* [RW 32] Wrr weights */
2981#define QM_REG_WRRWEIGHTS_17 0x16e004
2982#define QM_REG_WRRWEIGHTS_17_SIZE 1
2983/* [RW 32] Wrr weights */
2984#define QM_REG_WRRWEIGHTS_18 0x16e008
2985#define QM_REG_WRRWEIGHTS_18_SIZE 1
2986/* [RW 32] Wrr weights */
2987#define QM_REG_WRRWEIGHTS_19 0x16e00c
2988#define QM_REG_WRRWEIGHTS_19_SIZE 1
2989/* [RW 32] Wrr weights */
a2fbb9ea
ET
2990#define QM_REG_WRRWEIGHTS_10 0x168814
2991#define QM_REG_WRRWEIGHTS_11 0x168818
2992#define QM_REG_WRRWEIGHTS_12 0x16881c
2993#define QM_REG_WRRWEIGHTS_13 0x168820
2994#define QM_REG_WRRWEIGHTS_14 0x168824
2995#define QM_REG_WRRWEIGHTS_15 0x168828
c18487ee
YR
2996#define QM_REG_WRRWEIGHTS_16 0x16e000
2997#define QM_REG_WRRWEIGHTS_17 0x16e004
2998#define QM_REG_WRRWEIGHTS_18 0x16e008
2999#define QM_REG_WRRWEIGHTS_19 0x16e00c
a2fbb9ea 3000#define QM_REG_WRRWEIGHTS_2 0x16882c
c18487ee
YR
3001#define QM_REG_WRRWEIGHTS_20 0x16e010
3002#define QM_REG_WRRWEIGHTS_20_SIZE 1
3003/* [RW 32] Wrr weights */
3004#define QM_REG_WRRWEIGHTS_21 0x16e014
3005#define QM_REG_WRRWEIGHTS_21_SIZE 1
3006/* [RW 32] Wrr weights */
3007#define QM_REG_WRRWEIGHTS_22 0x16e018
3008#define QM_REG_WRRWEIGHTS_22_SIZE 1
3009/* [RW 32] Wrr weights */
3010#define QM_REG_WRRWEIGHTS_23 0x16e01c
3011#define QM_REG_WRRWEIGHTS_23_SIZE 1
3012/* [RW 32] Wrr weights */
3013#define QM_REG_WRRWEIGHTS_24 0x16e020
3014#define QM_REG_WRRWEIGHTS_24_SIZE 1
3015/* [RW 32] Wrr weights */
3016#define QM_REG_WRRWEIGHTS_25 0x16e024
3017#define QM_REG_WRRWEIGHTS_25_SIZE 1
3018/* [RW 32] Wrr weights */
3019#define QM_REG_WRRWEIGHTS_26 0x16e028
3020#define QM_REG_WRRWEIGHTS_26_SIZE 1
3021/* [RW 32] Wrr weights */
3022#define QM_REG_WRRWEIGHTS_27 0x16e02c
3023#define QM_REG_WRRWEIGHTS_27_SIZE 1
3024/* [RW 32] Wrr weights */
3025#define QM_REG_WRRWEIGHTS_28 0x16e030
3026#define QM_REG_WRRWEIGHTS_28_SIZE 1
3027/* [RW 32] Wrr weights */
3028#define QM_REG_WRRWEIGHTS_29 0x16e034
3029#define QM_REG_WRRWEIGHTS_29_SIZE 1
3030/* [RW 32] Wrr weights */
3031#define QM_REG_WRRWEIGHTS_20 0x16e010
3032#define QM_REG_WRRWEIGHTS_21 0x16e014
3033#define QM_REG_WRRWEIGHTS_22 0x16e018
3034#define QM_REG_WRRWEIGHTS_23 0x16e01c
3035#define QM_REG_WRRWEIGHTS_24 0x16e020
3036#define QM_REG_WRRWEIGHTS_25 0x16e024
3037#define QM_REG_WRRWEIGHTS_26 0x16e028
3038#define QM_REG_WRRWEIGHTS_27 0x16e02c
3039#define QM_REG_WRRWEIGHTS_28 0x16e030
3040#define QM_REG_WRRWEIGHTS_29 0x16e034
a2fbb9ea 3041#define QM_REG_WRRWEIGHTS_3 0x168830
c18487ee
YR
3042#define QM_REG_WRRWEIGHTS_30 0x16e038
3043#define QM_REG_WRRWEIGHTS_30_SIZE 1
3044/* [RW 32] Wrr weights */
3045#define QM_REG_WRRWEIGHTS_31 0x16e03c
3046#define QM_REG_WRRWEIGHTS_31_SIZE 1
3047/* [RW 32] Wrr weights */
3048#define QM_REG_WRRWEIGHTS_30 0x16e038
3049#define QM_REG_WRRWEIGHTS_31 0x16e03c
a2fbb9ea
ET
3050#define QM_REG_WRRWEIGHTS_4 0x168834
3051#define QM_REG_WRRWEIGHTS_5 0x168838
3052#define QM_REG_WRRWEIGHTS_6 0x16883c
3053#define QM_REG_WRRWEIGHTS_7 0x168840
3054#define QM_REG_WRRWEIGHTS_8 0x168844
3055#define QM_REG_WRRWEIGHTS_9 0x168848
3056/* [R 6] Keep the fill level of the fifo from write client 1 */
3057#define QM_REG_XQM_WRC_FIFOLVL 0x168000
c18487ee
YR
3058#define BRB1_BRB1_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3059#define BRB1_BRB1_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3060#define BRB1_BRB1_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3061#define BRB1_BRB1_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3062#define BRB1_BRB1_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3063#define BRB1_BRB1_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3064#define BRB1_BRB1_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3065#define BRB1_BRB1_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3066#define CCM_CCM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3067#define CCM_CCM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3068#define CCM_CCM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3069#define CCM_CCM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3070#define CCM_CCM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3071#define CCM_CCM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3072#define CCM_CCM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3073#define CCM_CCM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3074#define CDU_CDU_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3075#define CDU_CDU_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3076#define CDU_CDU_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3077#define CDU_CDU_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3078#define CDU_CDU_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3079#define CDU_CDU_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3080#define CDU_CDU_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3081#define CDU_CDU_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3082#define CFC_CFC_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3083#define CFC_CFC_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3084#define CFC_CFC_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3085#define CFC_CFC_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3086#define CFC_CFC_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3087#define CFC_CFC_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3088#define CFC_CFC_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3089#define CFC_CFC_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3090#define CSDM_CSDM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3091#define CSDM_CSDM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3092#define CSDM_CSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3093#define CSDM_CSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3094#define CSDM_CSDM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3095#define CSDM_CSDM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3096#define CSDM_CSDM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3097#define CSDM_CSDM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3098#define CSEM_CSEM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3099#define CSEM_CSEM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3100#define CSEM_CSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3101#define CSEM_CSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3102#define CSEM_CSEM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3103#define CSEM_CSEM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3104#define CSEM_CSEM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3105#define CSEM_CSEM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3106#define DBG_DBG_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3107#define DBG_DBG_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3108#define DBG_DBG_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3109#define DBG_DBG_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3110#define DBG_DBG_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3111#define DBG_DBG_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3112#define DBG_DBG_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3113#define DBG_DBG_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3114#define DMAE_DMAE_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3115#define DMAE_DMAE_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3116#define DMAE_DMAE_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3117#define DMAE_DMAE_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3118#define DMAE_DMAE_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3119#define DMAE_DMAE_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3120#define DMAE_DMAE_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3121#define DMAE_DMAE_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
a2fbb9ea
ET
3122#define DORQ_DORQ_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3123#define DORQ_DORQ_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3124#define DORQ_DORQ_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3125#define DORQ_DORQ_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3126#define DORQ_DORQ_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3127#define DORQ_DORQ_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3128#define DORQ_DORQ_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3129#define DORQ_DORQ_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
c18487ee
YR
3130#define HC_HC_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3131#define HC_HC_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3132#define HC_HC_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3133#define HC_HC_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3134#define HC_HC_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3135#define HC_HC_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3136#define HC_HC_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3137#define HC_HC_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3138#define MISC_MISC_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3139#define MISC_MISC_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3140#define MISC_MISC_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3141#define MISC_MISC_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3142#define MISC_MISC_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3143#define MISC_MISC_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3144#define MISC_MISC_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3145#define MISC_MISC_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
a2fbb9ea
ET
3146#define NIG_NIG_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3147#define NIG_NIG_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3148#define NIG_NIG_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3149#define NIG_NIG_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3150#define NIG_NIG_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3151#define NIG_NIG_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3152#define NIG_NIG_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3153#define NIG_NIG_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
c18487ee
YR
3154#define PBF_PBF_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3155#define PBF_PBF_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3156#define PBF_PBF_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3157#define PBF_PBF_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3158#define PBF_PBF_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3159#define PBF_PBF_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3160#define PBF_PBF_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3161#define PBF_PBF_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3162#define PB_PB_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3163#define PB_PB_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3164#define PB_PB_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3165#define PB_PB_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3166#define PB_PB_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3167#define PB_PB_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3168#define PB_PB_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3169#define PB_PB_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3170#define PRS_PRS_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3171#define PRS_PRS_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3172#define PRS_PRS_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3173#define PRS_PRS_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3174#define PRS_PRS_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3175#define PRS_PRS_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3176#define PRS_PRS_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3177#define PRS_PRS_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3178#define PXP2_PXP2_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3179#define PXP2_PXP2_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3180#define PXP2_PXP2_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3181#define PXP2_PXP2_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3182#define PXP2_PXP2_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3183#define PXP2_PXP2_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3184#define PXP2_PXP2_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3185#define PXP2_PXP2_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3186#define PXP_PXP_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3187#define PXP_PXP_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3188#define PXP_PXP_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3189#define PXP_PXP_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3190#define PXP_PXP_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3191#define PXP_PXP_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3192#define PXP_PXP_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3193#define PXP_PXP_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3194#define QM_QM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3195#define QM_QM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3196#define QM_QM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3197#define QM_QM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3198#define QM_QM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3199#define QM_QM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3200#define QM_QM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3201#define QM_QM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3202#define SEM_FAST_SEM_FAST_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3203#define SEM_FAST_SEM_FAST_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3204#define SEM_FAST_SEM_FAST_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3205#define SEM_FAST_SEM_FAST_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3206#define SEM_FAST_SEM_FAST_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3207#define SEM_FAST_SEM_FAST_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3208#define SEM_FAST_SEM_FAST_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3209#define SEM_FAST_SEM_FAST_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3210#define SRC_SRC_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3211#define SRC_SRC_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3212#define SRC_SRC_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3213#define SRC_SRC_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3214#define SRC_SRC_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3215#define SRC_SRC_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3216#define SRC_SRC_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3217#define SRC_SRC_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
a2fbb9ea
ET
3218#define TCM_TCM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3219#define TCM_TCM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3220#define TCM_TCM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3221#define TCM_TCM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3222#define TCM_TCM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3223#define TCM_TCM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3224#define TCM_TCM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3225#define TCM_TCM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
c18487ee
YR
3226#define TM_TM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3227#define TM_TM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3228#define TM_TM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3229#define TM_TM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3230#define TM_TM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3231#define TM_TM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3232#define TM_TM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3233#define TM_TM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3234#define TSDM_TSDM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3235#define TSDM_TSDM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3236#define TSDM_TSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3237#define TSDM_TSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3238#define TSDM_TSDM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3239#define TSDM_TSDM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3240#define TSDM_TSDM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3241#define TSDM_TSDM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3242#define TSEM_TSEM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3243#define TSEM_TSEM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3244#define TSEM_TSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3245#define TSEM_TSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3246#define TSEM_TSEM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3247#define TSEM_TSEM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3248#define TSEM_TSEM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3249#define TSEM_TSEM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3250#define UCM_UCM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3251#define UCM_UCM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3252#define UCM_UCM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3253#define UCM_UCM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3254#define UCM_UCM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3255#define UCM_UCM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3256#define UCM_UCM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3257#define UCM_UCM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3258#define USDM_USDM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3259#define USDM_USDM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3260#define USDM_USDM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3261#define USDM_USDM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3262#define USDM_USDM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3263#define USDM_USDM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3264#define USDM_USDM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3265#define USDM_USDM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3266#define USEM_USEM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3267#define USEM_USEM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3268#define USEM_USEM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3269#define USEM_USEM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3270#define USEM_USEM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3271#define USEM_USEM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3272#define USEM_USEM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3273#define USEM_USEM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3274#define XCM_XCM_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
3275#define XCM_XCM_INT_STS_REG_ADDRESS_ERROR_SIZE 0
3276#define XCM_XCM_INT_STS_CLR_REG_ADDRESS_ERROR (0x1<<0)
3277#define XCM_XCM_INT_STS_CLR_REG_ADDRESS_ERROR_SIZE 0
3278#define XCM_XCM_INT_STS_WR_REG_ADDRESS_ERROR (0x1<<0)
3279#define XCM_XCM_INT_STS_WR_REG_ADDRESS_ERROR_SIZE 0
3280#define XCM_XCM_INT_MASK_REG_ADDRESS_ERROR (0x1<<0)
3281#define XCM_XCM_INT_MASK_REG_ADDRESS_ERROR_SIZE 0
3282#define XSDM_XSDM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3283#define XSDM_XSDM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3284#define XSDM_XSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3285#define XSDM_XSDM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3286#define XSDM_XSDM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3287#define XSDM_XSDM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3288#define XSDM_XSDM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3289#define XSDM_XSDM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
3290#define XSEM_XSEM_INT_STS_0_REG_ADDRESS_ERROR (0x1<<0)
3291#define XSEM_XSEM_INT_STS_0_REG_ADDRESS_ERROR_SIZE 0
3292#define XSEM_XSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR (0x1<<0)
3293#define XSEM_XSEM_INT_STS_CLR_0_REG_ADDRESS_ERROR_SIZE 0
3294#define XSEM_XSEM_INT_STS_WR_0_REG_ADDRESS_ERROR (0x1<<0)
3295#define XSEM_XSEM_INT_STS_WR_0_REG_ADDRESS_ERROR_SIZE 0
3296#define XSEM_XSEM_INT_MASK_0_REG_ADDRESS_ERROR (0x1<<0)
3297#define XSEM_XSEM_INT_MASK_0_REG_ADDRESS_ERROR_SIZE 0
a2fbb9ea
ET
3298#define CFC_DEBUG1_REG_WRITE_AC (0x1<<4)
3299#define CFC_DEBUG1_REG_WRITE_AC_SIZE 4
3300/* [R 1] debug only: This bit indicates wheter indicates that external
3301 buffer was wrapped (oldest data was thrown); Relevant only when
3302 ~dbg_registers_debug_target=2 (PCI) & ~dbg_registers_full_mode=1 (wrap); */
3303#define DBG_REG_WRAP_ON_EXT_BUFFER 0xc124
3304#define DBG_REG_WRAP_ON_EXT_BUFFER_SIZE 1
3305/* [R 1] debug only: This bit indicates wheter the internal buffer was
3306 wrapped (oldest data was thrown) Relevant only when
3307 ~dbg_registers_debug_target=0 (internal buffer) */
3308#define DBG_REG_WRAP_ON_INT_BUFFER 0xc128
3309#define DBG_REG_WRAP_ON_INT_BUFFER_SIZE 1
c18487ee
YR
3310#define QM_QM_PRTY_STS_REG_WRBUFF (0x1<<8)
3311#define QM_QM_PRTY_STS_REG_WRBUFF_SIZE 8
3312#define QM_QM_PRTY_STS_CLR_REG_WRBUFF (0x1<<8)
3313#define QM_QM_PRTY_STS_CLR_REG_WRBUFF_SIZE 8
3314#define QM_QM_PRTY_STS_WR_REG_WRBUFF (0x1<<8)
3315#define QM_QM_PRTY_STS_WR_REG_WRBUFF_SIZE 8
3316#define QM_QM_PRTY_MASK_REG_WRBUFF (0x1<<8)
3317#define QM_QM_PRTY_MASK_REG_WRBUFF_SIZE 8
a2fbb9ea
ET
3318/* [RW 32] Wrr weights */
3319#define QM_REG_WRRWEIGHTS_0 0x16880c
3320#define QM_REG_WRRWEIGHTS_0_SIZE 1
3321/* [RW 32] Wrr weights */
3322#define QM_REG_WRRWEIGHTS_1 0x168810
3323#define QM_REG_WRRWEIGHTS_1_SIZE 1
3324/* [RW 32] Wrr weights */
3325#define QM_REG_WRRWEIGHTS_10 0x168814
3326#define QM_REG_WRRWEIGHTS_10_SIZE 1
3327/* [RW 32] Wrr weights */
3328#define QM_REG_WRRWEIGHTS_11 0x168818
3329#define QM_REG_WRRWEIGHTS_11_SIZE 1
3330/* [RW 32] Wrr weights */
3331#define QM_REG_WRRWEIGHTS_12 0x16881c
3332#define QM_REG_WRRWEIGHTS_12_SIZE 1
3333/* [RW 32] Wrr weights */
3334#define QM_REG_WRRWEIGHTS_13 0x168820
3335#define QM_REG_WRRWEIGHTS_13_SIZE 1
3336/* [RW 32] Wrr weights */
3337#define QM_REG_WRRWEIGHTS_14 0x168824
3338#define QM_REG_WRRWEIGHTS_14_SIZE 1
3339/* [RW 32] Wrr weights */
3340#define QM_REG_WRRWEIGHTS_15 0x168828
3341#define QM_REG_WRRWEIGHTS_15_SIZE 1
3342/* [RW 32] Wrr weights */
3343#define QM_REG_WRRWEIGHTS_2 0x16882c
3344#define QM_REG_WRRWEIGHTS_2_SIZE 1
3345/* [RW 32] Wrr weights */
3346#define QM_REG_WRRWEIGHTS_3 0x168830
3347#define QM_REG_WRRWEIGHTS_3_SIZE 1
3348/* [RW 32] Wrr weights */
3349#define QM_REG_WRRWEIGHTS_4 0x168834
3350#define QM_REG_WRRWEIGHTS_4_SIZE 1
3351/* [RW 32] Wrr weights */
3352#define QM_REG_WRRWEIGHTS_5 0x168838
3353#define QM_REG_WRRWEIGHTS_5_SIZE 1
3354/* [RW 32] Wrr weights */
3355#define QM_REG_WRRWEIGHTS_6 0x16883c
3356#define QM_REG_WRRWEIGHTS_6_SIZE 1
3357/* [RW 32] Wrr weights */
3358#define QM_REG_WRRWEIGHTS_7 0x168840
3359#define QM_REG_WRRWEIGHTS_7_SIZE 1
3360/* [RW 32] Wrr weights */
3361#define QM_REG_WRRWEIGHTS_8 0x168844
3362#define QM_REG_WRRWEIGHTS_8_SIZE 1
3363/* [RW 32] Wrr weights */
3364#define QM_REG_WRRWEIGHTS_9 0x168848
3365#define QM_REG_WRRWEIGHTS_9_SIZE 1
c18487ee
YR
3366/* [RW 32] Wrr weights */
3367#define QM_REG_WRRWEIGHTS_16 0x16e000
3368#define QM_REG_WRRWEIGHTS_16_SIZE 1
3369/* [RW 32] Wrr weights */
3370#define QM_REG_WRRWEIGHTS_17 0x16e004
3371#define QM_REG_WRRWEIGHTS_17_SIZE 1
3372/* [RW 32] Wrr weights */
3373#define QM_REG_WRRWEIGHTS_18 0x16e008
3374#define QM_REG_WRRWEIGHTS_18_SIZE 1
3375/* [RW 32] Wrr weights */
3376#define QM_REG_WRRWEIGHTS_19 0x16e00c
3377#define QM_REG_WRRWEIGHTS_19_SIZE 1
3378/* [RW 32] Wrr weights */
3379#define QM_REG_WRRWEIGHTS_20 0x16e010
3380#define QM_REG_WRRWEIGHTS_20_SIZE 1
3381/* [RW 32] Wrr weights */
3382#define QM_REG_WRRWEIGHTS_21 0x16e014
3383#define QM_REG_WRRWEIGHTS_21_SIZE 1
3384/* [RW 32] Wrr weights */
3385#define QM_REG_WRRWEIGHTS_22 0x16e018
3386#define QM_REG_WRRWEIGHTS_22_SIZE 1
3387/* [RW 32] Wrr weights */
3388#define QM_REG_WRRWEIGHTS_23 0x16e01c
3389#define QM_REG_WRRWEIGHTS_23_SIZE 1
3390/* [RW 32] Wrr weights */
3391#define QM_REG_WRRWEIGHTS_24 0x16e020
3392#define QM_REG_WRRWEIGHTS_24_SIZE 1
3393/* [RW 32] Wrr weights */
3394#define QM_REG_WRRWEIGHTS_25 0x16e024
3395#define QM_REG_WRRWEIGHTS_25_SIZE 1
3396/* [RW 32] Wrr weights */
3397#define QM_REG_WRRWEIGHTS_26 0x16e028
3398#define QM_REG_WRRWEIGHTS_26_SIZE 1
3399/* [RW 32] Wrr weights */
3400#define QM_REG_WRRWEIGHTS_27 0x16e02c
3401#define QM_REG_WRRWEIGHTS_27_SIZE 1
3402/* [RW 32] Wrr weights */
3403#define QM_REG_WRRWEIGHTS_28 0x16e030
3404#define QM_REG_WRRWEIGHTS_28_SIZE 1
3405/* [RW 32] Wrr weights */
3406#define QM_REG_WRRWEIGHTS_29 0x16e034
3407#define QM_REG_WRRWEIGHTS_29_SIZE 1
3408/* [RW 32] Wrr weights */
3409#define QM_REG_WRRWEIGHTS_30 0x16e038
3410#define QM_REG_WRRWEIGHTS_30_SIZE 1
3411/* [RW 32] Wrr weights */
3412#define QM_REG_WRRWEIGHTS_31 0x16e03c
3413#define QM_REG_WRRWEIGHTS_31_SIZE 1
a2fbb9ea 3414#define SRC_REG_COUNTFREE0 0x40500
c18487ee
YR
3415/* [RW 1] If clr the searcher is compatible to E1 A0 - support only two
3416 ports. If set the searcher support 8 functions. */
3417#define SRC_REG_E1HMF_ENABLE 0x404cc
a2fbb9ea
ET
3418#define SRC_REG_FIRSTFREE0 0x40510
3419#define SRC_REG_KEYRSS0_0 0x40408
c18487ee 3420#define SRC_REG_KEYRSS0_7 0x40424
a2fbb9ea 3421#define SRC_REG_KEYRSS1_9 0x40454
a2fbb9ea 3422#define SRC_REG_LASTFREE0 0x40530
a2fbb9ea
ET
3423#define SRC_REG_NUMBER_HASH_BITS0 0x40400
3424/* [RW 1] Reset internal state machines. */
3425#define SRC_REG_SOFT_RST 0x4049c
c18487ee 3426/* [R 3] Interrupt register #0 read */
a2fbb9ea
ET
3427#define SRC_REG_SRC_INT_STS 0x404ac
3428/* [RW 3] Parity mask register #0 read/write */
3429#define SRC_REG_SRC_PRTY_MASK 0x404c8
f1410647
ET
3430/* [R 3] Parity register #0 read */
3431#define SRC_REG_SRC_PRTY_STS 0x404bc
a2fbb9ea
ET
3432/* [R 4] Used to read the value of the XX protection CAM occupancy counter. */
3433#define TCM_REG_CAM_OCCUP 0x5017c
3434/* [RW 1] CDU AG read Interface enable. If 0 - the request input is
3435 disregarded; valid output is deasserted; all other signals are treated as
3436 usual; if 1 - normal activity. */
3437#define TCM_REG_CDU_AG_RD_IFEN 0x50034
3438/* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
3439 are disregarded; all other signals are treated as usual; if 1 - normal
3440 activity. */
3441#define TCM_REG_CDU_AG_WR_IFEN 0x50030
3442/* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
3443 disregarded; valid output is deasserted; all other signals are treated as
3444 usual; if 1 - normal activity. */
3445#define TCM_REG_CDU_SM_RD_IFEN 0x5003c
3446/* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
3447 input is disregarded; all other signals are treated as usual; if 1 -
3448 normal activity. */
3449#define TCM_REG_CDU_SM_WR_IFEN 0x50038
3450/* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
3451 the initial credit value; read returns the current value of the credit
3452 counter. Must be initialized to 1 at start-up. */
3453#define TCM_REG_CFC_INIT_CRD 0x50204
3454/* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
3455 weight 8 (the most prioritised); 1 stands for weight 1(least
3456 prioritised); 2 stands for weight 2; tc. */
3457#define TCM_REG_CP_WEIGHT 0x500c0
3458/* [RW 1] Input csem Interface enable. If 0 - the valid input is
3459 disregarded; acknowledge output is deasserted; all other signals are
3460 treated as usual; if 1 - normal activity. */
3461#define TCM_REG_CSEM_IFEN 0x5002c
3462/* [RC 1] Message length mismatch (relative to last indication) at the In#9
3463 interface. */
3464#define TCM_REG_CSEM_LENGTH_MIS 0x50174
3465/* [RW 8] The Event ID in case of ErrorFlg is set in the input message. */
3466#define TCM_REG_ERR_EVNT_ID 0x500a0
3467/* [RW 28] The CM erroneous header for QM and Timers formatting. */
3468#define TCM_REG_ERR_TCM_HDR 0x5009c
3469/* [RW 8] The Event ID for Timers expiration. */
3470#define TCM_REG_EXPR_EVNT_ID 0x500a4
3471/* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
3472 writes the initial credit value; read returns the current value of the
3473 credit counter. Must be initialized to 64 at start-up. */
3474#define TCM_REG_FIC0_INIT_CRD 0x5020c
3475/* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
3476 writes the initial credit value; read returns the current value of the
3477 credit counter. Must be initialized to 64 at start-up. */
3478#define TCM_REG_FIC1_INIT_CRD 0x50210
3479/* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
3480 - strict priority defined by ~tcm_registers_gr_ag_pr.gr_ag_pr;
3481 ~tcm_registers_gr_ld0_pr.gr_ld0_pr and
3482 ~tcm_registers_gr_ld1_pr.gr_ld1_pr. */
3483#define TCM_REG_GR_ARB_TYPE 0x50114
3484/* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
3485 highest priority is 3. It is supposed that the Store channel is the
3486 compliment of the other 3 groups. */
3487#define TCM_REG_GR_LD0_PR 0x5011c
3488/* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
3489 highest priority is 3. It is supposed that the Store channel is the
3490 compliment of the other 3 groups. */
3491#define TCM_REG_GR_LD1_PR 0x50120
3492/* [RW 4] The number of double REG-pairs; loaded from the STORM context and
3493 sent to STORM; for a specific connection type. The double REG-pairs are
3494 used to align to STORM context row size of 128 bits. The offset of these
3495 data in the STORM context is always 0. Index _i stands for the connection
3496 type (one of 16). */
3497#define TCM_REG_N_SM_CTX_LD_0 0x50050
3498#define TCM_REG_N_SM_CTX_LD_1 0x50054
3499#define TCM_REG_N_SM_CTX_LD_10 0x50078
3500#define TCM_REG_N_SM_CTX_LD_11 0x5007c
3501#define TCM_REG_N_SM_CTX_LD_12 0x50080
3502#define TCM_REG_N_SM_CTX_LD_13 0x50084
3503#define TCM_REG_N_SM_CTX_LD_14 0x50088
3504#define TCM_REG_N_SM_CTX_LD_15 0x5008c
3505#define TCM_REG_N_SM_CTX_LD_2 0x50058
3506#define TCM_REG_N_SM_CTX_LD_3 0x5005c
3507#define TCM_REG_N_SM_CTX_LD_4 0x50060
3508/* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
3509 acknowledge output is deasserted; all other signals are treated as usual;
3510 if 1 - normal activity. */
3511#define TCM_REG_PBF_IFEN 0x50024
3512/* [RC 1] Message length mismatch (relative to last indication) at the In#7
3513 interface. */
3514#define TCM_REG_PBF_LENGTH_MIS 0x5016c
3515/* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
3516 weight 8 (the most prioritised); 1 stands for weight 1(least
3517 prioritised); 2 stands for weight 2; tc. */
3518#define TCM_REG_PBF_WEIGHT 0x500b4
a2fbb9ea
ET
3519#define TCM_REG_PHYS_QNUM0_0 0x500e0
3520#define TCM_REG_PHYS_QNUM0_1 0x500e4
a2fbb9ea 3521#define TCM_REG_PHYS_QNUM1_0 0x500e8
c18487ee
YR
3522#define TCM_REG_PHYS_QNUM1_1 0x500ec
3523#define TCM_REG_PHYS_QNUM2_0 0x500f0
3524#define TCM_REG_PHYS_QNUM2_1 0x500f4
3525#define TCM_REG_PHYS_QNUM3_0 0x500f8
3526#define TCM_REG_PHYS_QNUM3_1 0x500fc
a2fbb9ea
ET
3527/* [RW 1] Input prs Interface enable. If 0 - the valid input is disregarded;
3528 acknowledge output is deasserted; all other signals are treated as usual;
3529 if 1 - normal activity. */
3530#define TCM_REG_PRS_IFEN 0x50020
3531/* [RC 1] Message length mismatch (relative to last indication) at the In#6
3532 interface. */
3533#define TCM_REG_PRS_LENGTH_MIS 0x50168
3534/* [RW 3] The weight of the input prs in the WRR mechanism. 0 stands for
3535 weight 8 (the most prioritised); 1 stands for weight 1(least
3536 prioritised); 2 stands for weight 2; tc. */
3537#define TCM_REG_PRS_WEIGHT 0x500b0
3538/* [RW 8] The Event ID for Timers formatting in case of stop done. */
3539#define TCM_REG_STOP_EVNT_ID 0x500a8
3540/* [RC 1] Message length mismatch (relative to last indication) at the STORM
3541 interface. */
3542#define TCM_REG_STORM_LENGTH_MIS 0x50160
3543/* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
3544 disregarded; acknowledge output is deasserted; all other signals are
3545 treated as usual; if 1 - normal activity. */
3546#define TCM_REG_STORM_TCM_IFEN 0x50010
3547/* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
3548 acknowledge output is deasserted; all other signals are treated as usual;
3549 if 1 - normal activity. */
3550#define TCM_REG_TCM_CFC_IFEN 0x50040
3551/* [RW 11] Interrupt mask register #0 read/write */
3552#define TCM_REG_TCM_INT_MASK 0x501dc
3553/* [R 11] Interrupt register #0 read */
3554#define TCM_REG_TCM_INT_STS 0x501d0
c18487ee
YR
3555/* [R 27] Parity register #0 read */
3556#define TCM_REG_TCM_PRTY_STS 0x501e0
a2fbb9ea
ET
3557/* [RW 3] The size of AG context region 0 in REG-pairs. Designates the MS
3558 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
3559 Is used to determine the number of the AG context REG-pairs written back;
3560 when the input message Reg1WbFlg isn't set. */
3561#define TCM_REG_TCM_REG0_SZ 0x500d8
3562/* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
3563 disregarded; valid is deasserted; all other signals are treated as usual;
3564 if 1 - normal activity. */
3565#define TCM_REG_TCM_STORM0_IFEN 0x50004
3566/* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
3567 disregarded; valid is deasserted; all other signals are treated as usual;
3568 if 1 - normal activity. */
3569#define TCM_REG_TCM_STORM1_IFEN 0x50008
3570/* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
3571 disregarded; valid is deasserted; all other signals are treated as usual;
3572 if 1 - normal activity. */
3573#define TCM_REG_TCM_TQM_IFEN 0x5000c
3574/* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
3575#define TCM_REG_TCM_TQM_USE_Q 0x500d4
3576/* [RW 28] The CM header for Timers expiration command. */
3577#define TCM_REG_TM_TCM_HDR 0x50098
3578/* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
3579 disregarded; acknowledge output is deasserted; all other signals are
3580 treated as usual; if 1 - normal activity. */
3581#define TCM_REG_TM_TCM_IFEN 0x5001c
3582/* [RW 6] QM output initial credit. Max credit available - 32.Write writes
3583 the initial credit value; read returns the current value of the credit
3584 counter. Must be initialized to 32 at start-up. */
3585#define TCM_REG_TQM_INIT_CRD 0x5021c
3586/* [RW 28] The CM header value for QM request (primary). */
3587#define TCM_REG_TQM_TCM_HDR_P 0x50090
3588/* [RW 28] The CM header value for QM request (secondary). */
3589#define TCM_REG_TQM_TCM_HDR_S 0x50094
3590/* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
3591 acknowledge output is deasserted; all other signals are treated as usual;
3592 if 1 - normal activity. */
3593#define TCM_REG_TQM_TCM_IFEN 0x50014
3594/* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
3595 acknowledge output is deasserted; all other signals are treated as usual;
3596 if 1 - normal activity. */
3597#define TCM_REG_TSDM_IFEN 0x50018
3598/* [RC 1] Message length mismatch (relative to last indication) at the SDM
3599 interface. */
3600#define TCM_REG_TSDM_LENGTH_MIS 0x50164
3601/* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
3602 weight 8 (the most prioritised); 1 stands for weight 1(least
3603 prioritised); 2 stands for weight 2; tc. */
3604#define TCM_REG_TSDM_WEIGHT 0x500c4
3605/* [RW 1] Input usem Interface enable. If 0 - the valid input is
3606 disregarded; acknowledge output is deasserted; all other signals are
3607 treated as usual; if 1 - normal activity. */
3608#define TCM_REG_USEM_IFEN 0x50028
3609/* [RC 1] Message length mismatch (relative to last indication) at the In#8
3610 interface. */
3611#define TCM_REG_USEM_LENGTH_MIS 0x50170
3612/* [RW 21] Indirect access to the descriptor table of the XX protection
3613 mechanism. The fields are: [5:0] - length of the message; 15:6] - message
3614 pointer; 20:16] - next pointer. */
3615#define TCM_REG_XX_DESCR_TABLE 0x50280
c18487ee 3616#define TCM_REG_XX_DESCR_TABLE_SIZE 32
a2fbb9ea
ET
3617/* [R 6] Use to read the value of XX protection Free counter. */
3618#define TCM_REG_XX_FREE 0x50178
3619/* [RW 6] Initial value for the credit counter; responsible for fulfilling
3620 of the Input Stage XX protection buffer by the XX protection pending
3621 messages. Max credit available - 127.Write writes the initial credit
3622 value; read returns the current value of the credit counter. Must be
3623 initialized to 19 at start-up. */
3624#define TCM_REG_XX_INIT_CRD 0x50220
3625/* [RW 6] Maximum link list size (messages locked) per connection in the XX
3626 protection. */
3627#define TCM_REG_XX_MAX_LL_SZ 0x50044
3628/* [RW 6] The maximum number of pending messages; which may be stored in XX
3629 protection. ~tcm_registers_xx_free.xx_free is read on read. */
3630#define TCM_REG_XX_MSG_NUM 0x50224
3631/* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
3632#define TCM_REG_XX_OVFL_EVNT_ID 0x50048
3633/* [RW 16] Indirect access to the XX table of the XX protection mechanism.
3634 The fields are:[4:0] - tail pointer; [10:5] - Link List size; 15:11] -
3635 header pointer. */
3636#define TCM_REG_XX_TABLE 0x50240
3637/* [RW 4] Load value for for cfc ac credit cnt. */
3638#define TM_REG_CFC_AC_CRDCNT_VAL 0x164208
3639/* [RW 4] Load value for cfc cld credit cnt. */
3640#define TM_REG_CFC_CLD_CRDCNT_VAL 0x164210
3641/* [RW 8] Client0 context region. */
3642#define TM_REG_CL0_CONT_REGION 0x164030
3643/* [RW 8] Client1 context region. */
3644#define TM_REG_CL1_CONT_REGION 0x164034
3645/* [RW 8] Client2 context region. */
3646#define TM_REG_CL2_CONT_REGION 0x164038
3647/* [RW 2] Client in High priority client number. */
3648#define TM_REG_CLIN_PRIOR0_CLIENT 0x164024
3649/* [RW 4] Load value for clout0 cred cnt. */
3650#define TM_REG_CLOUT_CRDCNT0_VAL 0x164220
3651/* [RW 4] Load value for clout1 cred cnt. */
3652#define TM_REG_CLOUT_CRDCNT1_VAL 0x164228
3653/* [RW 4] Load value for clout2 cred cnt. */
3654#define TM_REG_CLOUT_CRDCNT2_VAL 0x164230
3655/* [RW 1] Enable client0 input. */
3656#define TM_REG_EN_CL0_INPUT 0x164008
3657/* [RW 1] Enable client1 input. */
3658#define TM_REG_EN_CL1_INPUT 0x16400c
3659/* [RW 1] Enable client2 input. */
3660#define TM_REG_EN_CL2_INPUT 0x164010
3661/* [RW 1] Enable real time counter. */
3662#define TM_REG_EN_REAL_TIME_CNT 0x1640d8
3663/* [RW 1] Enable for Timers state machines. */
3664#define TM_REG_EN_TIMERS 0x164000
3665/* [RW 4] Load value for expiration credit cnt. CFC max number of
3666 outstanding load requests for timers (expiration) context loading. */
3667#define TM_REG_EXP_CRDCNT_VAL 0x164238
c18487ee 3668/* [RW 18] Linear0 Max active cid (in banks of 32 entries). */
a2fbb9ea
ET
3669#define TM_REG_LIN0_MAX_ACTIVE_CID 0x164048
3670/* [WB 64] Linear0 phy address. */
3671#define TM_REG_LIN0_PHY_ADDR 0x164270
3672/* [RW 24] Linear0 array scan timeout. */
3673#define TM_REG_LIN0_SCAN_TIME 0x16403c
3674/* [WB 64] Linear1 phy address. */
3675#define TM_REG_LIN1_PHY_ADDR 0x164280
3676/* [RW 6] Linear timer set_clear fifo threshold. */
3677#define TM_REG_LIN_SETCLR_FIFO_ALFULL_THR 0x164070
3678/* [RW 2] Load value for pci arbiter credit cnt. */
3679#define TM_REG_PCIARB_CRDCNT_VAL 0x164260
3680/* [RW 1] Timer software reset - active high. */
3681#define TM_REG_TIMER_SOFT_RST 0x164004
3682/* [RW 20] The amount of hardware cycles for each timer tick. */
3683#define TM_REG_TIMER_TICK_SIZE 0x16401c
3684/* [RW 8] Timers Context region. */
3685#define TM_REG_TM_CONTEXT_REGION 0x164044
3686/* [RW 1] Interrupt mask register #0 read/write */
3687#define TM_REG_TM_INT_MASK 0x1640fc
3688/* [R 1] Interrupt register #0 read */
3689#define TM_REG_TM_INT_STS 0x1640f0
3690/* [RW 8] The event id for aggregated interrupt 0 */
3691#define TSDM_REG_AGG_INT_EVENT_0 0x42038
c18487ee
YR
3692#define TSDM_REG_AGG_INT_EVENT_2 0x42040
3693#define TSDM_REG_AGG_INT_EVENT_20 0x42088
3694#define TSDM_REG_AGG_INT_EVENT_21 0x4208c
3695#define TSDM_REG_AGG_INT_EVENT_22 0x42090
3696#define TSDM_REG_AGG_INT_EVENT_23 0x42094
3697#define TSDM_REG_AGG_INT_EVENT_24 0x42098
3698#define TSDM_REG_AGG_INT_EVENT_25 0x4209c
3699#define TSDM_REG_AGG_INT_EVENT_26 0x420a0
3700#define TSDM_REG_AGG_INT_EVENT_27 0x420a4
3701#define TSDM_REG_AGG_INT_EVENT_28 0x420a8
3702#define TSDM_REG_AGG_INT_EVENT_29 0x420ac
3703#define TSDM_REG_AGG_INT_EVENT_3 0x42044
3704#define TSDM_REG_AGG_INT_EVENT_30 0x420b0
3705#define TSDM_REG_AGG_INT_EVENT_31 0x420b4
3706#define TSDM_REG_AGG_INT_EVENT_4 0x42048
a2fbb9ea
ET
3707/* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
3708#define TSDM_REG_CFC_RSP_START_ADDR 0x42008
3709/* [RW 16] The maximum value of the competion counter #0 */
3710#define TSDM_REG_CMP_COUNTER_MAX0 0x4201c
3711/* [RW 16] The maximum value of the competion counter #1 */
3712#define TSDM_REG_CMP_COUNTER_MAX1 0x42020
3713/* [RW 16] The maximum value of the competion counter #2 */
3714#define TSDM_REG_CMP_COUNTER_MAX2 0x42024
3715/* [RW 16] The maximum value of the competion counter #3 */
3716#define TSDM_REG_CMP_COUNTER_MAX3 0x42028
3717/* [RW 13] The start address in the internal RAM for the completion
3718 counters. */
3719#define TSDM_REG_CMP_COUNTER_START_ADDR 0x4200c
3720#define TSDM_REG_ENABLE_IN1 0x42238
3721#define TSDM_REG_ENABLE_IN2 0x4223c
3722#define TSDM_REG_ENABLE_OUT1 0x42240
3723#define TSDM_REG_ENABLE_OUT2 0x42244
3724/* [RW 4] The initial number of messages that can be sent to the pxp control
3725 interface without receiving any ACK. */
3726#define TSDM_REG_INIT_CREDIT_PXP_CTRL 0x424bc
3727/* [ST 32] The number of ACK after placement messages received */
3728#define TSDM_REG_NUM_OF_ACK_AFTER_PLACE 0x4227c
3729/* [ST 32] The number of packet end messages received from the parser */
3730#define TSDM_REG_NUM_OF_PKT_END_MSG 0x42274
3731/* [ST 32] The number of requests received from the pxp async if */
3732#define TSDM_REG_NUM_OF_PXP_ASYNC_REQ 0x42278
3733/* [ST 32] The number of commands received in queue 0 */
3734#define TSDM_REG_NUM_OF_Q0_CMD 0x42248
3735/* [ST 32] The number of commands received in queue 10 */
3736#define TSDM_REG_NUM_OF_Q10_CMD 0x4226c
3737/* [ST 32] The number of commands received in queue 11 */
3738#define TSDM_REG_NUM_OF_Q11_CMD 0x42270
3739/* [ST 32] The number of commands received in queue 1 */
3740#define TSDM_REG_NUM_OF_Q1_CMD 0x4224c
3741/* [ST 32] The number of commands received in queue 3 */
3742#define TSDM_REG_NUM_OF_Q3_CMD 0x42250
3743/* [ST 32] The number of commands received in queue 4 */
3744#define TSDM_REG_NUM_OF_Q4_CMD 0x42254
3745/* [ST 32] The number of commands received in queue 5 */
3746#define TSDM_REG_NUM_OF_Q5_CMD 0x42258
3747/* [ST 32] The number of commands received in queue 6 */
3748#define TSDM_REG_NUM_OF_Q6_CMD 0x4225c
3749/* [ST 32] The number of commands received in queue 7 */
3750#define TSDM_REG_NUM_OF_Q7_CMD 0x42260
3751/* [ST 32] The number of commands received in queue 8 */
3752#define TSDM_REG_NUM_OF_Q8_CMD 0x42264
3753/* [ST 32] The number of commands received in queue 9 */
3754#define TSDM_REG_NUM_OF_Q9_CMD 0x42268
3755/* [RW 13] The start address in the internal RAM for the packet end message */
3756#define TSDM_REG_PCK_END_MSG_START_ADDR 0x42014
3757/* [RW 13] The start address in the internal RAM for queue counters */
3758#define TSDM_REG_Q_COUNTER_START_ADDR 0x42010
3759/* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
3760#define TSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0x42548
3761/* [R 1] parser fifo empty in sdm_sync block */
3762#define TSDM_REG_SYNC_PARSER_EMPTY 0x42550
3763/* [R 1] parser serial fifo empty in sdm_sync block */
3764#define TSDM_REG_SYNC_SYNC_EMPTY 0x42558
3765/* [RW 32] Tick for timer counter. Applicable only when
3766 ~tsdm_registers_timer_tick_enable.timer_tick_enable =1 */
3767#define TSDM_REG_TIMER_TICK 0x42000
3768/* [RW 32] Interrupt mask register #0 read/write */
3769#define TSDM_REG_TSDM_INT_MASK_0 0x4229c
3770#define TSDM_REG_TSDM_INT_MASK_1 0x422ac
c18487ee
YR
3771/* [R 32] Interrupt register #0 read */
3772#define TSDM_REG_TSDM_INT_STS_0 0x42290
3773#define TSDM_REG_TSDM_INT_STS_1 0x422a0
a2fbb9ea
ET
3774/* [RW 11] Parity mask register #0 read/write */
3775#define TSDM_REG_TSDM_PRTY_MASK 0x422bc
f1410647
ET
3776/* [R 11] Parity register #0 read */
3777#define TSDM_REG_TSDM_PRTY_STS 0x422b0
a2fbb9ea
ET
3778/* [RW 5] The number of time_slots in the arbitration cycle */
3779#define TSEM_REG_ARB_CYCLE_SIZE 0x180034
3780/* [RW 3] The source that is associated with arbitration element 0. Source
3781 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3782 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
3783#define TSEM_REG_ARB_ELEMENT0 0x180020
3784/* [RW 3] The source that is associated with arbitration element 1. Source
3785 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3786 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3787 Could not be equal to register ~tsem_registers_arb_element0.arb_element0 */
3788#define TSEM_REG_ARB_ELEMENT1 0x180024
3789/* [RW 3] The source that is associated with arbitration element 2. Source
3790 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3791 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3792 Could not be equal to register ~tsem_registers_arb_element0.arb_element0
3793 and ~tsem_registers_arb_element1.arb_element1 */
3794#define TSEM_REG_ARB_ELEMENT2 0x180028
3795/* [RW 3] The source that is associated with arbitration element 3. Source
3796 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3797 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
3798 not be equal to register ~tsem_registers_arb_element0.arb_element0 and
3799 ~tsem_registers_arb_element1.arb_element1 and
3800 ~tsem_registers_arb_element2.arb_element2 */
3801#define TSEM_REG_ARB_ELEMENT3 0x18002c
3802/* [RW 3] The source that is associated with arbitration element 4. Source
3803 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3804 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3805 Could not be equal to register ~tsem_registers_arb_element0.arb_element0
3806 and ~tsem_registers_arb_element1.arb_element1 and
3807 ~tsem_registers_arb_element2.arb_element2 and
3808 ~tsem_registers_arb_element3.arb_element3 */
3809#define TSEM_REG_ARB_ELEMENT4 0x180030
3810#define TSEM_REG_ENABLE_IN 0x1800a4
3811#define TSEM_REG_ENABLE_OUT 0x1800a8
3812/* [RW 32] This address space contains all registers and memories that are
3813 placed in SEM_FAST block. The SEM_FAST registers are described in
c18487ee
YR
3814 appendix B. In order to access the sem_fast registers the base address
3815 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
a2fbb9ea
ET
3816#define TSEM_REG_FAST_MEMORY 0x1a0000
3817/* [RW 1] Disables input messages from FIC0 May be updated during run_time
3818 by the microcode */
3819#define TSEM_REG_FIC0_DISABLE 0x180224
3820/* [RW 1] Disables input messages from FIC1 May be updated during run_time
3821 by the microcode */
3822#define TSEM_REG_FIC1_DISABLE 0x180234
3823/* [RW 15] Interrupt table Read and write access to it is not possible in
3824 the middle of the work */
3825#define TSEM_REG_INT_TABLE 0x180400
3826/* [ST 24] Statistics register. The number of messages that entered through
3827 FIC0 */
3828#define TSEM_REG_MSG_NUM_FIC0 0x180000
3829/* [ST 24] Statistics register. The number of messages that entered through
3830 FIC1 */
3831#define TSEM_REG_MSG_NUM_FIC1 0x180004
3832/* [ST 24] Statistics register. The number of messages that were sent to
3833 FOC0 */
3834#define TSEM_REG_MSG_NUM_FOC0 0x180008
3835/* [ST 24] Statistics register. The number of messages that were sent to
3836 FOC1 */
3837#define TSEM_REG_MSG_NUM_FOC1 0x18000c
3838/* [ST 24] Statistics register. The number of messages that were sent to
3839 FOC2 */
3840#define TSEM_REG_MSG_NUM_FOC2 0x180010
3841/* [ST 24] Statistics register. The number of messages that were sent to
3842 FOC3 */
3843#define TSEM_REG_MSG_NUM_FOC3 0x180014
3844/* [RW 1] Disables input messages from the passive buffer May be updated
3845 during run_time by the microcode */
3846#define TSEM_REG_PAS_DISABLE 0x18024c
3847/* [WB 128] Debug only. Passive buffer memory */
3848#define TSEM_REG_PASSIVE_BUFFER 0x181000
3849/* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
3850#define TSEM_REG_PRAM 0x1c0000
3851/* [R 8] Valid sleeping threads indication have bit per thread */
3852#define TSEM_REG_SLEEP_THREADS_VALID 0x18026c
3853/* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
3854#define TSEM_REG_SLOW_EXT_STORE_EMPTY 0x1802a0
3855/* [RW 8] List of free threads . There is a bit per thread. */
3856#define TSEM_REG_THREADS_LIST 0x1802e4
3857/* [RW 3] The arbitration scheme of time_slot 0 */
3858#define TSEM_REG_TS_0_AS 0x180038
3859/* [RW 3] The arbitration scheme of time_slot 10 */
3860#define TSEM_REG_TS_10_AS 0x180060
3861/* [RW 3] The arbitration scheme of time_slot 11 */
3862#define TSEM_REG_TS_11_AS 0x180064
3863/* [RW 3] The arbitration scheme of time_slot 12 */
3864#define TSEM_REG_TS_12_AS 0x180068
3865/* [RW 3] The arbitration scheme of time_slot 13 */
3866#define TSEM_REG_TS_13_AS 0x18006c
3867/* [RW 3] The arbitration scheme of time_slot 14 */
3868#define TSEM_REG_TS_14_AS 0x180070
3869/* [RW 3] The arbitration scheme of time_slot 15 */
3870#define TSEM_REG_TS_15_AS 0x180074
3871/* [RW 3] The arbitration scheme of time_slot 16 */
3872#define TSEM_REG_TS_16_AS 0x180078
3873/* [RW 3] The arbitration scheme of time_slot 17 */
3874#define TSEM_REG_TS_17_AS 0x18007c
3875/* [RW 3] The arbitration scheme of time_slot 18 */
3876#define TSEM_REG_TS_18_AS 0x180080
3877/* [RW 3] The arbitration scheme of time_slot 1 */
3878#define TSEM_REG_TS_1_AS 0x18003c
3879/* [RW 3] The arbitration scheme of time_slot 2 */
3880#define TSEM_REG_TS_2_AS 0x180040
3881/* [RW 3] The arbitration scheme of time_slot 3 */
3882#define TSEM_REG_TS_3_AS 0x180044
3883/* [RW 3] The arbitration scheme of time_slot 4 */
3884#define TSEM_REG_TS_4_AS 0x180048
3885/* [RW 3] The arbitration scheme of time_slot 5 */
3886#define TSEM_REG_TS_5_AS 0x18004c
3887/* [RW 3] The arbitration scheme of time_slot 6 */
3888#define TSEM_REG_TS_6_AS 0x180050
3889/* [RW 3] The arbitration scheme of time_slot 7 */
3890#define TSEM_REG_TS_7_AS 0x180054
3891/* [RW 3] The arbitration scheme of time_slot 8 */
3892#define TSEM_REG_TS_8_AS 0x180058
3893/* [RW 3] The arbitration scheme of time_slot 9 */
3894#define TSEM_REG_TS_9_AS 0x18005c
3895/* [RW 32] Interrupt mask register #0 read/write */
3896#define TSEM_REG_TSEM_INT_MASK_0 0x180100
3897#define TSEM_REG_TSEM_INT_MASK_1 0x180110
c18487ee
YR
3898/* [R 32] Interrupt register #0 read */
3899#define TSEM_REG_TSEM_INT_STS_0 0x1800f4
3900#define TSEM_REG_TSEM_INT_STS_1 0x180104
a2fbb9ea
ET
3901/* [RW 32] Parity mask register #0 read/write */
3902#define TSEM_REG_TSEM_PRTY_MASK_0 0x180120
3903#define TSEM_REG_TSEM_PRTY_MASK_1 0x180130
f1410647
ET
3904/* [R 32] Parity register #0 read */
3905#define TSEM_REG_TSEM_PRTY_STS_0 0x180114
3906#define TSEM_REG_TSEM_PRTY_STS_1 0x180124
a2fbb9ea
ET
3907/* [R 5] Used to read the XX protection CAM occupancy counter. */
3908#define UCM_REG_CAM_OCCUP 0xe0170
3909/* [RW 1] CDU AG read Interface enable. If 0 - the request input is
3910 disregarded; valid output is deasserted; all other signals are treated as
3911 usual; if 1 - normal activity. */
3912#define UCM_REG_CDU_AG_RD_IFEN 0xe0038
3913/* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
3914 are disregarded; all other signals are treated as usual; if 1 - normal
3915 activity. */
3916#define UCM_REG_CDU_AG_WR_IFEN 0xe0034
3917/* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
3918 disregarded; valid output is deasserted; all other signals are treated as
3919 usual; if 1 - normal activity. */
3920#define UCM_REG_CDU_SM_RD_IFEN 0xe0040
3921/* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
3922 input is disregarded; all other signals are treated as usual; if 1 -
3923 normal activity. */
3924#define UCM_REG_CDU_SM_WR_IFEN 0xe003c
3925/* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
3926 the initial credit value; read returns the current value of the credit
3927 counter. Must be initialized to 1 at start-up. */
3928#define UCM_REG_CFC_INIT_CRD 0xe0204
3929/* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
3930 weight 8 (the most prioritised); 1 stands for weight 1(least
3931 prioritised); 2 stands for weight 2; tc. */
3932#define UCM_REG_CP_WEIGHT 0xe00c4
3933/* [RW 1] Input csem Interface enable. If 0 - the valid input is
3934 disregarded; acknowledge output is deasserted; all other signals are
3935 treated as usual; if 1 - normal activity. */
3936#define UCM_REG_CSEM_IFEN 0xe0028
3937/* [RC 1] Set when the message length mismatch (relative to last indication)
3938 at the csem interface is detected. */
3939#define UCM_REG_CSEM_LENGTH_MIS 0xe0160
3940/* [RW 3] The weight of the input csem in the WRR mechanism. 0 stands for
3941 weight 8 (the most prioritised); 1 stands for weight 1(least
3942 prioritised); 2 stands for weight 2; tc. */
3943#define UCM_REG_CSEM_WEIGHT 0xe00b8
3944/* [RW 1] Input dorq Interface enable. If 0 - the valid input is
3945 disregarded; acknowledge output is deasserted; all other signals are
3946 treated as usual; if 1 - normal activity. */
3947#define UCM_REG_DORQ_IFEN 0xe0030
3948/* [RC 1] Set when the message length mismatch (relative to last indication)
3949 at the dorq interface is detected. */
3950#define UCM_REG_DORQ_LENGTH_MIS 0xe0168
3951/* [RW 8] The Event ID in case ErrorFlg input message bit is set. */
3952#define UCM_REG_ERR_EVNT_ID 0xe00a4
3953/* [RW 28] The CM erroneous header for QM and Timers formatting. */
3954#define UCM_REG_ERR_UCM_HDR 0xe00a0
3955/* [RW 8] The Event ID for Timers expiration. */
3956#define UCM_REG_EXPR_EVNT_ID 0xe00a8
3957/* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
3958 writes the initial credit value; read returns the current value of the
3959 credit counter. Must be initialized to 64 at start-up. */
3960#define UCM_REG_FIC0_INIT_CRD 0xe020c
3961/* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
3962 writes the initial credit value; read returns the current value of the
3963 credit counter. Must be initialized to 64 at start-up. */
3964#define UCM_REG_FIC1_INIT_CRD 0xe0210
3965/* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
3966 - strict priority defined by ~ucm_registers_gr_ag_pr.gr_ag_pr;
3967 ~ucm_registers_gr_ld0_pr.gr_ld0_pr and
3968 ~ucm_registers_gr_ld1_pr.gr_ld1_pr. */
3969#define UCM_REG_GR_ARB_TYPE 0xe0144
3970/* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
3971 highest priority is 3. It is supposed that the Store channel group is
3972 compliment to the others. */
3973#define UCM_REG_GR_LD0_PR 0xe014c
3974/* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
3975 highest priority is 3. It is supposed that the Store channel group is
3976 compliment to the others. */
3977#define UCM_REG_GR_LD1_PR 0xe0150
3978/* [RW 2] The queue index for invalidate counter flag decision. */
3979#define UCM_REG_INV_CFLG_Q 0xe00e4
3980/* [RW 5] The number of double REG-pairs; loaded from the STORM context and
3981 sent to STORM; for a specific connection type. the double REG-pairs are
3982 used in order to align to STORM context row size of 128 bits. The offset
3983 of these data in the STORM context is always 0. Index _i stands for the
3984 connection type (one of 16). */
3985#define UCM_REG_N_SM_CTX_LD_0 0xe0054
3986#define UCM_REG_N_SM_CTX_LD_1 0xe0058
3987#define UCM_REG_N_SM_CTX_LD_10 0xe007c
3988#define UCM_REG_N_SM_CTX_LD_11 0xe0080
3989#define UCM_REG_N_SM_CTX_LD_12 0xe0084
3990#define UCM_REG_N_SM_CTX_LD_13 0xe0088
3991#define UCM_REG_N_SM_CTX_LD_14 0xe008c
3992#define UCM_REG_N_SM_CTX_LD_15 0xe0090
3993#define UCM_REG_N_SM_CTX_LD_2 0xe005c
3994#define UCM_REG_N_SM_CTX_LD_3 0xe0060
3995#define UCM_REG_N_SM_CTX_LD_4 0xe0064
c18487ee 3996#define UCM_REG_N_SM_CTX_LD_5 0xe0068
a2fbb9ea
ET
3997#define UCM_REG_PHYS_QNUM0_0 0xe0110
3998#define UCM_REG_PHYS_QNUM0_1 0xe0114
a2fbb9ea
ET
3999#define UCM_REG_PHYS_QNUM1_0 0xe0118
4000#define UCM_REG_PHYS_QNUM1_1 0xe011c
c18487ee
YR
4001#define UCM_REG_PHYS_QNUM2_0 0xe0120
4002#define UCM_REG_PHYS_QNUM2_1 0xe0124
4003#define UCM_REG_PHYS_QNUM3_0 0xe0128
4004#define UCM_REG_PHYS_QNUM3_1 0xe012c
a2fbb9ea
ET
4005/* [RW 8] The Event ID for Timers formatting in case of stop done. */
4006#define UCM_REG_STOP_EVNT_ID 0xe00ac
4007/* [RC 1] Set when the message length mismatch (relative to last indication)
4008 at the STORM interface is detected. */
4009#define UCM_REG_STORM_LENGTH_MIS 0xe0154
4010/* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
4011 disregarded; acknowledge output is deasserted; all other signals are
4012 treated as usual; if 1 - normal activity. */
4013#define UCM_REG_STORM_UCM_IFEN 0xe0010
4014/* [RW 4] Timers output initial credit. Max credit available - 15.Write
4015 writes the initial credit value; read returns the current value of the
4016 credit counter. Must be initialized to 4 at start-up. */
4017#define UCM_REG_TM_INIT_CRD 0xe021c
4018/* [RW 28] The CM header for Timers expiration command. */
4019#define UCM_REG_TM_UCM_HDR 0xe009c
4020/* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
4021 disregarded; acknowledge output is deasserted; all other signals are
4022 treated as usual; if 1 - normal activity. */
4023#define UCM_REG_TM_UCM_IFEN 0xe001c
4024/* [RW 1] Input tsem Interface enable. If 0 - the valid input is
4025 disregarded; acknowledge output is deasserted; all other signals are
4026 treated as usual; if 1 - normal activity. */
4027#define UCM_REG_TSEM_IFEN 0xe0024
4028/* [RC 1] Set when the message length mismatch (relative to last indication)
4029 at the tsem interface is detected. */
4030#define UCM_REG_TSEM_LENGTH_MIS 0xe015c
4031/* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
4032 weight 8 (the most prioritised); 1 stands for weight 1(least
4033 prioritised); 2 stands for weight 2; tc. */
4034#define UCM_REG_TSEM_WEIGHT 0xe00b4
4035/* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
4036 acknowledge output is deasserted; all other signals are treated as usual;
4037 if 1 - normal activity. */
4038#define UCM_REG_UCM_CFC_IFEN 0xe0044
4039/* [RW 11] Interrupt mask register #0 read/write */
4040#define UCM_REG_UCM_INT_MASK 0xe01d4
4041/* [R 11] Interrupt register #0 read */
4042#define UCM_REG_UCM_INT_STS 0xe01c8
c18487ee
YR
4043/* [R 27] Parity register #0 read */
4044#define UCM_REG_UCM_PRTY_STS 0xe01d8
a2fbb9ea
ET
4045/* [RW 2] The size of AG context region 0 in REG-pairs. Designates the MS
4046 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
4047 Is used to determine the number of the AG context REG-pairs written back;
4048 when the Reg1WbFlg isn't set. */
4049#define UCM_REG_UCM_REG0_SZ 0xe00dc
4050/* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
4051 disregarded; valid is deasserted; all other signals are treated as usual;
4052 if 1 - normal activity. */
4053#define UCM_REG_UCM_STORM0_IFEN 0xe0004
4054/* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
4055 disregarded; valid is deasserted; all other signals are treated as usual;
4056 if 1 - normal activity. */
4057#define UCM_REG_UCM_STORM1_IFEN 0xe0008
4058/* [RW 1] CM - Timers Interface enable. If 0 - the valid input is
4059 disregarded; acknowledge output is deasserted; all other signals are
4060 treated as usual; if 1 - normal activity. */
4061#define UCM_REG_UCM_TM_IFEN 0xe0020
4062/* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
4063 disregarded; valid is deasserted; all other signals are treated as usual;
4064 if 1 - normal activity. */
4065#define UCM_REG_UCM_UQM_IFEN 0xe000c
4066/* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
4067#define UCM_REG_UCM_UQM_USE_Q 0xe00d8
4068/* [RW 6] QM output initial credit. Max credit available - 32.Write writes
4069 the initial credit value; read returns the current value of the credit
4070 counter. Must be initialized to 32 at start-up. */
4071#define UCM_REG_UQM_INIT_CRD 0xe0220
4072/* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
4073 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4074 prioritised); 2 stands for weight 2; tc. */
4075#define UCM_REG_UQM_P_WEIGHT 0xe00cc
4076/* [RW 28] The CM header value for QM request (primary). */
4077#define UCM_REG_UQM_UCM_HDR_P 0xe0094
4078/* [RW 28] The CM header value for QM request (secondary). */
4079#define UCM_REG_UQM_UCM_HDR_S 0xe0098
4080/* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
4081 acknowledge output is deasserted; all other signals are treated as usual;
4082 if 1 - normal activity. */
4083#define UCM_REG_UQM_UCM_IFEN 0xe0014
4084/* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
4085 acknowledge output is deasserted; all other signals are treated as usual;
4086 if 1 - normal activity. */
4087#define UCM_REG_USDM_IFEN 0xe0018
4088/* [RC 1] Set when the message length mismatch (relative to last indication)
4089 at the SDM interface is detected. */
4090#define UCM_REG_USDM_LENGTH_MIS 0xe0158
4091/* [RW 1] Input xsem Interface enable. If 0 - the valid input is
4092 disregarded; acknowledge output is deasserted; all other signals are
4093 treated as usual; if 1 - normal activity. */
4094#define UCM_REG_XSEM_IFEN 0xe002c
4095/* [RC 1] Set when the message length mismatch (relative to last indication)
4096 at the xsem interface isdetected. */
4097#define UCM_REG_XSEM_LENGTH_MIS 0xe0164
4098/* [RW 20] Indirect access to the descriptor table of the XX protection
4099 mechanism. The fields are:[5:0] - message length; 14:6] - message
4100 pointer; 19:15] - next pointer. */
4101#define UCM_REG_XX_DESCR_TABLE 0xe0280
c18487ee 4102#define UCM_REG_XX_DESCR_TABLE_SIZE 32
a2fbb9ea
ET
4103/* [R 6] Use to read the XX protection Free counter. */
4104#define UCM_REG_XX_FREE 0xe016c
4105/* [RW 6] Initial value for the credit counter; responsible for fulfilling
4106 of the Input Stage XX protection buffer by the XX protection pending
4107 messages. Write writes the initial credit value; read returns the current
4108 value of the credit counter. Must be initialized to 12 at start-up. */
4109#define UCM_REG_XX_INIT_CRD 0xe0224
4110/* [RW 6] The maximum number of pending messages; which may be stored in XX
4111 protection. ~ucm_registers_xx_free.xx_free read on read. */
4112#define UCM_REG_XX_MSG_NUM 0xe0228
4113/* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
4114#define UCM_REG_XX_OVFL_EVNT_ID 0xe004c
4115/* [RW 16] Indirect access to the XX table of the XX protection mechanism.
4116 The fields are: [4:0] - tail pointer; 10:5] - Link List size; 15:11] -
4117 header pointer. */
4118#define UCM_REG_XX_TABLE 0xe0300
4119/* [RW 8] The event id for aggregated interrupt 0 */
4120#define USDM_REG_AGG_INT_EVENT_0 0xc4038
4121#define USDM_REG_AGG_INT_EVENT_1 0xc403c
4122#define USDM_REG_AGG_INT_EVENT_10 0xc4060
4123#define USDM_REG_AGG_INT_EVENT_11 0xc4064
4124#define USDM_REG_AGG_INT_EVENT_12 0xc4068
4125#define USDM_REG_AGG_INT_EVENT_13 0xc406c
4126#define USDM_REG_AGG_INT_EVENT_14 0xc4070
4127#define USDM_REG_AGG_INT_EVENT_15 0xc4074
4128#define USDM_REG_AGG_INT_EVENT_16 0xc4078
4129#define USDM_REG_AGG_INT_EVENT_17 0xc407c
4130#define USDM_REG_AGG_INT_EVENT_18 0xc4080
4131#define USDM_REG_AGG_INT_EVENT_19 0xc4084
c18487ee
YR
4132#define USDM_REG_AGG_INT_EVENT_2 0xc4040
4133#define USDM_REG_AGG_INT_EVENT_20 0xc4088
4134#define USDM_REG_AGG_INT_EVENT_21 0xc408c
4135#define USDM_REG_AGG_INT_EVENT_22 0xc4090
4136#define USDM_REG_AGG_INT_EVENT_23 0xc4094
4137#define USDM_REG_AGG_INT_EVENT_24 0xc4098
4138#define USDM_REG_AGG_INT_EVENT_25 0xc409c
4139#define USDM_REG_AGG_INT_EVENT_26 0xc40a0
4140#define USDM_REG_AGG_INT_EVENT_27 0xc40a4
4141#define USDM_REG_AGG_INT_EVENT_28 0xc40a8
4142#define USDM_REG_AGG_INT_EVENT_29 0xc40ac
4143#define USDM_REG_AGG_INT_EVENT_3 0xc4044
4144#define USDM_REG_AGG_INT_EVENT_30 0xc40b0
4145#define USDM_REG_AGG_INT_EVENT_31 0xc40b4
4146#define USDM_REG_AGG_INT_EVENT_4 0xc4048
a2fbb9ea
ET
4147/* [RW 1] For each aggregated interrupt index whether the mode is normal (0)
4148 or auto-mask-mode (1) */
4149#define USDM_REG_AGG_INT_MODE_0 0xc41b8
4150#define USDM_REG_AGG_INT_MODE_1 0xc41bc
4151#define USDM_REG_AGG_INT_MODE_10 0xc41e0
4152#define USDM_REG_AGG_INT_MODE_11 0xc41e4
4153#define USDM_REG_AGG_INT_MODE_12 0xc41e8
4154#define USDM_REG_AGG_INT_MODE_13 0xc41ec
4155#define USDM_REG_AGG_INT_MODE_14 0xc41f0
4156#define USDM_REG_AGG_INT_MODE_15 0xc41f4
4157#define USDM_REG_AGG_INT_MODE_16 0xc41f8
4158#define USDM_REG_AGG_INT_MODE_17 0xc41fc
4159#define USDM_REG_AGG_INT_MODE_18 0xc4200
4160#define USDM_REG_AGG_INT_MODE_19 0xc4204
4161/* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
4162#define USDM_REG_CFC_RSP_START_ADDR 0xc4008
4163/* [RW 16] The maximum value of the competion counter #0 */
4164#define USDM_REG_CMP_COUNTER_MAX0 0xc401c
4165/* [RW 16] The maximum value of the competion counter #1 */
4166#define USDM_REG_CMP_COUNTER_MAX1 0xc4020
4167/* [RW 16] The maximum value of the competion counter #2 */
4168#define USDM_REG_CMP_COUNTER_MAX2 0xc4024
4169/* [RW 16] The maximum value of the competion counter #3 */
4170#define USDM_REG_CMP_COUNTER_MAX3 0xc4028
4171/* [RW 13] The start address in the internal RAM for the completion
4172 counters. */
4173#define USDM_REG_CMP_COUNTER_START_ADDR 0xc400c
4174#define USDM_REG_ENABLE_IN1 0xc4238
4175#define USDM_REG_ENABLE_IN2 0xc423c
4176#define USDM_REG_ENABLE_OUT1 0xc4240
4177#define USDM_REG_ENABLE_OUT2 0xc4244
4178/* [RW 4] The initial number of messages that can be sent to the pxp control
4179 interface without receiving any ACK. */
4180#define USDM_REG_INIT_CREDIT_PXP_CTRL 0xc44c0
4181/* [ST 32] The number of ACK after placement messages received */
4182#define USDM_REG_NUM_OF_ACK_AFTER_PLACE 0xc4280
4183/* [ST 32] The number of packet end messages received from the parser */
4184#define USDM_REG_NUM_OF_PKT_END_MSG 0xc4278
4185/* [ST 32] The number of requests received from the pxp async if */
4186#define USDM_REG_NUM_OF_PXP_ASYNC_REQ 0xc427c
4187/* [ST 32] The number of commands received in queue 0 */
4188#define USDM_REG_NUM_OF_Q0_CMD 0xc4248
4189/* [ST 32] The number of commands received in queue 10 */
4190#define USDM_REG_NUM_OF_Q10_CMD 0xc4270
4191/* [ST 32] The number of commands received in queue 11 */
4192#define USDM_REG_NUM_OF_Q11_CMD 0xc4274
4193/* [ST 32] The number of commands received in queue 1 */
4194#define USDM_REG_NUM_OF_Q1_CMD 0xc424c
4195/* [ST 32] The number of commands received in queue 2 */
4196#define USDM_REG_NUM_OF_Q2_CMD 0xc4250
4197/* [ST 32] The number of commands received in queue 3 */
4198#define USDM_REG_NUM_OF_Q3_CMD 0xc4254
4199/* [ST 32] The number of commands received in queue 4 */
4200#define USDM_REG_NUM_OF_Q4_CMD 0xc4258
4201/* [ST 32] The number of commands received in queue 5 */
4202#define USDM_REG_NUM_OF_Q5_CMD 0xc425c
4203/* [ST 32] The number of commands received in queue 6 */
4204#define USDM_REG_NUM_OF_Q6_CMD 0xc4260
4205/* [ST 32] The number of commands received in queue 7 */
4206#define USDM_REG_NUM_OF_Q7_CMD 0xc4264
4207/* [ST 32] The number of commands received in queue 8 */
4208#define USDM_REG_NUM_OF_Q8_CMD 0xc4268
4209/* [ST 32] The number of commands received in queue 9 */
4210#define USDM_REG_NUM_OF_Q9_CMD 0xc426c
4211/* [RW 13] The start address in the internal RAM for the packet end message */
4212#define USDM_REG_PCK_END_MSG_START_ADDR 0xc4014
4213/* [RW 13] The start address in the internal RAM for queue counters */
4214#define USDM_REG_Q_COUNTER_START_ADDR 0xc4010
4215/* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
4216#define USDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0xc4550
4217/* [R 1] parser fifo empty in sdm_sync block */
4218#define USDM_REG_SYNC_PARSER_EMPTY 0xc4558
4219/* [R 1] parser serial fifo empty in sdm_sync block */
4220#define USDM_REG_SYNC_SYNC_EMPTY 0xc4560
4221/* [RW 32] Tick for timer counter. Applicable only when
4222 ~usdm_registers_timer_tick_enable.timer_tick_enable =1 */
4223#define USDM_REG_TIMER_TICK 0xc4000
4224/* [RW 32] Interrupt mask register #0 read/write */
4225#define USDM_REG_USDM_INT_MASK_0 0xc42a0
4226#define USDM_REG_USDM_INT_MASK_1 0xc42b0
c18487ee
YR
4227/* [R 32] Interrupt register #0 read */
4228#define USDM_REG_USDM_INT_STS_0 0xc4294
4229#define USDM_REG_USDM_INT_STS_1 0xc42a4
a2fbb9ea
ET
4230/* [RW 11] Parity mask register #0 read/write */
4231#define USDM_REG_USDM_PRTY_MASK 0xc42c0
f1410647
ET
4232/* [R 11] Parity register #0 read */
4233#define USDM_REG_USDM_PRTY_STS 0xc42b4
a2fbb9ea
ET
4234/* [RW 5] The number of time_slots in the arbitration cycle */
4235#define USEM_REG_ARB_CYCLE_SIZE 0x300034
4236/* [RW 3] The source that is associated with arbitration element 0. Source
4237 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4238 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
4239#define USEM_REG_ARB_ELEMENT0 0x300020
4240/* [RW 3] The source that is associated with arbitration element 1. Source
4241 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4242 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4243 Could not be equal to register ~usem_registers_arb_element0.arb_element0 */
4244#define USEM_REG_ARB_ELEMENT1 0x300024
4245/* [RW 3] The source that is associated with arbitration element 2. Source
4246 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4247 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4248 Could not be equal to register ~usem_registers_arb_element0.arb_element0
4249 and ~usem_registers_arb_element1.arb_element1 */
4250#define USEM_REG_ARB_ELEMENT2 0x300028
4251/* [RW 3] The source that is associated with arbitration element 3. Source
4252 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4253 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
4254 not be equal to register ~usem_registers_arb_element0.arb_element0 and
4255 ~usem_registers_arb_element1.arb_element1 and
4256 ~usem_registers_arb_element2.arb_element2 */
4257#define USEM_REG_ARB_ELEMENT3 0x30002c
4258/* [RW 3] The source that is associated with arbitration element 4. Source
4259 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4260 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4261 Could not be equal to register ~usem_registers_arb_element0.arb_element0
4262 and ~usem_registers_arb_element1.arb_element1 and
4263 ~usem_registers_arb_element2.arb_element2 and
4264 ~usem_registers_arb_element3.arb_element3 */
4265#define USEM_REG_ARB_ELEMENT4 0x300030
4266#define USEM_REG_ENABLE_IN 0x3000a4
4267#define USEM_REG_ENABLE_OUT 0x3000a8
4268/* [RW 32] This address space contains all registers and memories that are
4269 placed in SEM_FAST block. The SEM_FAST registers are described in
c18487ee
YR
4270 appendix B. In order to access the sem_fast registers the base address
4271 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
a2fbb9ea
ET
4272#define USEM_REG_FAST_MEMORY 0x320000
4273/* [RW 1] Disables input messages from FIC0 May be updated during run_time
4274 by the microcode */
4275#define USEM_REG_FIC0_DISABLE 0x300224
4276/* [RW 1] Disables input messages from FIC1 May be updated during run_time
4277 by the microcode */
4278#define USEM_REG_FIC1_DISABLE 0x300234
4279/* [RW 15] Interrupt table Read and write access to it is not possible in
4280 the middle of the work */
4281#define USEM_REG_INT_TABLE 0x300400
4282/* [ST 24] Statistics register. The number of messages that entered through
4283 FIC0 */
4284#define USEM_REG_MSG_NUM_FIC0 0x300000
4285/* [ST 24] Statistics register. The number of messages that entered through
4286 FIC1 */
4287#define USEM_REG_MSG_NUM_FIC1 0x300004
4288/* [ST 24] Statistics register. The number of messages that were sent to
4289 FOC0 */
4290#define USEM_REG_MSG_NUM_FOC0 0x300008
4291/* [ST 24] Statistics register. The number of messages that were sent to
4292 FOC1 */
4293#define USEM_REG_MSG_NUM_FOC1 0x30000c
4294/* [ST 24] Statistics register. The number of messages that were sent to
4295 FOC2 */
4296#define USEM_REG_MSG_NUM_FOC2 0x300010
4297/* [ST 24] Statistics register. The number of messages that were sent to
4298 FOC3 */
4299#define USEM_REG_MSG_NUM_FOC3 0x300014
4300/* [RW 1] Disables input messages from the passive buffer May be updated
4301 during run_time by the microcode */
4302#define USEM_REG_PAS_DISABLE 0x30024c
4303/* [WB 128] Debug only. Passive buffer memory */
4304#define USEM_REG_PASSIVE_BUFFER 0x302000
4305/* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
4306#define USEM_REG_PRAM 0x340000
4307/* [R 16] Valid sleeping threads indication have bit per thread */
4308#define USEM_REG_SLEEP_THREADS_VALID 0x30026c
4309/* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
4310#define USEM_REG_SLOW_EXT_STORE_EMPTY 0x3002a0
4311/* [RW 16] List of free threads . There is a bit per thread. */
4312#define USEM_REG_THREADS_LIST 0x3002e4
4313/* [RW 3] The arbitration scheme of time_slot 0 */
4314#define USEM_REG_TS_0_AS 0x300038
4315/* [RW 3] The arbitration scheme of time_slot 10 */
4316#define USEM_REG_TS_10_AS 0x300060
4317/* [RW 3] The arbitration scheme of time_slot 11 */
4318#define USEM_REG_TS_11_AS 0x300064
4319/* [RW 3] The arbitration scheme of time_slot 12 */
4320#define USEM_REG_TS_12_AS 0x300068
4321/* [RW 3] The arbitration scheme of time_slot 13 */
4322#define USEM_REG_TS_13_AS 0x30006c
4323/* [RW 3] The arbitration scheme of time_slot 14 */
4324#define USEM_REG_TS_14_AS 0x300070
4325/* [RW 3] The arbitration scheme of time_slot 15 */
4326#define USEM_REG_TS_15_AS 0x300074
4327/* [RW 3] The arbitration scheme of time_slot 16 */
4328#define USEM_REG_TS_16_AS 0x300078
4329/* [RW 3] The arbitration scheme of time_slot 17 */
4330#define USEM_REG_TS_17_AS 0x30007c
4331/* [RW 3] The arbitration scheme of time_slot 18 */
4332#define USEM_REG_TS_18_AS 0x300080
4333/* [RW 3] The arbitration scheme of time_slot 1 */
4334#define USEM_REG_TS_1_AS 0x30003c
4335/* [RW 3] The arbitration scheme of time_slot 2 */
4336#define USEM_REG_TS_2_AS 0x300040
4337/* [RW 3] The arbitration scheme of time_slot 3 */
4338#define USEM_REG_TS_3_AS 0x300044
4339/* [RW 3] The arbitration scheme of time_slot 4 */
4340#define USEM_REG_TS_4_AS 0x300048
4341/* [RW 3] The arbitration scheme of time_slot 5 */
4342#define USEM_REG_TS_5_AS 0x30004c
4343/* [RW 3] The arbitration scheme of time_slot 6 */
4344#define USEM_REG_TS_6_AS 0x300050
4345/* [RW 3] The arbitration scheme of time_slot 7 */
4346#define USEM_REG_TS_7_AS 0x300054
4347/* [RW 3] The arbitration scheme of time_slot 8 */
4348#define USEM_REG_TS_8_AS 0x300058
4349/* [RW 3] The arbitration scheme of time_slot 9 */
4350#define USEM_REG_TS_9_AS 0x30005c
4351/* [RW 32] Interrupt mask register #0 read/write */
4352#define USEM_REG_USEM_INT_MASK_0 0x300110
4353#define USEM_REG_USEM_INT_MASK_1 0x300120
c18487ee
YR
4354/* [R 32] Interrupt register #0 read */
4355#define USEM_REG_USEM_INT_STS_0 0x300104
4356#define USEM_REG_USEM_INT_STS_1 0x300114
a2fbb9ea
ET
4357/* [RW 32] Parity mask register #0 read/write */
4358#define USEM_REG_USEM_PRTY_MASK_0 0x300130
4359#define USEM_REG_USEM_PRTY_MASK_1 0x300140
f1410647
ET
4360/* [R 32] Parity register #0 read */
4361#define USEM_REG_USEM_PRTY_STS_0 0x300124
4362#define USEM_REG_USEM_PRTY_STS_1 0x300134
a2fbb9ea
ET
4363/* [RW 2] The queue index for registration on Aux1 counter flag. */
4364#define XCM_REG_AUX1_Q 0x20134
4365/* [RW 2] Per each decision rule the queue index to register to. */
4366#define XCM_REG_AUX_CNT_FLG_Q_19 0x201b0
4367/* [R 5] Used to read the XX protection CAM occupancy counter. */
4368#define XCM_REG_CAM_OCCUP 0x20244
4369/* [RW 1] CDU AG read Interface enable. If 0 - the request input is
4370 disregarded; valid output is deasserted; all other signals are treated as
4371 usual; if 1 - normal activity. */
4372#define XCM_REG_CDU_AG_RD_IFEN 0x20044
4373/* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
4374 are disregarded; all other signals are treated as usual; if 1 - normal
4375 activity. */
4376#define XCM_REG_CDU_AG_WR_IFEN 0x20040
4377/* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
4378 disregarded; valid output is deasserted; all other signals are treated as
4379 usual; if 1 - normal activity. */
4380#define XCM_REG_CDU_SM_RD_IFEN 0x2004c
4381/* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
4382 input is disregarded; all other signals are treated as usual; if 1 -
4383 normal activity. */
4384#define XCM_REG_CDU_SM_WR_IFEN 0x20048
4385/* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
4386 the initial credit value; read returns the current value of the credit
4387 counter. Must be initialized to 1 at start-up. */
4388#define XCM_REG_CFC_INIT_CRD 0x20404
4389/* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
4390 weight 8 (the most prioritised); 1 stands for weight 1(least
4391 prioritised); 2 stands for weight 2; tc. */
4392#define XCM_REG_CP_WEIGHT 0x200dc
4393/* [RW 1] Input csem Interface enable. If 0 - the valid input is
4394 disregarded; acknowledge output is deasserted; all other signals are
4395 treated as usual; if 1 - normal activity. */
4396#define XCM_REG_CSEM_IFEN 0x20028
4397/* [RC 1] Set at message length mismatch (relative to last indication) at
4398 the csem interface. */
4399#define XCM_REG_CSEM_LENGTH_MIS 0x20228
4400/* [RW 3] The weight of the input csem in the WRR mechanism. 0 stands for
4401 weight 8 (the most prioritised); 1 stands for weight 1(least
4402 prioritised); 2 stands for weight 2; tc. */
4403#define XCM_REG_CSEM_WEIGHT 0x200c4
4404/* [RW 1] Input dorq Interface enable. If 0 - the valid input is
4405 disregarded; acknowledge output is deasserted; all other signals are
4406 treated as usual; if 1 - normal activity. */
4407#define XCM_REG_DORQ_IFEN 0x20030
4408/* [RC 1] Set at message length mismatch (relative to last indication) at
4409 the dorq interface. */
4410#define XCM_REG_DORQ_LENGTH_MIS 0x20230
4411/* [RW 8] The Event ID in case the ErrorFlg input message bit is set. */
4412#define XCM_REG_ERR_EVNT_ID 0x200b0
4413/* [RW 28] The CM erroneous header for QM and Timers formatting. */
4414#define XCM_REG_ERR_XCM_HDR 0x200ac
4415/* [RW 8] The Event ID for Timers expiration. */
4416#define XCM_REG_EXPR_EVNT_ID 0x200b4
4417/* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
4418 writes the initial credit value; read returns the current value of the
4419 credit counter. Must be initialized to 64 at start-up. */
4420#define XCM_REG_FIC0_INIT_CRD 0x2040c
4421/* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
4422 writes the initial credit value; read returns the current value of the
4423 credit counter. Must be initialized to 64 at start-up. */
4424#define XCM_REG_FIC1_INIT_CRD 0x20410
a2fbb9ea
ET
4425#define XCM_REG_GLB_DEL_ACK_MAX_CNT_0 0x20118
4426#define XCM_REG_GLB_DEL_ACK_MAX_CNT_1 0x2011c
a2fbb9ea
ET
4427#define XCM_REG_GLB_DEL_ACK_TMR_VAL_0 0x20108
4428#define XCM_REG_GLB_DEL_ACK_TMR_VAL_1 0x2010c
4429/* [RW 1] Arbitratiojn between Input Arbiter groups: 0 - fair Round-Robin; 1
4430 - strict priority defined by ~xcm_registers_gr_ag_pr.gr_ag_pr;
4431 ~xcm_registers_gr_ld0_pr.gr_ld0_pr and
4432 ~xcm_registers_gr_ld1_pr.gr_ld1_pr. */
4433#define XCM_REG_GR_ARB_TYPE 0x2020c
4434/* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
4435 highest priority is 3. It is supposed that the Channel group is the
4436 compliment of the other 3 groups. */
4437#define XCM_REG_GR_LD0_PR 0x20214
4438/* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
4439 highest priority is 3. It is supposed that the Channel group is the
4440 compliment of the other 3 groups. */
4441#define XCM_REG_GR_LD1_PR 0x20218
4442/* [RW 1] Input nig0 Interface enable. If 0 - the valid input is
4443 disregarded; acknowledge output is deasserted; all other signals are
4444 treated as usual; if 1 - normal activity. */
4445#define XCM_REG_NIG0_IFEN 0x20038
4446/* [RC 1] Set at message length mismatch (relative to last indication) at
4447 the nig0 interface. */
4448#define XCM_REG_NIG0_LENGTH_MIS 0x20238
4449/* [RW 1] Input nig1 Interface enable. If 0 - the valid input is
4450 disregarded; acknowledge output is deasserted; all other signals are
4451 treated as usual; if 1 - normal activity. */
4452#define XCM_REG_NIG1_IFEN 0x2003c
4453/* [RC 1] Set at message length mismatch (relative to last indication) at
4454 the nig1 interface. */
4455#define XCM_REG_NIG1_LENGTH_MIS 0x2023c
4456/* [RW 3] The weight of the input nig1 in the WRR mechanism. 0 stands for
4457 weight 8 (the most prioritised); 1 stands for weight 1(least
4458 prioritised); 2 stands for weight 2; tc. */
4459#define XCM_REG_NIG1_WEIGHT 0x200d8
4460/* [RW 5] The number of double REG-pairs; loaded from the STORM context and
4461 sent to STORM; for a specific connection type. The double REG-pairs are
4462 used in order to align to STORM context row size of 128 bits. The offset
4463 of these data in the STORM context is always 0. Index _i stands for the
4464 connection type (one of 16). */
4465#define XCM_REG_N_SM_CTX_LD_0 0x20060
4466#define XCM_REG_N_SM_CTX_LD_1 0x20064
4467#define XCM_REG_N_SM_CTX_LD_10 0x20088
4468#define XCM_REG_N_SM_CTX_LD_11 0x2008c
4469#define XCM_REG_N_SM_CTX_LD_12 0x20090
4470#define XCM_REG_N_SM_CTX_LD_13 0x20094
4471#define XCM_REG_N_SM_CTX_LD_14 0x20098
4472#define XCM_REG_N_SM_CTX_LD_15 0x2009c
4473#define XCM_REG_N_SM_CTX_LD_2 0x20068
4474#define XCM_REG_N_SM_CTX_LD_3 0x2006c
4475#define XCM_REG_N_SM_CTX_LD_4 0x20070
c18487ee 4476#define XCM_REG_N_SM_CTX_LD_5 0x20074
a2fbb9ea
ET
4477/* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
4478 acknowledge output is deasserted; all other signals are treated as usual;
4479 if 1 - normal activity. */
4480#define XCM_REG_PBF_IFEN 0x20034
4481/* [RC 1] Set at message length mismatch (relative to last indication) at
4482 the pbf interface. */
4483#define XCM_REG_PBF_LENGTH_MIS 0x20234
4484/* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
4485 weight 8 (the most prioritised); 1 stands for weight 1(least
4486 prioritised); 2 stands for weight 2; tc. */
4487#define XCM_REG_PBF_WEIGHT 0x200d0
c18487ee
YR
4488#define XCM_REG_PHYS_QNUM3_0 0x20100
4489#define XCM_REG_PHYS_QNUM3_1 0x20104
a2fbb9ea
ET
4490/* [RW 8] The Event ID for Timers formatting in case of stop done. */
4491#define XCM_REG_STOP_EVNT_ID 0x200b8
4492/* [RC 1] Set at message length mismatch (relative to last indication) at
4493 the STORM interface. */
4494#define XCM_REG_STORM_LENGTH_MIS 0x2021c
4495/* [RW 3] The weight of the STORM input in the WRR mechanism. 0 stands for
4496 weight 8 (the most prioritised); 1 stands for weight 1(least
4497 prioritised); 2 stands for weight 2; tc. */
4498#define XCM_REG_STORM_WEIGHT 0x200bc
4499/* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
4500 disregarded; acknowledge output is deasserted; all other signals are
4501 treated as usual; if 1 - normal activity. */
4502#define XCM_REG_STORM_XCM_IFEN 0x20010
4503/* [RW 4] Timers output initial credit. Max credit available - 15.Write
4504 writes the initial credit value; read returns the current value of the
4505 credit counter. Must be initialized to 4 at start-up. */
4506#define XCM_REG_TM_INIT_CRD 0x2041c
4507/* [RW 28] The CM header for Timers expiration command. */
4508#define XCM_REG_TM_XCM_HDR 0x200a8
4509/* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
4510 disregarded; acknowledge output is deasserted; all other signals are
4511 treated as usual; if 1 - normal activity. */
4512#define XCM_REG_TM_XCM_IFEN 0x2001c
4513/* [RW 1] Input tsem Interface enable. If 0 - the valid input is
4514 disregarded; acknowledge output is deasserted; all other signals are
4515 treated as usual; if 1 - normal activity. */
4516#define XCM_REG_TSEM_IFEN 0x20024
4517/* [RC 1] Set at message length mismatch (relative to last indication) at
4518 the tsem interface. */
4519#define XCM_REG_TSEM_LENGTH_MIS 0x20224
4520/* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
4521 weight 8 (the most prioritised); 1 stands for weight 1(least
4522 prioritised); 2 stands for weight 2; tc. */
4523#define XCM_REG_TSEM_WEIGHT 0x200c0
4524/* [RW 2] The queue index for registration on UNA greater NXT decision rule. */
4525#define XCM_REG_UNA_GT_NXT_Q 0x20120
4526/* [RW 1] Input usem Interface enable. If 0 - the valid input is
4527 disregarded; acknowledge output is deasserted; all other signals are
4528 treated as usual; if 1 - normal activity. */
4529#define XCM_REG_USEM_IFEN 0x2002c
4530/* [RC 1] Message length mismatch (relative to last indication) at the usem
4531 interface. */
4532#define XCM_REG_USEM_LENGTH_MIS 0x2022c
4533/* [RW 3] The weight of the input usem in the WRR mechanism. 0 stands for
4534 weight 8 (the most prioritised); 1 stands for weight 1(least
4535 prioritised); 2 stands for weight 2; tc. */
4536#define XCM_REG_USEM_WEIGHT 0x200c8
a2fbb9ea 4537#define XCM_REG_WU_DA_CNT_CMD00 0x201d4
a2fbb9ea 4538#define XCM_REG_WU_DA_CNT_CMD01 0x201d8
a2fbb9ea 4539#define XCM_REG_WU_DA_CNT_CMD10 0x201dc
a2fbb9ea 4540#define XCM_REG_WU_DA_CNT_CMD11 0x201e0
a2fbb9ea 4541#define XCM_REG_WU_DA_CNT_UPD_VAL00 0x201e4
a2fbb9ea 4542#define XCM_REG_WU_DA_CNT_UPD_VAL01 0x201e8
a2fbb9ea 4543#define XCM_REG_WU_DA_CNT_UPD_VAL10 0x201ec
a2fbb9ea 4544#define XCM_REG_WU_DA_CNT_UPD_VAL11 0x201f0
a2fbb9ea 4545#define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD00 0x201c4
a2fbb9ea 4546#define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD01 0x201c8
a2fbb9ea 4547#define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD10 0x201cc
a2fbb9ea
ET
4548#define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD11 0x201d0
4549/* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
4550 acknowledge output is deasserted; all other signals are treated as usual;
4551 if 1 - normal activity. */
4552#define XCM_REG_XCM_CFC_IFEN 0x20050
4553/* [RW 14] Interrupt mask register #0 read/write */
4554#define XCM_REG_XCM_INT_MASK 0x202b4
4555/* [R 14] Interrupt register #0 read */
4556#define XCM_REG_XCM_INT_STS 0x202a8
c18487ee
YR
4557/* [R 30] Parity register #0 read */
4558#define XCM_REG_XCM_PRTY_STS 0x202b8
a2fbb9ea
ET
4559/* [RW 4] The size of AG context region 0 in REG-pairs. Designates the MS
4560 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
4561 Is used to determine the number of the AG context REG-pairs written back;
4562 when the Reg1WbFlg isn't set. */
4563#define XCM_REG_XCM_REG0_SZ 0x200f4
4564/* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
4565 disregarded; valid is deasserted; all other signals are treated as usual;
4566 if 1 - normal activity. */
4567#define XCM_REG_XCM_STORM0_IFEN 0x20004
4568/* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
4569 disregarded; valid is deasserted; all other signals are treated as usual;
4570 if 1 - normal activity. */
4571#define XCM_REG_XCM_STORM1_IFEN 0x20008
4572/* [RW 1] CM - Timers Interface enable. If 0 - the valid input is
4573 disregarded; acknowledge output is deasserted; all other signals are
4574 treated as usual; if 1 - normal activity. */
4575#define XCM_REG_XCM_TM_IFEN 0x20020
4576/* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
4577 disregarded; valid is deasserted; all other signals are treated as usual;
4578 if 1 - normal activity. */
4579#define XCM_REG_XCM_XQM_IFEN 0x2000c
4580/* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
4581#define XCM_REG_XCM_XQM_USE_Q 0x200f0
4582/* [RW 4] The value by which CFC updates the activity counter at QM bypass. */
4583#define XCM_REG_XQM_BYP_ACT_UPD 0x200fc
4584/* [RW 6] QM output initial credit. Max credit available - 32.Write writes
4585 the initial credit value; read returns the current value of the credit
4586 counter. Must be initialized to 32 at start-up. */
4587#define XCM_REG_XQM_INIT_CRD 0x20420
4588/* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
4589 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4590 prioritised); 2 stands for weight 2; tc. */
4591#define XCM_REG_XQM_P_WEIGHT 0x200e4
4592/* [RW 28] The CM header value for QM request (primary). */
4593#define XCM_REG_XQM_XCM_HDR_P 0x200a0
4594/* [RW 28] The CM header value for QM request (secondary). */
4595#define XCM_REG_XQM_XCM_HDR_S 0x200a4
4596/* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
4597 acknowledge output is deasserted; all other signals are treated as usual;
4598 if 1 - normal activity. */
4599#define XCM_REG_XQM_XCM_IFEN 0x20014
4600/* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
4601 acknowledge output is deasserted; all other signals are treated as usual;
4602 if 1 - normal activity. */
4603#define XCM_REG_XSDM_IFEN 0x20018
4604/* [RC 1] Set at message length mismatch (relative to last indication) at
4605 the SDM interface. */
4606#define XCM_REG_XSDM_LENGTH_MIS 0x20220
4607/* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
4608 weight 8 (the most prioritised); 1 stands for weight 1(least
4609 prioritised); 2 stands for weight 2; tc. */
4610#define XCM_REG_XSDM_WEIGHT 0x200e0
4611/* [RW 17] Indirect access to the descriptor table of the XX protection
4612 mechanism. The fields are: [5:0] - message length; 11:6] - message
4613 pointer; 16:12] - next pointer. */
4614#define XCM_REG_XX_DESCR_TABLE 0x20480
c18487ee 4615#define XCM_REG_XX_DESCR_TABLE_SIZE 32
a2fbb9ea
ET
4616/* [R 6] Used to read the XX protection Free counter. */
4617#define XCM_REG_XX_FREE 0x20240
4618/* [RW 6] Initial value for the credit counter; responsible for fulfilling
4619 of the Input Stage XX protection buffer by the XX protection pending
4620 messages. Max credit available - 3.Write writes the initial credit value;
4621 read returns the current value of the credit counter. Must be initialized
4622 to 2 at start-up. */
4623#define XCM_REG_XX_INIT_CRD 0x20424
4624/* [RW 6] The maximum number of pending messages; which may be stored in XX
4625 protection. ~xcm_registers_xx_free.xx_free read on read. */
4626#define XCM_REG_XX_MSG_NUM 0x20428
4627/* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
4628#define XCM_REG_XX_OVFL_EVNT_ID 0x20058
c18487ee 4629/* [RW 16] Indirect access to the XX table of the XX protection mechanism.
a2fbb9ea
ET
4630 The fields are:[4:0] - tail pointer; 9:5] - Link List size; 14:10] -
4631 header pointer. */
4632#define XCM_REG_XX_TABLE 0x20500
4633/* [RW 8] The event id for aggregated interrupt 0 */
4634#define XSDM_REG_AGG_INT_EVENT_0 0x166038
4635#define XSDM_REG_AGG_INT_EVENT_1 0x16603c
4636#define XSDM_REG_AGG_INT_EVENT_10 0x166060
4637#define XSDM_REG_AGG_INT_EVENT_11 0x166064
4638#define XSDM_REG_AGG_INT_EVENT_12 0x166068
4639#define XSDM_REG_AGG_INT_EVENT_13 0x16606c
4640#define XSDM_REG_AGG_INT_EVENT_14 0x166070
4641#define XSDM_REG_AGG_INT_EVENT_15 0x166074
4642#define XSDM_REG_AGG_INT_EVENT_16 0x166078
4643#define XSDM_REG_AGG_INT_EVENT_17 0x16607c
4644#define XSDM_REG_AGG_INT_EVENT_18 0x166080
4645#define XSDM_REG_AGG_INT_EVENT_19 0x166084
c18487ee
YR
4646#define XSDM_REG_AGG_INT_EVENT_10 0x166060
4647#define XSDM_REG_AGG_INT_EVENT_11 0x166064
4648#define XSDM_REG_AGG_INT_EVENT_12 0x166068
a2fbb9ea
ET
4649#define XSDM_REG_AGG_INT_EVENT_2 0x166040
4650#define XSDM_REG_AGG_INT_EVENT_20 0x166088
4651#define XSDM_REG_AGG_INT_EVENT_21 0x16608c
4652#define XSDM_REG_AGG_INT_EVENT_22 0x166090
4653#define XSDM_REG_AGG_INT_EVENT_23 0x166094
4654#define XSDM_REG_AGG_INT_EVENT_24 0x166098
4655#define XSDM_REG_AGG_INT_EVENT_25 0x16609c
4656#define XSDM_REG_AGG_INT_EVENT_26 0x1660a0
4657#define XSDM_REG_AGG_INT_EVENT_27 0x1660a4
4658#define XSDM_REG_AGG_INT_EVENT_28 0x1660a8
4659#define XSDM_REG_AGG_INT_EVENT_29 0x1660ac
c18487ee
YR
4660#define XSDM_REG_AGG_INT_EVENT_3 0x166044
4661#define XSDM_REG_AGG_INT_EVENT_30 0x1660b0
4662#define XSDM_REG_AGG_INT_EVENT_31 0x1660b4
4663#define XSDM_REG_AGG_INT_EVENT_4 0x166048
4664#define XSDM_REG_AGG_INT_EVENT_5 0x16604c
4665#define XSDM_REG_AGG_INT_EVENT_6 0x166050
4666#define XSDM_REG_AGG_INT_EVENT_7 0x166054
4667#define XSDM_REG_AGG_INT_EVENT_8 0x166058
4668#define XSDM_REG_AGG_INT_EVENT_9 0x16605c
a2fbb9ea
ET
4669/* [RW 1] For each aggregated interrupt index whether the mode is normal (0)
4670 or auto-mask-mode (1) */
4671#define XSDM_REG_AGG_INT_MODE_0 0x1661b8
4672#define XSDM_REG_AGG_INT_MODE_1 0x1661bc
4673#define XSDM_REG_AGG_INT_MODE_10 0x1661e0
4674#define XSDM_REG_AGG_INT_MODE_11 0x1661e4
4675#define XSDM_REG_AGG_INT_MODE_12 0x1661e8
4676#define XSDM_REG_AGG_INT_MODE_13 0x1661ec
4677#define XSDM_REG_AGG_INT_MODE_14 0x1661f0
4678#define XSDM_REG_AGG_INT_MODE_15 0x1661f4
4679#define XSDM_REG_AGG_INT_MODE_16 0x1661f8
4680#define XSDM_REG_AGG_INT_MODE_17 0x1661fc
4681#define XSDM_REG_AGG_INT_MODE_18 0x166200
4682#define XSDM_REG_AGG_INT_MODE_19 0x166204
4683/* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
4684#define XSDM_REG_CFC_RSP_START_ADDR 0x166008
4685/* [RW 16] The maximum value of the competion counter #0 */
4686#define XSDM_REG_CMP_COUNTER_MAX0 0x16601c
4687/* [RW 16] The maximum value of the competion counter #1 */
4688#define XSDM_REG_CMP_COUNTER_MAX1 0x166020
4689/* [RW 16] The maximum value of the competion counter #2 */
4690#define XSDM_REG_CMP_COUNTER_MAX2 0x166024
4691/* [RW 16] The maximum value of the competion counter #3 */
4692#define XSDM_REG_CMP_COUNTER_MAX3 0x166028
4693/* [RW 13] The start address in the internal RAM for the completion
4694 counters. */
4695#define XSDM_REG_CMP_COUNTER_START_ADDR 0x16600c
4696#define XSDM_REG_ENABLE_IN1 0x166238
4697#define XSDM_REG_ENABLE_IN2 0x16623c
4698#define XSDM_REG_ENABLE_OUT1 0x166240
4699#define XSDM_REG_ENABLE_OUT2 0x166244
4700/* [RW 4] The initial number of messages that can be sent to the pxp control
4701 interface without receiving any ACK. */
4702#define XSDM_REG_INIT_CREDIT_PXP_CTRL 0x1664bc
4703/* [ST 32] The number of ACK after placement messages received */
4704#define XSDM_REG_NUM_OF_ACK_AFTER_PLACE 0x16627c
4705/* [ST 32] The number of packet end messages received from the parser */
4706#define XSDM_REG_NUM_OF_PKT_END_MSG 0x166274
4707/* [ST 32] The number of requests received from the pxp async if */
4708#define XSDM_REG_NUM_OF_PXP_ASYNC_REQ 0x166278
4709/* [ST 32] The number of commands received in queue 0 */
4710#define XSDM_REG_NUM_OF_Q0_CMD 0x166248
4711/* [ST 32] The number of commands received in queue 10 */
4712#define XSDM_REG_NUM_OF_Q10_CMD 0x16626c
4713/* [ST 32] The number of commands received in queue 11 */
4714#define XSDM_REG_NUM_OF_Q11_CMD 0x166270
4715/* [ST 32] The number of commands received in queue 1 */
4716#define XSDM_REG_NUM_OF_Q1_CMD 0x16624c
4717/* [ST 32] The number of commands received in queue 3 */
4718#define XSDM_REG_NUM_OF_Q3_CMD 0x166250
4719/* [ST 32] The number of commands received in queue 4 */
4720#define XSDM_REG_NUM_OF_Q4_CMD 0x166254
4721/* [ST 32] The number of commands received in queue 5 */
4722#define XSDM_REG_NUM_OF_Q5_CMD 0x166258
4723/* [ST 32] The number of commands received in queue 6 */
4724#define XSDM_REG_NUM_OF_Q6_CMD 0x16625c
4725/* [ST 32] The number of commands received in queue 7 */
4726#define XSDM_REG_NUM_OF_Q7_CMD 0x166260
4727/* [ST 32] The number of commands received in queue 8 */
4728#define XSDM_REG_NUM_OF_Q8_CMD 0x166264
4729/* [ST 32] The number of commands received in queue 9 */
4730#define XSDM_REG_NUM_OF_Q9_CMD 0x166268
4731/* [RW 13] The start address in the internal RAM for queue counters */
4732#define XSDM_REG_Q_COUNTER_START_ADDR 0x166010
4733/* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
4734#define XSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0x166548
4735/* [R 1] parser fifo empty in sdm_sync block */
4736#define XSDM_REG_SYNC_PARSER_EMPTY 0x166550
4737/* [R 1] parser serial fifo empty in sdm_sync block */
4738#define XSDM_REG_SYNC_SYNC_EMPTY 0x166558
4739/* [RW 32] Tick for timer counter. Applicable only when
4740 ~xsdm_registers_timer_tick_enable.timer_tick_enable =1 */
4741#define XSDM_REG_TIMER_TICK 0x166000
4742/* [RW 32] Interrupt mask register #0 read/write */
4743#define XSDM_REG_XSDM_INT_MASK_0 0x16629c
4744#define XSDM_REG_XSDM_INT_MASK_1 0x1662ac
c18487ee
YR
4745/* [R 32] Interrupt register #0 read */
4746#define XSDM_REG_XSDM_INT_STS_0 0x166290
4747#define XSDM_REG_XSDM_INT_STS_1 0x1662a0
a2fbb9ea
ET
4748/* [RW 11] Parity mask register #0 read/write */
4749#define XSDM_REG_XSDM_PRTY_MASK 0x1662bc
f1410647
ET
4750/* [R 11] Parity register #0 read */
4751#define XSDM_REG_XSDM_PRTY_STS 0x1662b0
a2fbb9ea
ET
4752/* [RW 5] The number of time_slots in the arbitration cycle */
4753#define XSEM_REG_ARB_CYCLE_SIZE 0x280034
4754/* [RW 3] The source that is associated with arbitration element 0. Source
4755 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4756 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
4757#define XSEM_REG_ARB_ELEMENT0 0x280020
4758/* [RW 3] The source that is associated with arbitration element 1. Source
4759 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4760 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4761 Could not be equal to register ~xsem_registers_arb_element0.arb_element0 */
4762#define XSEM_REG_ARB_ELEMENT1 0x280024
4763/* [RW 3] The source that is associated with arbitration element 2. Source
4764 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4765 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4766 Could not be equal to register ~xsem_registers_arb_element0.arb_element0
4767 and ~xsem_registers_arb_element1.arb_element1 */
4768#define XSEM_REG_ARB_ELEMENT2 0x280028
4769/* [RW 3] The source that is associated with arbitration element 3. Source
4770 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4771 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
4772 not be equal to register ~xsem_registers_arb_element0.arb_element0 and
4773 ~xsem_registers_arb_element1.arb_element1 and
4774 ~xsem_registers_arb_element2.arb_element2 */
4775#define XSEM_REG_ARB_ELEMENT3 0x28002c
4776/* [RW 3] The source that is associated with arbitration element 4. Source
4777 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4778 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4779 Could not be equal to register ~xsem_registers_arb_element0.arb_element0
4780 and ~xsem_registers_arb_element1.arb_element1 and
4781 ~xsem_registers_arb_element2.arb_element2 and
4782 ~xsem_registers_arb_element3.arb_element3 */
4783#define XSEM_REG_ARB_ELEMENT4 0x280030
4784#define XSEM_REG_ENABLE_IN 0x2800a4
4785#define XSEM_REG_ENABLE_OUT 0x2800a8
4786/* [RW 32] This address space contains all registers and memories that are
4787 placed in SEM_FAST block. The SEM_FAST registers are described in
c18487ee
YR
4788 appendix B. In order to access the sem_fast registers the base address
4789 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
a2fbb9ea
ET
4790#define XSEM_REG_FAST_MEMORY 0x2a0000
4791/* [RW 1] Disables input messages from FIC0 May be updated during run_time
4792 by the microcode */
4793#define XSEM_REG_FIC0_DISABLE 0x280224
4794/* [RW 1] Disables input messages from FIC1 May be updated during run_time
4795 by the microcode */
4796#define XSEM_REG_FIC1_DISABLE 0x280234
4797/* [RW 15] Interrupt table Read and write access to it is not possible in
4798 the middle of the work */
4799#define XSEM_REG_INT_TABLE 0x280400
4800/* [ST 24] Statistics register. The number of messages that entered through
4801 FIC0 */
4802#define XSEM_REG_MSG_NUM_FIC0 0x280000
4803/* [ST 24] Statistics register. The number of messages that entered through
4804 FIC1 */
4805#define XSEM_REG_MSG_NUM_FIC1 0x280004
4806/* [ST 24] Statistics register. The number of messages that were sent to
4807 FOC0 */
4808#define XSEM_REG_MSG_NUM_FOC0 0x280008
4809/* [ST 24] Statistics register. The number of messages that were sent to
4810 FOC1 */
4811#define XSEM_REG_MSG_NUM_FOC1 0x28000c
4812/* [ST 24] Statistics register. The number of messages that were sent to
4813 FOC2 */
4814#define XSEM_REG_MSG_NUM_FOC2 0x280010
4815/* [ST 24] Statistics register. The number of messages that were sent to
4816 FOC3 */
4817#define XSEM_REG_MSG_NUM_FOC3 0x280014
4818/* [RW 1] Disables input messages from the passive buffer May be updated
4819 during run_time by the microcode */
4820#define XSEM_REG_PAS_DISABLE 0x28024c
4821/* [WB 128] Debug only. Passive buffer memory */
4822#define XSEM_REG_PASSIVE_BUFFER 0x282000
4823/* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
4824#define XSEM_REG_PRAM 0x2c0000
4825/* [R 16] Valid sleeping threads indication have bit per thread */
4826#define XSEM_REG_SLEEP_THREADS_VALID 0x28026c
4827/* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
4828#define XSEM_REG_SLOW_EXT_STORE_EMPTY 0x2802a0
4829/* [RW 16] List of free threads . There is a bit per thread. */
4830#define XSEM_REG_THREADS_LIST 0x2802e4
4831/* [RW 3] The arbitration scheme of time_slot 0 */
4832#define XSEM_REG_TS_0_AS 0x280038
4833/* [RW 3] The arbitration scheme of time_slot 10 */
4834#define XSEM_REG_TS_10_AS 0x280060
4835/* [RW 3] The arbitration scheme of time_slot 11 */
4836#define XSEM_REG_TS_11_AS 0x280064
4837/* [RW 3] The arbitration scheme of time_slot 12 */
4838#define XSEM_REG_TS_12_AS 0x280068
4839/* [RW 3] The arbitration scheme of time_slot 13 */
4840#define XSEM_REG_TS_13_AS 0x28006c
4841/* [RW 3] The arbitration scheme of time_slot 14 */
4842#define XSEM_REG_TS_14_AS 0x280070
4843/* [RW 3] The arbitration scheme of time_slot 15 */
4844#define XSEM_REG_TS_15_AS 0x280074
4845/* [RW 3] The arbitration scheme of time_slot 16 */
4846#define XSEM_REG_TS_16_AS 0x280078
4847/* [RW 3] The arbitration scheme of time_slot 17 */
4848#define XSEM_REG_TS_17_AS 0x28007c
4849/* [RW 3] The arbitration scheme of time_slot 18 */
4850#define XSEM_REG_TS_18_AS 0x280080
4851/* [RW 3] The arbitration scheme of time_slot 1 */
4852#define XSEM_REG_TS_1_AS 0x28003c
4853/* [RW 3] The arbitration scheme of time_slot 2 */
4854#define XSEM_REG_TS_2_AS 0x280040
4855/* [RW 3] The arbitration scheme of time_slot 3 */
4856#define XSEM_REG_TS_3_AS 0x280044
4857/* [RW 3] The arbitration scheme of time_slot 4 */
4858#define XSEM_REG_TS_4_AS 0x280048
4859/* [RW 3] The arbitration scheme of time_slot 5 */
4860#define XSEM_REG_TS_5_AS 0x28004c
4861/* [RW 3] The arbitration scheme of time_slot 6 */
4862#define XSEM_REG_TS_6_AS 0x280050
4863/* [RW 3] The arbitration scheme of time_slot 7 */
4864#define XSEM_REG_TS_7_AS 0x280054
4865/* [RW 3] The arbitration scheme of time_slot 8 */
4866#define XSEM_REG_TS_8_AS 0x280058
4867/* [RW 3] The arbitration scheme of time_slot 9 */
4868#define XSEM_REG_TS_9_AS 0x28005c
4869/* [RW 32] Interrupt mask register #0 read/write */
4870#define XSEM_REG_XSEM_INT_MASK_0 0x280110
4871#define XSEM_REG_XSEM_INT_MASK_1 0x280120
c18487ee
YR
4872/* [R 32] Interrupt register #0 read */
4873#define XSEM_REG_XSEM_INT_STS_0 0x280104
4874#define XSEM_REG_XSEM_INT_STS_1 0x280114
a2fbb9ea
ET
4875/* [RW 32] Parity mask register #0 read/write */
4876#define XSEM_REG_XSEM_PRTY_MASK_0 0x280130
4877#define XSEM_REG_XSEM_PRTY_MASK_1 0x280140
f1410647
ET
4878/* [R 32] Parity register #0 read */
4879#define XSEM_REG_XSEM_PRTY_STS_0 0x280124
4880#define XSEM_REG_XSEM_PRTY_STS_1 0x280134
a2fbb9ea
ET
4881#define MCPR_NVM_ACCESS_ENABLE_EN (1L<<0)
4882#define MCPR_NVM_ACCESS_ENABLE_WR_EN (1L<<1)
4883#define MCPR_NVM_ADDR_NVM_ADDR_VALUE (0xffffffL<<0)
4884#define MCPR_NVM_CFG4_FLASH_SIZE (0x7L<<0)
4885#define MCPR_NVM_COMMAND_DOIT (1L<<4)
4886#define MCPR_NVM_COMMAND_DONE (1L<<3)
4887#define MCPR_NVM_COMMAND_FIRST (1L<<7)
4888#define MCPR_NVM_COMMAND_LAST (1L<<8)
4889#define MCPR_NVM_COMMAND_WR (1L<<5)
4890#define MCPR_NVM_COMMAND_WREN (1L<<16)
4891#define MCPR_NVM_COMMAND_WREN_BITSHIFT 16
4892#define MCPR_NVM_COMMAND_WRDI (1L<<17)
4893#define MCPR_NVM_COMMAND_WRDI_BITSHIFT 17
4894#define MCPR_NVM_SW_ARB_ARB_ARB1 (1L<<9)
4895#define MCPR_NVM_SW_ARB_ARB_REQ_CLR1 (1L<<5)
4896#define MCPR_NVM_SW_ARB_ARB_REQ_SET1 (1L<<1)
4897#define BIGMAC_REGISTER_BMAC_CONTROL (0x00<<3)
4898#define BIGMAC_REGISTER_BMAC_XGXS_CONTROL (0x01<<3)
4899#define BIGMAC_REGISTER_CNT_MAX_SIZE (0x05<<3)
4900#define BIGMAC_REGISTER_RX_CONTROL (0x21<<3)
4901#define BIGMAC_REGISTER_RX_LLFC_MSG_FLDS (0x46<<3)
4902#define BIGMAC_REGISTER_RX_MAX_SIZE (0x23<<3)
4903#define BIGMAC_REGISTER_RX_STAT_GR64 (0x26<<3)
4904#define BIGMAC_REGISTER_RX_STAT_GRIPJ (0x42<<3)
4905#define BIGMAC_REGISTER_TX_CONTROL (0x07<<3)
4906#define BIGMAC_REGISTER_TX_MAX_SIZE (0x09<<3)
4907#define BIGMAC_REGISTER_TX_PAUSE_THRESHOLD (0x0A<<3)
4908#define BIGMAC_REGISTER_TX_SOURCE_ADDR (0x08<<3)
4909#define BIGMAC_REGISTER_TX_STAT_GTBYT (0x20<<3)
4910#define BIGMAC_REGISTER_TX_STAT_GTPKT (0x0C<<3)
c18487ee
YR
4911#define EMAC_LED_1000MB_OVERRIDE (1L<<1)
4912#define EMAC_LED_100MB_OVERRIDE (1L<<2)
4913#define EMAC_LED_10MB_OVERRIDE (1L<<3)
4914#define EMAC_LED_2500MB_OVERRIDE (1L<<12)
4915#define EMAC_LED_OVERRIDE (1L<<0)
4916#define EMAC_LED_TRAFFIC (1L<<6)
a2fbb9ea 4917#define EMAC_MDIO_COMM_COMMAND_ADDRESS (0L<<26)
a2fbb9ea 4918#define EMAC_MDIO_COMM_COMMAND_READ_45 (3L<<26)
a2fbb9ea
ET
4919#define EMAC_MDIO_COMM_COMMAND_WRITE_45 (1L<<26)
4920#define EMAC_MDIO_COMM_DATA (0xffffL<<0)
4921#define EMAC_MDIO_COMM_START_BUSY (1L<<29)
4922#define EMAC_MDIO_MODE_AUTO_POLL (1L<<4)
4923#define EMAC_MDIO_MODE_CLAUSE_45 (1L<<31)
f1410647
ET
4924#define EMAC_MDIO_MODE_CLOCK_CNT (0x3fL<<16)
4925#define EMAC_MDIO_MODE_CLOCK_CNT_BITSHIFT 16
a2fbb9ea 4926#define EMAC_MODE_25G_MODE (1L<<5)
a2fbb9ea 4927#define EMAC_MODE_HALF_DUPLEX (1L<<1)
a2fbb9ea
ET
4928#define EMAC_MODE_PORT_GMII (2L<<2)
4929#define EMAC_MODE_PORT_MII (1L<<2)
4930#define EMAC_MODE_PORT_MII_10M (3L<<2)
4931#define EMAC_MODE_RESET (1L<<0)
c18487ee 4932#define EMAC_REG_EMAC_LED 0xc
a2fbb9ea
ET
4933#define EMAC_REG_EMAC_MAC_MATCH 0x10
4934#define EMAC_REG_EMAC_MDIO_COMM 0xac
4935#define EMAC_REG_EMAC_MDIO_MODE 0xb4
4936#define EMAC_REG_EMAC_MODE 0x0
4937#define EMAC_REG_EMAC_RX_MODE 0xc8
4938#define EMAC_REG_EMAC_RX_MTU_SIZE 0x9c
4939#define EMAC_REG_EMAC_RX_STAT_AC 0x180
4940#define EMAC_REG_EMAC_RX_STAT_AC_28 0x1f4
4941#define EMAC_REG_EMAC_RX_STAT_AC_COUNT 23
4942#define EMAC_REG_EMAC_TX_MODE 0xbc
4943#define EMAC_REG_EMAC_TX_STAT_AC 0x280
4944#define EMAC_REG_EMAC_TX_STAT_AC_COUNT 22
4945#define EMAC_RX_MODE_FLOW_EN (1L<<2)
4946#define EMAC_RX_MODE_KEEP_VLAN_TAG (1L<<10)
4947#define EMAC_RX_MODE_PROMISCUOUS (1L<<8)
4948#define EMAC_RX_MTU_SIZE_JUMBO_ENA (1L<<31)
4949#define EMAC_TX_MODE_EXT_PAUSE_EN (1L<<3)
c18487ee 4950#define MISC_REGISTERS_GPIO_0 0
f1410647
ET
4951#define MISC_REGISTERS_GPIO_1 1
4952#define MISC_REGISTERS_GPIO_2 2
4953#define MISC_REGISTERS_GPIO_3 3
4954#define MISC_REGISTERS_GPIO_CLR_POS 16
4955#define MISC_REGISTERS_GPIO_FLOAT (0xffL<<24)
4956#define MISC_REGISTERS_GPIO_FLOAT_POS 24
c18487ee 4957#define MISC_REGISTERS_GPIO_HIGH 1
f1410647 4958#define MISC_REGISTERS_GPIO_INPUT_HI_Z 2
c18487ee 4959#define MISC_REGISTERS_GPIO_LOW 0
f1410647
ET
4960#define MISC_REGISTERS_GPIO_OUTPUT_HIGH 1
4961#define MISC_REGISTERS_GPIO_OUTPUT_LOW 0
4962#define MISC_REGISTERS_GPIO_PORT_SHIFT 4
4963#define MISC_REGISTERS_GPIO_SET_POS 8
a2fbb9ea
ET
4964#define MISC_REGISTERS_RESET_REG_1_CLEAR 0x588
4965#define MISC_REGISTERS_RESET_REG_1_SET 0x584
4966#define MISC_REGISTERS_RESET_REG_2_CLEAR 0x598
4967#define MISC_REGISTERS_RESET_REG_2_RST_BMAC0 (0x1<<0)
4968#define MISC_REGISTERS_RESET_REG_2_RST_EMAC0_HARD_CORE (0x1<<14)
4969#define MISC_REGISTERS_RESET_REG_2_SET 0x594
4970#define MISC_REGISTERS_RESET_REG_3_CLEAR 0x5a8
4971#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_IDDQ (0x1<<1)
4972#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_PWRDWN (0x1<<2)
4973#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_PWRDWN_SD (0x1<<3)
4974#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_RSTB_HW (0x1<<0)
4975#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_IDDQ (0x1<<5)
4976#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_PWRDWN (0x1<<6)
4977#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_PWRDWN_SD (0x1<<7)
4978#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_RSTB_HW (0x1<<4)
4979#define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_TXD_FIFO_RSTB (0x1<<8)
4980#define MISC_REGISTERS_RESET_REG_3_SET 0x5a4
f1410647
ET
4981#define MISC_REGISTERS_SPIO_4 4
4982#define MISC_REGISTERS_SPIO_5 5
4983#define MISC_REGISTERS_SPIO_7 7
4984#define MISC_REGISTERS_SPIO_CLR_POS 16
4985#define MISC_REGISTERS_SPIO_FLOAT (0xffL<<24)
4986#define GRC_MISC_REGISTERS_SPIO_FLOAT7 0x80000000
4987#define GRC_MISC_REGISTERS_SPIO_FLOAT6 0x40000000
4988#define GRC_MISC_REGISTERS_SPIO_FLOAT5 0x20000000
4989#define GRC_MISC_REGISTERS_SPIO_FLOAT4 0x10000000
4990#define MISC_REGISTERS_SPIO_FLOAT_POS 24
4991#define MISC_REGISTERS_SPIO_INPUT_HI_Z 2
4992#define MISC_REGISTERS_SPIO_INT_OLD_SET_POS 16
4993#define MISC_REGISTERS_SPIO_OUTPUT_HIGH 1
4994#define MISC_REGISTERS_SPIO_OUTPUT_LOW 0
4995#define MISC_REGISTERS_SPIO_SET_POS 8
4996#define HW_LOCK_MAX_RESOURCE_VALUE 31
4997#define HW_LOCK_RESOURCE_8072_MDIO 0
4998#define HW_LOCK_RESOURCE_GPIO 1
4999#define HW_LOCK_RESOURCE_SPIO 2
a2fbb9ea
ET
5000#define AEU_INPUTS_ATTN_BITS_BRB_PARITY_ERROR (1<<18)
5001#define AEU_INPUTS_ATTN_BITS_CCM_HW_INTERRUPT (1<<31)
5002#define AEU_INPUTS_ATTN_BITS_CDU_HW_INTERRUPT (1<<9)
5003#define AEU_INPUTS_ATTN_BITS_CDU_PARITY_ERROR (1<<8)
5004#define AEU_INPUTS_ATTN_BITS_CFC_HW_INTERRUPT (1<<7)
5005#define AEU_INPUTS_ATTN_BITS_CFC_PARITY_ERROR (1<<6)
5006#define AEU_INPUTS_ATTN_BITS_CSDM_HW_INTERRUPT (1<<29)
5007#define AEU_INPUTS_ATTN_BITS_CSDM_PARITY_ERROR (1<<28)
5008#define AEU_INPUTS_ATTN_BITS_CSEMI_HW_INTERRUPT (1<<1)
5009#define AEU_INPUTS_ATTN_BITS_CSEMI_PARITY_ERROR (1<<0)
5010#define AEU_INPUTS_ATTN_BITS_DEBUG_PARITY_ERROR (1<<18)
5011#define AEU_INPUTS_ATTN_BITS_DMAE_HW_INTERRUPT (1<<11)
5012#define AEU_INPUTS_ATTN_BITS_DOORBELLQ_HW_INTERRUPT (1<<13)
5013#define AEU_INPUTS_ATTN_BITS_DOORBELLQ_PARITY_ERROR (1<<12)
5014#define AEU_INPUTS_ATTN_BITS_IGU_PARITY_ERROR (1<<12)
5015#define AEU_INPUTS_ATTN_BITS_MISC_HW_INTERRUPT (1<<15)
5016#define AEU_INPUTS_ATTN_BITS_MISC_PARITY_ERROR (1<<14)
5017#define AEU_INPUTS_ATTN_BITS_PARSER_PARITY_ERROR (1<<20)
5018#define AEU_INPUTS_ATTN_BITS_PBCLIENT_PARITY_ERROR (1<<0)
5019#define AEU_INPUTS_ATTN_BITS_PBF_HW_INTERRUPT (1<<31)
5020#define AEU_INPUTS_ATTN_BITS_PXP_HW_INTERRUPT (1<<3)
5021#define AEU_INPUTS_ATTN_BITS_PXP_PARITY_ERROR (1<<2)
5022#define AEU_INPUTS_ATTN_BITS_PXPPCICLOCKCLIENT_HW_INTERRUPT (1<<5)
5023#define AEU_INPUTS_ATTN_BITS_PXPPCICLOCKCLIENT_PARITY_ERROR (1<<4)
5024#define AEU_INPUTS_ATTN_BITS_QM_HW_INTERRUPT (1<<3)
5025#define AEU_INPUTS_ATTN_BITS_QM_PARITY_ERROR (1<<2)
5026#define AEU_INPUTS_ATTN_BITS_SEARCHER_PARITY_ERROR (1<<22)
f1410647 5027#define AEU_INPUTS_ATTN_BITS_SPIO5 (1<<15)
a2fbb9ea
ET
5028#define AEU_INPUTS_ATTN_BITS_TCM_HW_INTERRUPT (1<<27)
5029#define AEU_INPUTS_ATTN_BITS_TIMERS_HW_INTERRUPT (1<<5)
5030#define AEU_INPUTS_ATTN_BITS_TSDM_HW_INTERRUPT (1<<25)
5031#define AEU_INPUTS_ATTN_BITS_TSDM_PARITY_ERROR (1<<24)
5032#define AEU_INPUTS_ATTN_BITS_TSEMI_HW_INTERRUPT (1<<29)
5033#define AEU_INPUTS_ATTN_BITS_TSEMI_PARITY_ERROR (1<<28)
5034#define AEU_INPUTS_ATTN_BITS_UCM_HW_INTERRUPT (1<<23)
5035#define AEU_INPUTS_ATTN_BITS_UPB_HW_INTERRUPT (1<<27)
5036#define AEU_INPUTS_ATTN_BITS_UPB_PARITY_ERROR (1<<26)
5037#define AEU_INPUTS_ATTN_BITS_USDM_HW_INTERRUPT (1<<21)
5038#define AEU_INPUTS_ATTN_BITS_USDM_PARITY_ERROR (1<<20)
5039#define AEU_INPUTS_ATTN_BITS_USEMI_HW_INTERRUPT (1<<25)
5040#define AEU_INPUTS_ATTN_BITS_USEMI_PARITY_ERROR (1<<24)
5041#define AEU_INPUTS_ATTN_BITS_VAUX_PCI_CORE_PARITY_ERROR (1<<16)
5042#define AEU_INPUTS_ATTN_BITS_XCM_HW_INTERRUPT (1<<9)
5043#define AEU_INPUTS_ATTN_BITS_XSDM_HW_INTERRUPT (1<<7)
5044#define AEU_INPUTS_ATTN_BITS_XSDM_PARITY_ERROR (1<<6)
5045#define AEU_INPUTS_ATTN_BITS_XSEMI_HW_INTERRUPT (1<<11)
5046#define AEU_INPUTS_ATTN_BITS_XSEMI_PARITY_ERROR (1<<10)
5047#define RESERVED_GENERAL_ATTENTION_BIT_0 0
5048
c18487ee 5049#define EVEREST_GEN_ATTN_IN_USE_MASK 0x3ffe0
a2fbb9ea
ET
5050#define EVEREST_LATCHED_ATTN_IN_USE_MASK 0xffe00000
5051
5052#define RESERVED_GENERAL_ATTENTION_BIT_6 6
5053#define RESERVED_GENERAL_ATTENTION_BIT_7 7
5054#define RESERVED_GENERAL_ATTENTION_BIT_8 8
5055#define RESERVED_GENERAL_ATTENTION_BIT_9 9
5056#define RESERVED_GENERAL_ATTENTION_BIT_10 10
5057#define RESERVED_GENERAL_ATTENTION_BIT_11 11
5058#define RESERVED_GENERAL_ATTENTION_BIT_12 12
5059#define RESERVED_GENERAL_ATTENTION_BIT_13 13
5060#define RESERVED_GENERAL_ATTENTION_BIT_14 14
5061#define RESERVED_GENERAL_ATTENTION_BIT_15 15
5062#define RESERVED_GENERAL_ATTENTION_BIT_16 16
5063#define RESERVED_GENERAL_ATTENTION_BIT_17 17
5064#define RESERVED_GENERAL_ATTENTION_BIT_18 18
5065#define RESERVED_GENERAL_ATTENTION_BIT_19 19
5066#define RESERVED_GENERAL_ATTENTION_BIT_20 20
5067#define RESERVED_GENERAL_ATTENTION_BIT_21 21
5068
5069/* storm asserts attention bits */
5070#define TSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_7
5071#define USTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_8
5072#define CSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_9
5073#define XSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_10
5074
5075/* mcp error attention bit */
5076#define MCP_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_11
5077
c18487ee
YR
5078/*E1H NIG status sync attention mapped to group 4-7*/
5079#define LINK_SYNC_ATTENTION_BIT_FUNC_0 RESERVED_GENERAL_ATTENTION_BIT_12
5080#define LINK_SYNC_ATTENTION_BIT_FUNC_1 RESERVED_GENERAL_ATTENTION_BIT_13
5081#define LINK_SYNC_ATTENTION_BIT_FUNC_2 RESERVED_GENERAL_ATTENTION_BIT_14
5082#define LINK_SYNC_ATTENTION_BIT_FUNC_3 RESERVED_GENERAL_ATTENTION_BIT_15
5083#define LINK_SYNC_ATTENTION_BIT_FUNC_4 RESERVED_GENERAL_ATTENTION_BIT_16
5084#define LINK_SYNC_ATTENTION_BIT_FUNC_5 RESERVED_GENERAL_ATTENTION_BIT_17
5085#define LINK_SYNC_ATTENTION_BIT_FUNC_6 RESERVED_GENERAL_ATTENTION_BIT_18
5086#define LINK_SYNC_ATTENTION_BIT_FUNC_7 RESERVED_GENERAL_ATTENTION_BIT_19
5087
5088
a2fbb9ea
ET
5089#define LATCHED_ATTN_RBCR 23
5090#define LATCHED_ATTN_RBCT 24
5091#define LATCHED_ATTN_RBCN 25
5092#define LATCHED_ATTN_RBCU 26
5093#define LATCHED_ATTN_RBCP 27
5094#define LATCHED_ATTN_TIMEOUT_GRC 28
5095#define LATCHED_ATTN_RSVD_GRC 29
5096#define LATCHED_ATTN_ROM_PARITY_MCP 30
5097#define LATCHED_ATTN_UM_RX_PARITY_MCP 31
5098#define LATCHED_ATTN_UM_TX_PARITY_MCP 32
5099#define LATCHED_ATTN_SCPAD_PARITY_MCP 33
5100
5101#define GENERAL_ATTEN_WORD(atten_name) ((94 + atten_name) / 32)
5102#define GENERAL_ATTEN_OFFSET(atten_name) (1 << ((94 + atten_name) % 32))
5103/*
5104 * This file defines GRC base address for every block.
5105 * This file is included by chipsim, asm microcode and cpp microcode.
5106 * These values are used in Design.xml on regBase attribute
5107 * Use the base with the generated offsets of specific registers.
5108 */
5109
5110#define GRCBASE_PXPCS 0x000000
5111#define GRCBASE_PCICONFIG 0x002000
5112#define GRCBASE_PCIREG 0x002400
5113#define GRCBASE_EMAC0 0x008000
5114#define GRCBASE_EMAC1 0x008400
5115#define GRCBASE_DBU 0x008800
5116#define GRCBASE_MISC 0x00A000
5117#define GRCBASE_DBG 0x00C000
5118#define GRCBASE_NIG 0x010000
5119#define GRCBASE_XCM 0x020000
5120#define GRCBASE_PRS 0x040000
5121#define GRCBASE_SRCH 0x040400
5122#define GRCBASE_TSDM 0x042000
5123#define GRCBASE_TCM 0x050000
5124#define GRCBASE_BRB1 0x060000
5125#define GRCBASE_MCP 0x080000
5126#define GRCBASE_UPB 0x0C1000
5127#define GRCBASE_CSDM 0x0C2000
5128#define GRCBASE_USDM 0x0C4000
5129#define GRCBASE_CCM 0x0D0000
5130#define GRCBASE_UCM 0x0E0000
5131#define GRCBASE_CDU 0x101000
5132#define GRCBASE_DMAE 0x102000
5133#define GRCBASE_PXP 0x103000
5134#define GRCBASE_CFC 0x104000
5135#define GRCBASE_HC 0x108000
5136#define GRCBASE_PXP2 0x120000
5137#define GRCBASE_PBF 0x140000
5138#define GRCBASE_XPB 0x161000
5139#define GRCBASE_TIMERS 0x164000
5140#define GRCBASE_XSDM 0x166000
5141#define GRCBASE_QM 0x168000
5142#define GRCBASE_DQ 0x170000
5143#define GRCBASE_TSEM 0x180000
5144#define GRCBASE_CSEM 0x200000
5145#define GRCBASE_XSEM 0x280000
5146#define GRCBASE_USEM 0x300000
5147#define GRCBASE_MISC_AEU GRCBASE_MISC
5148
5149
5150/*the offset of the configuration space in the pci core register*/
5151#define PCICFG_OFFSET 0x2000
5152#define PCICFG_VENDOR_ID_OFFSET 0x00
5153#define PCICFG_DEVICE_ID_OFFSET 0x02
c18487ee
YR
5154#define PCICFG_COMMAND_OFFSET 0x04
5155#define PCICFG_STATUS_OFFSET 0x06
5156#define PCICFG_REVESION_ID 0x08
a2fbb9ea
ET
5157#define PCICFG_CACHE_LINE_SIZE 0x0c
5158#define PCICFG_LATENCY_TIMER 0x0d
c18487ee
YR
5159#define PCICFG_BAR_1_LOW 0x10
5160#define PCICFG_BAR_1_HIGH 0x14
5161#define PCICFG_BAR_2_LOW 0x18
5162#define PCICFG_BAR_2_HIGH 0x1c
5163#define PCICFG_SUBSYSTEM_VENDOR_ID_OFFSET 0x2c
5164#define PCICFG_SUBSYSTEM_ID_OFFSET 0x2e
5165#define PCICFG_INT_LINE 0x3c
5166#define PCICFG_INT_PIN 0x3d
5167#define PCICFG_PM_CSR_OFFSET 0x4c
5168#define PCICFG_GRC_ADDRESS 0x78
5169#define PCICFG_GRC_DATA 0x80
a2fbb9ea
ET
5170#define PCICFG_DEVICE_CONTROL 0xb4
5171#define PCICFG_LINK_CONTROL 0xbc
5172
c18487ee
YR
5173#define PCICFG_COMMAND_IO_SPACE (1<<0)
5174#define PCICFG_COMMAND_MEM_SPACE (1<<1)
5175#define PCICFG_COMMAND_BUS_MASTER (1<<2)
5176#define PCICFG_COMMAND_SPECIAL_CYCLES (1<<3)
5177#define PCICFG_COMMAND_MWI_CYCLES (1<<4)
5178#define PCICFG_COMMAND_VGA_SNOOP (1<<5)
5179#define PCICFG_COMMAND_PERR_ENA (1<<6)
5180#define PCICFG_COMMAND_STEPPING (1<<7)
5181#define PCICFG_COMMAND_SERR_ENA (1<<8)
5182#define PCICFG_COMMAND_FAST_B2B (1<<9)
5183#define PCICFG_COMMAND_INT_DISABLE (1<<10)
5184#define PCICFG_COMMAND_RESERVED (0x1f<<11)
5185
5186#define PCICFG_PM_CSR_STATE (0x3<<0)
5187#define PCICFG_PM_CSR_PME_STATUS (1<<15)
5188
a2fbb9ea
ET
5189#define BAR_USTRORM_INTMEM 0x400000
5190#define BAR_CSTRORM_INTMEM 0x410000
5191#define BAR_XSTRORM_INTMEM 0x420000
5192#define BAR_TSTRORM_INTMEM 0x430000
5193
5194#define BAR_IGU_INTMEM 0x440000
5195
5196#define BAR_DOORBELL_OFFSET 0x800000
5197
5198#define BAR_ME_REGISTER 0x450000
5199
5200
5201#define GRC_CONFIG_2_SIZE_REG 0x408 /* config_2 offset */
5202#define PCI_CONFIG_2_BAR1_SIZE (0xfL<<0)
5203#define PCI_CONFIG_2_BAR1_SIZE_DISABLED (0L<<0)
5204#define PCI_CONFIG_2_BAR1_SIZE_64K (1L<<0)
5205#define PCI_CONFIG_2_BAR1_SIZE_128K (2L<<0)
5206#define PCI_CONFIG_2_BAR1_SIZE_256K (3L<<0)
5207#define PCI_CONFIG_2_BAR1_SIZE_512K (4L<<0)
5208#define PCI_CONFIG_2_BAR1_SIZE_1M (5L<<0)
5209#define PCI_CONFIG_2_BAR1_SIZE_2M (6L<<0)
5210#define PCI_CONFIG_2_BAR1_SIZE_4M (7L<<0)
5211#define PCI_CONFIG_2_BAR1_SIZE_8M (8L<<0)
5212#define PCI_CONFIG_2_BAR1_SIZE_16M (9L<<0)
5213#define PCI_CONFIG_2_BAR1_SIZE_32M (10L<<0)
5214#define PCI_CONFIG_2_BAR1_SIZE_64M (11L<<0)
5215#define PCI_CONFIG_2_BAR1_SIZE_128M (12L<<0)
5216#define PCI_CONFIG_2_BAR1_SIZE_256M (13L<<0)
5217#define PCI_CONFIG_2_BAR1_SIZE_512M (14L<<0)
5218#define PCI_CONFIG_2_BAR1_SIZE_1G (15L<<0)
5219#define PCI_CONFIG_2_BAR1_64ENA (1L<<4)
5220#define PCI_CONFIG_2_EXP_ROM_RETRY (1L<<5)
5221#define PCI_CONFIG_2_CFG_CYCLE_RETRY (1L<<6)
5222#define PCI_CONFIG_2_FIRST_CFG_DONE (1L<<7)
5223#define PCI_CONFIG_2_EXP_ROM_SIZE (0xffL<<8)
5224#define PCI_CONFIG_2_EXP_ROM_SIZE_DISABLED (0L<<8)
5225#define PCI_CONFIG_2_EXP_ROM_SIZE_2K (1L<<8)
5226#define PCI_CONFIG_2_EXP_ROM_SIZE_4K (2L<<8)
5227#define PCI_CONFIG_2_EXP_ROM_SIZE_8K (3L<<8)
5228#define PCI_CONFIG_2_EXP_ROM_SIZE_16K (4L<<8)
5229#define PCI_CONFIG_2_EXP_ROM_SIZE_32K (5L<<8)
5230#define PCI_CONFIG_2_EXP_ROM_SIZE_64K (6L<<8)
5231#define PCI_CONFIG_2_EXP_ROM_SIZE_128K (7L<<8)
5232#define PCI_CONFIG_2_EXP_ROM_SIZE_256K (8L<<8)
5233#define PCI_CONFIG_2_EXP_ROM_SIZE_512K (9L<<8)
5234#define PCI_CONFIG_2_EXP_ROM_SIZE_1M (10L<<8)
5235#define PCI_CONFIG_2_EXP_ROM_SIZE_2M (11L<<8)
5236#define PCI_CONFIG_2_EXP_ROM_SIZE_4M (12L<<8)
5237#define PCI_CONFIG_2_EXP_ROM_SIZE_8M (13L<<8)
5238#define PCI_CONFIG_2_EXP_ROM_SIZE_16M (14L<<8)
5239#define PCI_CONFIG_2_EXP_ROM_SIZE_32M (15L<<8)
5240#define PCI_CONFIG_2_BAR_PREFETCH (1L<<16)
5241#define PCI_CONFIG_2_RESERVED0 (0x7fffL<<17)
5242
5243/* config_3 offset */
5244#define GRC_CONFIG_3_SIZE_REG (0x40c)
5245#define PCI_CONFIG_3_STICKY_BYTE (0xffL<<0)
5246#define PCI_CONFIG_3_FORCE_PME (1L<<24)
5247#define PCI_CONFIG_3_PME_STATUS (1L<<25)
5248#define PCI_CONFIG_3_PME_ENABLE (1L<<26)
5249#define PCI_CONFIG_3_PM_STATE (0x3L<<27)
5250#define PCI_CONFIG_3_VAUX_PRESET (1L<<30)
5251#define PCI_CONFIG_3_PCI_POWER (1L<<31)
5252
5253/* config_2 offset */
5254#define GRC_CONFIG_2_SIZE_REG 0x408
5255
5256#define GRC_BAR2_CONFIG 0x4e0
5257#define PCI_CONFIG_2_BAR2_SIZE (0xfL<<0)
5258#define PCI_CONFIG_2_BAR2_SIZE_DISABLED (0L<<0)
5259#define PCI_CONFIG_2_BAR2_SIZE_64K (1L<<0)
5260#define PCI_CONFIG_2_BAR2_SIZE_128K (2L<<0)
5261#define PCI_CONFIG_2_BAR2_SIZE_256K (3L<<0)
5262#define PCI_CONFIG_2_BAR2_SIZE_512K (4L<<0)
5263#define PCI_CONFIG_2_BAR2_SIZE_1M (5L<<0)
5264#define PCI_CONFIG_2_BAR2_SIZE_2M (6L<<0)
5265#define PCI_CONFIG_2_BAR2_SIZE_4M (7L<<0)
5266#define PCI_CONFIG_2_BAR2_SIZE_8M (8L<<0)
5267#define PCI_CONFIG_2_BAR2_SIZE_16M (9L<<0)
5268#define PCI_CONFIG_2_BAR2_SIZE_32M (10L<<0)
5269#define PCI_CONFIG_2_BAR2_SIZE_64M (11L<<0)
5270#define PCI_CONFIG_2_BAR2_SIZE_128M (12L<<0)
5271#define PCI_CONFIG_2_BAR2_SIZE_256M (13L<<0)
5272#define PCI_CONFIG_2_BAR2_SIZE_512M (14L<<0)
5273#define PCI_CONFIG_2_BAR2_SIZE_1G (15L<<0)
5274#define PCI_CONFIG_2_BAR2_64ENA (1L<<4)
5275
5276#define PCI_PM_DATA_A (0x410)
5277#define PCI_PM_DATA_B (0x414)
5278#define PCI_ID_VAL1 (0x434)
5279#define PCI_ID_VAL2 (0x438)
5280
5281#define MDIO_REG_BANK_CL73_IEEEB0 0x0
5282#define MDIO_CL73_IEEEB0_CL73_AN_CONTROL 0x0
5283#define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_RESTART_AN 0x0200
5284#define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_AN_EN 0x1000
5285#define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_MAIN_RST 0x8000
5286
5287#define MDIO_REG_BANK_CL73_IEEEB1 0x10
c18487ee 5288#define MDIO_CL73_IEEEB1_AN_ADV2 0x01
a2fbb9ea
ET
5289#define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_1000M 0x0000
5290#define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_1000M_KX 0x0020
5291#define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_10G_KX4 0x0040
5292#define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_10G_KR 0x0080
5293
5294#define MDIO_REG_BANK_RX0 0x80b0
5295#define MDIO_RX0_RX_EQ_BOOST 0x1c
5296#define MDIO_RX0_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5297#define MDIO_RX0_RX_EQ_BOOST_OFFSET_CTRL 0x10
5298
5299#define MDIO_REG_BANK_RX1 0x80c0
5300#define MDIO_RX1_RX_EQ_BOOST 0x1c
5301#define MDIO_RX1_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5302#define MDIO_RX1_RX_EQ_BOOST_OFFSET_CTRL 0x10
5303
5304#define MDIO_REG_BANK_RX2 0x80d0
5305#define MDIO_RX2_RX_EQ_BOOST 0x1c
5306#define MDIO_RX2_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5307#define MDIO_RX2_RX_EQ_BOOST_OFFSET_CTRL 0x10
5308
5309#define MDIO_REG_BANK_RX3 0x80e0
5310#define MDIO_RX3_RX_EQ_BOOST 0x1c
5311#define MDIO_RX3_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5312#define MDIO_RX3_RX_EQ_BOOST_OFFSET_CTRL 0x10
5313
5314#define MDIO_REG_BANK_RX_ALL 0x80f0
5315#define MDIO_RX_ALL_RX_EQ_BOOST 0x1c
5316#define MDIO_RX_ALL_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
c18487ee 5317#define MDIO_RX_ALL_RX_EQ_BOOST_OFFSET_CTRL 0x10
a2fbb9ea
ET
5318
5319#define MDIO_REG_BANK_TX0 0x8060
5320#define MDIO_TX0_TX_DRIVER 0x17
5321#define MDIO_TX0_TX_DRIVER_PREEMPHASIS_MASK 0xf000
5322#define MDIO_TX0_TX_DRIVER_PREEMPHASIS_SHIFT 12
5323#define MDIO_TX0_TX_DRIVER_IDRIVER_MASK 0x0f00
5324#define MDIO_TX0_TX_DRIVER_IDRIVER_SHIFT 8
5325#define MDIO_TX0_TX_DRIVER_IPREDRIVER_MASK 0x00f0
5326#define MDIO_TX0_TX_DRIVER_IPREDRIVER_SHIFT 4
5327#define MDIO_TX0_TX_DRIVER_IFULLSPD_MASK 0x000e
5328#define MDIO_TX0_TX_DRIVER_IFULLSPD_SHIFT 1
5329#define MDIO_TX0_TX_DRIVER_ICBUF1T 1
5330
5331#define MDIO_REG_BANK_XGXS_BLOCK0 0x8000
5332#define MDIO_BLOCK0_XGXS_CONTROL 0x10
5333
5334#define MDIO_REG_BANK_XGXS_BLOCK1 0x8010
5335#define MDIO_BLOCK1_LANE_CTRL0 0x15
5336#define MDIO_BLOCK1_LANE_CTRL1 0x16
5337#define MDIO_BLOCK1_LANE_CTRL2 0x17
5338#define MDIO_BLOCK1_LANE_PRBS 0x19
5339
5340#define MDIO_REG_BANK_XGXS_BLOCK2 0x8100
5341#define MDIO_XGXS_BLOCK2_RX_LN_SWAP 0x10
5342#define MDIO_XGXS_BLOCK2_RX_LN_SWAP_ENABLE 0x8000
5343#define MDIO_XGXS_BLOCK2_RX_LN_SWAP_FORCE_ENABLE 0x4000
c18487ee 5344#define MDIO_XGXS_BLOCK2_TX_LN_SWAP 0x11
a2fbb9ea 5345#define MDIO_XGXS_BLOCK2_TX_LN_SWAP_ENABLE 0x8000
c18487ee 5346#define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G 0x14
f1410647
ET
5347#define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G_CX4_XGXS 0x0001
5348#define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G_HIGIG_XGXS 0x0010
c18487ee 5349#define MDIO_XGXS_BLOCK2_TEST_MODE_LANE 0x15
a2fbb9ea
ET
5350
5351#define MDIO_REG_BANK_GP_STATUS 0x8120
c18487ee
YR
5352#define MDIO_GP_STATUS_TOP_AN_STATUS1 0x1B
5353#define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_AUTONEG_COMPLETE 0x0001
5354#define MDIO_GP_STATUS_TOP_AN_STATUS1_CL37_AUTONEG_COMPLETE 0x0002
5355#define MDIO_GP_STATUS_TOP_AN_STATUS1_LINK_STATUS 0x0004
5356#define MDIO_GP_STATUS_TOP_AN_STATUS1_DUPLEX_STATUS 0x0008
5357#define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_MR_LP_NP_AN_ABLE 0x0010
5358#define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_LP_NP_BAM_ABLE 0x0020
5359#define MDIO_GP_STATUS_TOP_AN_STATUS1_PAUSE_RSOLUTION_TXSIDE 0x0040
5360#define MDIO_GP_STATUS_TOP_AN_STATUS1_PAUSE_RSOLUTION_RXSIDE 0x0080
5361#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_MASK 0x3f00
5362#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10M 0x0000
5363#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_100M 0x0100
5364#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_1G 0x0200
5365#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_2_5G 0x0300
5366#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_5G 0x0400
5367#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_6G 0x0500
5368#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_HIG 0x0600
5369#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_CX4 0x0700
5370#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_12G_HIG 0x0800
5371#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_12_5G 0x0900
5372#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_13G 0x0A00
5373#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_15G 0x0B00
5374#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_16G 0x0C00
5375#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_1G_KX 0x0D00
5376#define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_KX4 0x0E00
a2fbb9ea
ET
5377
5378
5379#define MDIO_REG_BANK_10G_PARALLEL_DETECT 0x8130
c18487ee
YR
5380#define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_CONTROL 0x11
5381#define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_CONTROL_PARDET10G_EN 0x1
5382#define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_LINK 0x13
5383#define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_LINK_CNT (0xb71<<1)
a2fbb9ea
ET
5384
5385#define MDIO_REG_BANK_SERDES_DIGITAL 0x8300
c18487ee
YR
5386#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1 0x10
5387#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_FIBER_MODE 0x0001
5388#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_TBI_IF 0x0002
5389#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_SIGNAL_DETECT_EN 0x0004
5390#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_INVERT_SIGNAL_DETECT 0x0008
5391#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_AUTODET 0x0010
5392#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_MSTR_MODE 0x0020
5393#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2 0x11
5394#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2_PRL_DT_EN 0x0001
5395#define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2_AN_FST_TMR 0x0040
5396#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1 0x14
5397#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_DUPLEX 0x0004
5398#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_MASK 0x0018
5399#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_SHIFT 3
5400#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_2_5G 0x0018
5401#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_1G 0x0010
5402#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_100M 0x0008
5403#define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_10M 0x0000
5404#define MDIO_SERDES_DIGITAL_MISC1 0x18
5405#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_MASK 0xE000
5406#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_25M 0x0000
5407#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_100M 0x2000
5408#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_125M 0x4000
5409#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_156_25M 0x6000
5410#define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_187_5M 0x8000
5411#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_SEL 0x0010
5412#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_MASK 0x000f
5413#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_2_5G 0x0000
5414#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_5G 0x0001
5415#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_6G 0x0002
5416#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_10G_HIG 0x0003
5417#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_10G_CX4 0x0004
5418#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_12G 0x0005
5419#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_12_5G 0x0006
5420#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_13G 0x0007
5421#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_15G 0x0008
5422#define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_16G 0x0009
a2fbb9ea
ET
5423
5424#define MDIO_REG_BANK_OVER_1G 0x8320
c18487ee
YR
5425#define MDIO_OVER_1G_DIGCTL_3_4 0x14
5426#define MDIO_OVER_1G_DIGCTL_3_4_MP_ID_MASK 0xffe0
5427#define MDIO_OVER_1G_DIGCTL_3_4_MP_ID_SHIFT 5
5428#define MDIO_OVER_1G_UP1 0x19
5429#define MDIO_OVER_1G_UP1_2_5G 0x0001
5430#define MDIO_OVER_1G_UP1_5G 0x0002
5431#define MDIO_OVER_1G_UP1_6G 0x0004
5432#define MDIO_OVER_1G_UP1_10G 0x0010
5433#define MDIO_OVER_1G_UP1_10GH 0x0008
5434#define MDIO_OVER_1G_UP1_12G 0x0020
5435#define MDIO_OVER_1G_UP1_12_5G 0x0040
5436#define MDIO_OVER_1G_UP1_13G 0x0080
5437#define MDIO_OVER_1G_UP1_15G 0x0100
5438#define MDIO_OVER_1G_UP1_16G 0x0200
5439#define MDIO_OVER_1G_UP2 0x1A
5440#define MDIO_OVER_1G_UP2_IPREDRIVER_MASK 0x0007
5441#define MDIO_OVER_1G_UP2_IDRIVER_MASK 0x0038
5442#define MDIO_OVER_1G_UP2_PREEMPHASIS_MASK 0x03C0
5443#define MDIO_OVER_1G_UP3 0x1B
5444#define MDIO_OVER_1G_UP3_HIGIG2 0x0001
5445#define MDIO_OVER_1G_LP_UP1 0x1C
5446#define MDIO_OVER_1G_LP_UP2 0x1D
5447#define MDIO_OVER_1G_LP_UP2_MR_ADV_OVER_1G_MASK 0x03ff
5448#define MDIO_OVER_1G_LP_UP2_PREEMPHASIS_MASK 0x0780
5449#define MDIO_OVER_1G_LP_UP2_PREEMPHASIS_SHIFT 7
5450#define MDIO_OVER_1G_LP_UP3 0x1E
a2fbb9ea
ET
5451
5452#define MDIO_REG_BANK_BAM_NEXT_PAGE 0x8350
c18487ee
YR
5453#define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL 0x10
5454#define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL_BAM_MODE 0x0001
5455#define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL_TETON_AN 0x0002
5456
5457#define MDIO_REG_BANK_CL73_USERB0 0x8370
5458#define MDIO_CL73_USERB0_CL73_BAM_CTRL1 0x12
5459#define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_EN 0x8000
5460#define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_STATION_MNGR_EN 0x4000
5461#define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_NP_AFTER_BP_EN 0x2000
5462#define MDIO_CL73_USERB0_CL73_BAM_CTRL3 0x14
5463#define MDIO_CL73_USERB0_CL73_BAM_CTRL3_USE_CL73_HCD_MR 0x0001
5464
5465#define MDIO_REG_BANK_AER_BLOCK 0xFFD0
5466#define MDIO_AER_BLOCK_AER_REG 0x1E
5467
5468#define MDIO_REG_BANK_COMBO_IEEE0 0xFFE0
5469#define MDIO_COMBO_IEEE0_MII_CONTROL 0x10
5470#define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_MASK 0x2040
5471#define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_10 0x0000
5472#define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_100 0x2000
5473#define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_1000 0x0040
5474#define MDIO_COMBO_IEEO_MII_CONTROL_FULL_DUPLEX 0x0100
5475#define MDIO_COMBO_IEEO_MII_CONTROL_RESTART_AN 0x0200
5476#define MDIO_COMBO_IEEO_MII_CONTROL_AN_EN 0x1000
5477#define MDIO_COMBO_IEEO_MII_CONTROL_LOOPBACK 0x4000
5478#define MDIO_COMBO_IEEO_MII_CONTROL_RESET 0x8000
5479#define MDIO_COMBO_IEEE0_MII_STATUS 0x11
5480#define MDIO_COMBO_IEEE0_MII_STATUS_LINK_PASS 0x0004
5481#define MDIO_COMBO_IEEE0_MII_STATUS_AUTONEG_COMPLETE 0x0020
5482#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV 0x14
5483#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_FULL_DUPLEX 0x0020
5484#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_HALF_DUPLEX 0x0040
5485#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_MASK 0x0180
5486#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_NONE 0x0000
5487#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_SYMMETRIC 0x0080
5488#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_ASYMMETRIC 0x0100
5489#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_BOTH 0x0180
5490#define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_NEXT_PAGE 0x8000
5491#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1 0x15
5492#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_NEXT_PAGE 0x8000
5493#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_ACK 0x4000
5494#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_MASK 0x0180
5495#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_NONE 0x0000
5496#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_BOTH 0x0180
5497#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_HALF_DUP_CAP 0x0040
5498#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_FULL_DUP_CAP 0x0020
5499/*WhenthelinkpartnerisinSGMIImode(bit0=1),then
5500bit15=link,bit12=duplex,bits11:10=speed,bit14=acknowledge.
5501Theotherbitsarereservedandshouldbezero*/
5502#define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_SGMII_MODE 0x0001
5503
5504
5505#define MDIO_PMA_DEVAD 0x1
5506/*ieee*/
5507#define MDIO_PMA_REG_CTRL 0x0
5508#define MDIO_PMA_REG_STATUS 0x1
5509#define MDIO_PMA_REG_10G_CTRL2 0x7
5510#define MDIO_PMA_REG_RX_SD 0xa
5511/*bcm*/
5512#define MDIO_PMA_REG_BCM_CTRL 0x0096
5513#define MDIO_PMA_REG_FEC_CTRL 0x00ab
5514#define MDIO_PMA_REG_RX_ALARM_CTRL 0x9000
5515#define MDIO_PMA_REG_LASI_CTRL 0x9002
5516#define MDIO_PMA_REG_RX_ALARM 0x9003
5517#define MDIO_PMA_REG_TX_ALARM 0x9004
5518#define MDIO_PMA_REG_LASI_STATUS 0x9005
5519#define MDIO_PMA_REG_PHY_IDENTIFIER 0xc800
5520#define MDIO_PMA_REG_DIGITAL_CTRL 0xc808
5521#define MDIO_PMA_REG_DIGITAL_STATUS 0xc809
5522#define MDIO_PMA_REG_TX_POWER_DOWN 0xca02
5523#define MDIO_PMA_REG_CMU_PLL_BYPASS 0xca09
5524#define MDIO_PMA_REG_MISC_CTRL 0xca0a
5525#define MDIO_PMA_REG_GEN_CTRL 0xca10
5526#define MDIO_PMA_REG_GEN_CTRL_ROM_RESET_INTERNAL_MP 0x0188
5527#define MDIO_PMA_REG_GEN_CTRL_ROM_MICRO_RESET 0x018a
5528#define MDIO_PMA_REG_ROM_VER1 0xca19
5529#define MDIO_PMA_REG_ROM_VER2 0xca1a
5530#define MDIO_PMA_REG_EDC_FFE_MAIN 0xca1b
5531#define MDIO_PMA_REG_PLL_BANDWIDTH 0xca1d
5532#define MDIO_PMA_REG_CDR_BANDWIDTH 0xca46
5533#define MDIO_PMA_REG_MISC_CTRL1 0xca85
5534
5535#define MDIO_PMA_REG_7101_RESET 0xc000
5536#define MDIO_PMA_REG_7107_LED_CNTL 0xc007
5537#define MDIO_PMA_REG_7101_VER1 0xc026
5538#define MDIO_PMA_REG_7101_VER2 0xc027
5539
5540
5541#define MDIO_WIS_DEVAD 0x2
5542/*bcm*/
5543#define MDIO_WIS_REG_LASI_CNTL 0x9002
5544#define MDIO_WIS_REG_LASI_STATUS 0x9005
5545
5546#define MDIO_PCS_DEVAD 0x3
5547#define MDIO_PCS_REG_STATUS 0x0020
5548#define MDIO_PCS_REG_LASI_STATUS 0x9005
5549#define MDIO_PCS_REG_7101_DSP_ACCESS 0xD000
5550#define MDIO_PCS_REG_7101_SPI_MUX 0xD008
5551#define MDIO_PCS_REG_7101_SPI_CTRL_ADDR 0xE12A
5552#define MDIO_PCS_REG_7101_SPI_RESET_BIT (5)
5553#define MDIO_PCS_REG_7101_SPI_FIFO_ADDR 0xE02A
5554#define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_WRITE_ENABLE_CMD (6)
5555#define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_BULK_ERASE_CMD (0xC7)
5556#define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_PAGE_PROGRAM_CMD (2)
5557#define MDIO_PCS_REG_7101_SPI_BYTES_TO_TRANSFER_ADDR 0xE028
5558
a2fbb9ea 5559
c18487ee
YR
5560#define MDIO_XS_DEVAD 0x4
5561#define MDIO_XS_PLL_SEQUENCER 0x8000
5562#define MDIO_XS_SFX7101_XGXS_TEST1 0xc00a
a2fbb9ea 5563
c18487ee
YR
5564#define MDIO_AN_DEVAD 0x7
5565/*ieee*/
5566#define MDIO_AN_REG_CTRL 0x0000
5567#define MDIO_AN_REG_STATUS 0x0001
5568#define MDIO_AN_REG_STATUS_AN_COMPLETE 0x0020
5569#define MDIO_AN_REG_ADV_PAUSE 0x0010
5570#define MDIO_AN_REG_ADV_PAUSE_PAUSE 0x0400
5571#define MDIO_AN_REG_ADV_PAUSE_ASYMMETRIC 0x0800
5572#define MDIO_AN_REG_ADV_PAUSE_BOTH 0x0C00
5573#define MDIO_AN_REG_ADV_PAUSE_MASK 0x0C00
5574#define MDIO_AN_REG_ADV 0x0011
5575#define MDIO_AN_REG_ADV2 0x0012
5576#define MDIO_AN_REG_LP_AUTO_NEG 0x0013
5577#define MDIO_AN_REG_MASTER_STATUS 0x0021
5578/*bcm*/
5579#define MDIO_AN_REG_LINK_STATUS 0x8304
5580#define MDIO_AN_REG_CL37_CL73 0x8370
5581#define MDIO_AN_REG_CL37_AN 0xffe0
5582#define MDIO_AN_REG_CL37_FD 0xffe4
a2fbb9ea 5583
a2fbb9ea 5584
c18487ee 5585#define IGU_FUNC_BASE 0x0400
a2fbb9ea 5586
c18487ee
YR
5587#define IGU_ADDR_MSIX 0x0000
5588#define IGU_ADDR_INT_ACK 0x0200
5589#define IGU_ADDR_PROD_UPD 0x0201
5590#define IGU_ADDR_ATTN_BITS_UPD 0x0202
5591#define IGU_ADDR_ATTN_BITS_SET 0x0203
5592#define IGU_ADDR_ATTN_BITS_CLR 0x0204
5593#define IGU_ADDR_COALESCE_NOW 0x0205
5594#define IGU_ADDR_SIMD_MASK 0x0206
5595#define IGU_ADDR_SIMD_NOMASK 0x0207
5596#define IGU_ADDR_MSI_CTL 0x0210
5597#define IGU_ADDR_MSI_ADDR_LO 0x0211
5598#define IGU_ADDR_MSI_ADDR_HI 0x0212
5599#define IGU_ADDR_MSI_DATA 0x0213
a2fbb9ea 5600
c18487ee
YR
5601#define IGU_INT_ENABLE 0
5602#define IGU_INT_DISABLE 1
5603#define IGU_INT_NOP 2
5604#define IGU_INT_NOP2 3
f1410647 5605
a2fbb9ea 5606