]> git.proxmox.com Git - mirror_edk2.git/blame - BaseTools/Source/Python/build/BuildReport.py
BaseTools: refactor and remove un-needed use of .keys() on dictionaries
[mirror_edk2.git] / BaseTools / Source / Python / build / BuildReport.py
CommitLineData
52302d4d
LG
1## @file\r
2# Routines for generating build report.\r
3#\r
4# This module contains the functionality to generate build report after\r
5# build all target completes successfully.\r
6#\r
779ddcdf 7# Copyright (c) 2010 - 2018, Intel Corporation. All rights reserved.<BR>\r
40d841f6 8# This program and the accompanying materials\r
52302d4d
LG
9# are licensed and made available under the terms and conditions of the BSD License\r
10# which accompanies this distribution. The full text of the license may be found at\r
11# http://opensource.org/licenses/bsd-license.php\r
12#\r
13# THE PROGRAM IS DISTRIBUTED UNDER THE BSD LICENSE ON AN "AS IS" BASIS,\r
14# WITHOUT WARRANTIES OR REPRESENTATIONS OF ANY KIND, EITHER EXPRESS OR IMPLIED.\r
15#\r
16\r
17## Import Modules\r
18#\r
1be2ed90 19import Common.LongFilePathOs as os\r
52302d4d
LG
20import re\r
21import platform\r
22import textwrap\r
23import traceback\r
24import sys\r
40d841f6 25import time\r
e56468c0 26import struct\r
eca5be7a
YZ
27import hashlib\r
28import subprocess\r
29import threading\r
52302d4d 30from datetime import datetime\r
40d841f6 31from StringIO import StringIO\r
52302d4d 32from Common import EdkLogger\r
40d841f6 33from Common.Misc import SaveFileOnChange\r
52302d4d
LG
34from Common.Misc import GuidStructureByteArrayToGuidString\r
35from Common.Misc import GuidStructureStringToGuidString\r
52302d4d
LG
36from Common.BuildToolError import FILE_WRITE_FAILURE\r
37from Common.BuildToolError import CODE_ERROR\r
eca5be7a 38from Common.BuildToolError import COMMAND_FAILURE\r
35f613d9 39from Common.BuildToolError import FORMAT_INVALID\r
1be2ed90 40from Common.LongFilePathSupport import OpenLongFilePath as open\r
05cc51ad 41from Common.MultipleWorkspace import MultipleWorkspace as mws\r
763e8edf 42import Common.GlobalData as GlobalData\r
25193a33
YZ
43from AutoGen.AutoGen import ModuleAutoGen\r
44from Common.Misc import PathClass\r
45from Common.String import NormPath\r
e651d06c
LG
46from Common.DataType import *\r
47import collections\r
35f613d9 48from Common.Expression import *\r
52302d4d 49\r
f6562949
CJ
50gComponentType2ModuleType = {\r
51 "LIBRARY" : "BASE",\r
52 "SECURITY_CORE" : "SEC",\r
53 "PEI_CORE" : "PEI_CORE",\r
54 "COMBINED_PEIM_DRIVER" : "PEIM",\r
55 "PIC_PEIM" : "PEIM",\r
56 "RELOCATABLE_PEIM" : "PEIM",\r
57 "PE32_PEIM" : "PEIM",\r
58 "BS_DRIVER" : "DXE_DRIVER",\r
59 "RT_DRIVER" : "DXE_RUNTIME_DRIVER",\r
60 "SAL_RT_DRIVER" : "DXE_SAL_DRIVER",\r
61 "APPLICATION" : "UEFI_APPLICATION",\r
62 "LOGO" : "BASE",\r
63}\r
64\r
52302d4d
LG
65## Pattern to extract contents in EDK DXS files\r
66gDxsDependencyPattern = re.compile(r"DEPENDENCY_START(.+)DEPENDENCY_END", re.DOTALL)\r
67\r
68## Pattern to find total FV total size, occupied size in flash report intermediate file\r
69gFvTotalSizePattern = re.compile(r"EFI_FV_TOTAL_SIZE = (0x[0-9a-fA-F]+)")\r
70gFvTakenSizePattern = re.compile(r"EFI_FV_TAKEN_SIZE = (0x[0-9a-fA-F]+)")\r
71\r
72## Pattern to find module size and time stamp in module summary report intermediate file\r
73gModuleSizePattern = re.compile(r"MODULE_SIZE = (\d+)")\r
74gTimeStampPattern = re.compile(r"TIME_STAMP = (\d+)")\r
75\r
76## Pattern to find GUID value in flash description files\r
77gPcdGuidPattern = re.compile(r"PCD\((\w+)[.](\w+)\)")\r
78\r
79## Pattern to collect offset, GUID value pair in the flash report intermediate file\r
80gOffsetGuidPattern = re.compile(r"(0x[0-9A-Fa-f]+) ([-A-Fa-f0-9]+)")\r
81\r
82## Pattern to find module base address and entry point in fixed flash map file\r
83gModulePattern = r"\n[-\w]+\s*\(([^,]+),\s*BaseAddress=%(Address)s,\s*EntryPoint=%(Address)s\)\s*\(GUID=([-0-9A-Fa-f]+)[^)]*\)"\r
84gMapFileItemPattern = re.compile(gModulePattern % {"Address" : "(-?0[xX][0-9A-Fa-f]+)"})\r
85\r
86## Pattern to find all module referenced header files in source files\r
87gIncludePattern = re.compile(r'#include\s*["<]([^">]+)[">]')\r
88gIncludePattern2 = re.compile(r"#include\s+EFI_([A-Z_]+)\s*[(]\s*(\w+)\s*[)]")\r
89\r
90## Pattern to find the entry point for EDK module using EDKII Glue library\r
91gGlueLibEntryPoint = re.compile(r"__EDKII_GLUE_MODULE_ENTRY_POINT__\s*=\s*(\w+)")\r
92\r
64b2609f
LG
93## Tags for MaxLength of line in report\r
94gLineMaxLength = 120\r
95\r
4afd3d04
LG
96## Tags for end of line in report\r
97gEndOfLine = "\r\n"\r
98\r
52302d4d 99## Tags for section start, end and separator\r
47fea6af
YZ
100gSectionStart = ">" + "=" * (gLineMaxLength - 2) + "<"\r
101gSectionEnd = "<" + "=" * (gLineMaxLength - 2) + ">" + "\n"\r
64b2609f 102gSectionSep = "=" * gLineMaxLength\r
52302d4d
LG
103\r
104## Tags for subsection start, end and separator\r
47fea6af
YZ
105gSubSectionStart = ">" + "-" * (gLineMaxLength - 2) + "<"\r
106gSubSectionEnd = "<" + "-" * (gLineMaxLength - 2) + ">"\r
64b2609f
LG
107gSubSectionSep = "-" * gLineMaxLength\r
108\r
52302d4d
LG
109\r
110## The look up table to map PCD type to pair of report display type and DEC type\r
111gPcdTypeMap = {\r
112 'FixedAtBuild' : ('FIXED', 'FixedAtBuild'),\r
113 'PatchableInModule': ('PATCH', 'PatchableInModule'),\r
114 'FeatureFlag' : ('FLAG', 'FeatureFlag'),\r
115 'Dynamic' : ('DYN', 'Dynamic'),\r
116 'DynamicHii' : ('DYNHII', 'Dynamic'),\r
117 'DynamicVpd' : ('DYNVPD', 'Dynamic'),\r
4afd3d04
LG
118 'DynamicEx' : ('DEX', 'DynamicEx'),\r
119 'DynamicExHii' : ('DEXHII', 'DynamicEx'),\r
120 'DynamicExVpd' : ('DEXVPD', 'DynamicEx'),\r
52302d4d
LG
121 }\r
122\r
123## The look up table to map module type to driver type\r
124gDriverTypeMap = {\r
125 'SEC' : '0x3 (SECURITY_CORE)',\r
126 'PEI_CORE' : '0x4 (PEI_CORE)',\r
127 'PEIM' : '0x6 (PEIM)',\r
128 'DXE_CORE' : '0x5 (DXE_CORE)',\r
129 'DXE_DRIVER' : '0x7 (DRIVER)',\r
130 'DXE_SAL_DRIVER' : '0x7 (DRIVER)',\r
131 'DXE_SMM_DRIVER' : '0x7 (DRIVER)',\r
132 'DXE_RUNTIME_DRIVER': '0x7 (DRIVER)',\r
133 'UEFI_DRIVER' : '0x7 (DRIVER)',\r
134 'UEFI_APPLICATION' : '0x9 (APPLICATION)',\r
135 'SMM_CORE' : '0xD (SMM_CORE)',\r
136 'SMM_DRIVER' : '0xA (SMM)', # Extension of module type to support PI 1.1 SMM drivers\r
e574123c
SV
137 'MM_STANDALONE' : '0xE (MM_STANDALONE)',\r
138 'MM_CORE_STANDALONE' : '0xF (MM_CORE_STANDALONE)'\r
52302d4d
LG
139 }\r
140\r
e56468c0 141## The look up table of the supported opcode in the dependency expression binaries\r
142gOpCodeList = ["BEFORE", "AFTER", "PUSH", "AND", "OR", "NOT", "TRUE", "FALSE", "END", "SOR"]\r
143\r
52302d4d
LG
144##\r
145# Writes a string to the file object.\r
146#\r
147# This function writes a string to the file object and a new line is appended\r
148# afterwards. It may optionally wraps the string for better readability.\r
149#\r
150# @File The file object to write\r
151# @String The string to be written to the file\r
152# @Wrapper Indicates whether to wrap the string\r
153#\r
154def FileWrite(File, String, Wrapper=False):\r
155 if Wrapper:\r
156 String = textwrap.fill(String, 120)\r
4afd3d04 157 File.write(String + gEndOfLine)\r
52302d4d 158\r
e651d06c
LG
159def ByteArrayForamt(Value):\r
160 IsByteArray = False\r
161 SplitNum = 16\r
162 ArrayList = []\r
163 if Value.startswith('{') and Value.endswith('}'):\r
164 Value = Value[1:-1]\r
165 ValueList = Value.split(',')\r
166 if len(ValueList) >= SplitNum:\r
167 IsByteArray = True\r
168 if IsByteArray:\r
169 if ValueList:\r
170 Len = len(ValueList)/SplitNum\r
171 for i, element in enumerate(ValueList):\r
172 ValueList[i] = '0x%02X' % int(element.strip(), 16)\r
173 if Len:\r
174 Id = 0\r
175 while (Id <= Len):\r
176 End = min(SplitNum*(Id+1), len(ValueList))\r
177 Str = ','.join(ValueList[SplitNum*Id : End])\r
178 if End == len(ValueList):\r
179 Str += '}'\r
180 ArrayList.append(Str)\r
181 break\r
182 else:\r
183 Str += ','\r
184 ArrayList.append(Str)\r
185 Id += 1\r
186 else:\r
187 ArrayList = [Value + '}']\r
188 return IsByteArray, ArrayList\r
189\r
52302d4d
LG
190##\r
191# Find all the header file that the module source directly includes.\r
192#\r
193# This function scans source code to find all header files the module may\r
194# include. This is not accurate but very effective to find all the header\r
195# file the module might include with #include statement.\r
196#\r
197# @Source The source file name\r
198# @IncludePathList The list of include path to find the source file.\r
199# @IncludeFiles The dictionary of current found include files.\r
200#\r
201def FindIncludeFiles(Source, IncludePathList, IncludeFiles):\r
202 FileContents = open(Source).read()\r
203 #\r
204 # Find header files with pattern #include "XXX.h" or #include <XXX.h>\r
205 #\r
206 for Match in gIncludePattern.finditer(FileContents):\r
207 FileName = Match.group(1).strip()\r
208 for Dir in [os.path.dirname(Source)] + IncludePathList:\r
209 FullFileName = os.path.normpath(os.path.join(Dir, FileName))\r
210 if os.path.exists(FullFileName):\r
211 IncludeFiles[FullFileName.lower().replace("\\", "/")] = FullFileName\r
212 break\r
213\r
214 #\r
215 # Find header files with pattern like #include EFI_PPI_CONSUMER(XXX)\r
216 #\r
217 for Match in gIncludePattern2.finditer(FileContents):\r
218 Key = Match.group(2)\r
219 Type = Match.group(1)\r
220 if "ARCH_PROTOCOL" in Type:\r
221 FileName = "ArchProtocol/%(Key)s/%(Key)s.h" % {"Key" : Key}\r
222 elif "PROTOCOL" in Type:\r
223 FileName = "Protocol/%(Key)s/%(Key)s.h" % {"Key" : Key}\r
224 elif "PPI" in Type:\r
225 FileName = "Ppi/%(Key)s/%(Key)s.h" % {"Key" : Key}\r
226 elif "GUID" in Type:\r
227 FileName = "Guid/%(Key)s/%(Key)s.h" % {"Key" : Key}\r
228 else:\r
229 continue\r
230 for Dir in IncludePathList:\r
231 FullFileName = os.path.normpath(os.path.join(Dir, FileName))\r
232 if os.path.exists(FullFileName):\r
233 IncludeFiles[FullFileName.lower().replace("\\", "/")] = FullFileName\r
234 break\r
235\r
64b2609f
LG
236## Split each lines in file\r
237#\r
238# This method is used to split the lines in file to make the length of each line \r
239# less than MaxLength.\r
240#\r
241# @param Content The content of file\r
242# @param MaxLength The Max Length of the line\r
243#\r
244def FileLinesSplit(Content=None, MaxLength=None):\r
245 ContentList = Content.split(TAB_LINE_BREAK)\r
246 NewContent = ''\r
247 NewContentList = []\r
248 for Line in ContentList:\r
249 while len(Line.rstrip()) > MaxLength:\r
250 LineSpaceIndex = Line.rfind(TAB_SPACE_SPLIT, 0, MaxLength)\r
251 LineSlashIndex = Line.rfind(TAB_SLASH, 0, MaxLength)\r
25918452
LG
252 LineBackSlashIndex = Line.rfind(TAB_BACK_SLASH, 0, MaxLength)\r
253 if max(LineSpaceIndex, LineSlashIndex, LineBackSlashIndex) > 0:\r
254 LineBreakIndex = max(LineSpaceIndex, LineSlashIndex, LineBackSlashIndex)\r
255 else:\r
256 LineBreakIndex = MaxLength\r
64b2609f
LG
257 NewContentList.append(Line[:LineBreakIndex])\r
258 Line = Line[LineBreakIndex:]\r
259 if Line:\r
260 NewContentList.append(Line)\r
261 for NewLine in NewContentList:\r
262 NewContent += NewLine + TAB_LINE_BREAK\r
4afd3d04
LG
263 \r
264 NewContent = NewContent.replace(TAB_LINE_BREAK, gEndOfLine).replace('\r\r\n', gEndOfLine)\r
64b2609f
LG
265 return NewContent\r
266 \r
267 \r
268 \r
e56468c0 269##\r
270# Parse binary dependency expression section\r
271#\r
272# This utility class parses the dependency expression section and translate the readable\r
273# GUID name and value.\r
274#\r
275class DepexParser(object):\r
276 ##\r
277 # Constructor function for class DepexParser\r
278 #\r
279 # This constructor function collect GUID values so that the readable\r
280 # GUID name can be translated.\r
281 #\r
282 # @param self The object pointer\r
283 # @param Wa Workspace context information\r
284 #\r
285 def __init__(self, Wa):\r
286 self._GuidDb = {}\r
0d2711a6 287 for Pa in Wa.AutoGenObjectList:\r
47fea6af 288 for Package in Pa.PackageList:\r
0d2711a6
LG
289 for Protocol in Package.Protocols:\r
290 GuidValue = GuidStructureStringToGuidString(Package.Protocols[Protocol])\r
291 self._GuidDb[GuidValue.upper()] = Protocol\r
292 for Ppi in Package.Ppis:\r
293 GuidValue = GuidStructureStringToGuidString(Package.Ppis[Ppi])\r
294 self._GuidDb[GuidValue.upper()] = Ppi\r
295 for Guid in Package.Guids:\r
296 GuidValue = GuidStructureStringToGuidString(Package.Guids[Guid])\r
297 self._GuidDb[GuidValue.upper()] = Guid\r
e56468c0 298 \r
299 ##\r
300 # Parse the binary dependency expression files.\r
301 # \r
302 # This function parses the binary dependency expression file and translate it\r
303 # to the instruction list.\r
304 #\r
305 # @param self The object pointer\r
306 # @param DepexFileName The file name of binary dependency expression file.\r
307 #\r
308 def ParseDepexFile(self, DepexFileName):\r
309 DepexFile = open(DepexFileName, "rb")\r
310 DepexStatement = []\r
311 OpCode = DepexFile.read(1)\r
312 while OpCode:\r
313 Statement = gOpCodeList[struct.unpack("B", OpCode)[0]]\r
314 if Statement in ["BEFORE", "AFTER", "PUSH"]:\r
315 GuidValue = "%08X-%04X-%04X-%02X%02X-%02X%02X%02X%02X%02X%02X" % \\r
2bc3256c 316 struct.unpack("=LHHBBBBBBBB", DepexFile.read(16))\r
e56468c0 317 GuidString = self._GuidDb.get(GuidValue, GuidValue)\r
318 Statement = "%s %s" % (Statement, GuidString)\r
319 DepexStatement.append(Statement)\r
47fea6af
YZ
320 OpCode = DepexFile.read(1)\r
321\r
e56468c0 322 return DepexStatement\r
323 \r
52302d4d
LG
324##\r
325# Reports library information\r
326#\r
327# This class reports the module library subsection in the build report file.\r
328#\r
329class LibraryReport(object):\r
330 ##\r
331 # Constructor function for class LibraryReport\r
332 #\r
333 # This constructor function generates LibraryReport object for\r
334 # a module.\r
335 #\r
336 # @param self The object pointer\r
337 # @param M Module context information\r
338 #\r
339 def __init__(self, M):\r
340 self.LibraryList = []\r
341 if int(str(M.AutoGenVersion), 0) >= 0x00010005:\r
342 self._EdkIIModule = True\r
343 else:\r
344 self._EdkIIModule = False\r
345\r
346 for Lib in M.DependentLibraryList:\r
347 LibInfPath = str(Lib)\r
348 LibClassList = Lib.LibraryClass[0].LibraryClass\r
349 LibConstructorList = Lib.ConstructorList\r
350 LibDesstructorList = Lib.DestructorList\r
351 LibDepexList = Lib.DepexExpression[M.Arch, M.ModuleType]\r
1b8eca8b
YZ
352 for LibAutoGen in M.LibraryAutoGenList:\r
353 if LibInfPath == LibAutoGen.MetaFile.Path:\r
354 LibTime = LibAutoGen.BuildTime\r
355 break\r
356 self.LibraryList.append((LibInfPath, LibClassList, LibConstructorList, LibDesstructorList, LibDepexList, LibTime))\r
52302d4d
LG
357\r
358 ##\r
359 # Generate report for module library information\r
360 #\r
361 # This function generates report for the module library.\r
362 # If the module is EDKII style one, the additional library class, library\r
363 # constructor/destructor and dependency expression may also be reported.\r
364 #\r
365 # @param self The object pointer\r
366 # @param File The file object for report\r
367 #\r
368 def GenerateReport(self, File):\r
52302d4d 369 if len(self.LibraryList) > 0:\r
c2d0a1f6
YZ
370 FileWrite(File, gSubSectionStart)\r
371 FileWrite(File, TAB_BRG_LIBRARY)\r
52302d4d
LG
372 FileWrite(File, gSubSectionSep)\r
373 for LibraryItem in self.LibraryList:\r
374 LibInfPath = LibraryItem[0]\r
375 FileWrite(File, LibInfPath)\r
376\r
377 #\r
378 # Report library class, library constructor and destructor for\r
379 # EDKII style module.\r
380 #\r
381 if self._EdkIIModule:\r
382 LibClass = LibraryItem[1]\r
383 EdkIILibInfo = ""\r
384 LibConstructor = " ".join(LibraryItem[2])\r
385 if LibConstructor:\r
386 EdkIILibInfo += " C = " + LibConstructor\r
387 LibDestructor = " ".join(LibraryItem[3])\r
388 if LibDestructor:\r
636f2be6 389 EdkIILibInfo += " D = " + LibDestructor\r
52302d4d
LG
390 LibDepex = " ".join(LibraryItem[4])\r
391 if LibDepex:\r
392 EdkIILibInfo += " Depex = " + LibDepex\r
1b8eca8b
YZ
393 if LibraryItem[5]:\r
394 EdkIILibInfo += " Time = " + LibraryItem[5]\r
52302d4d
LG
395 if EdkIILibInfo:\r
396 FileWrite(File, "{%s: %s}" % (LibClass, EdkIILibInfo))\r
397 else:\r
398 FileWrite(File, "{%s}" % LibClass)\r
399\r
c2d0a1f6 400 FileWrite(File, gSubSectionEnd)\r
52302d4d
LG
401\r
402##\r
403# Reports dependency expression information\r
404#\r
405# This class reports the module dependency expression subsection in the build report file.\r
406#\r
407class DepexReport(object):\r
408 ##\r
409 # Constructor function for class DepexReport\r
410 #\r
411 # This constructor function generates DepexReport object for\r
412 # a module. If the module source contains the DXS file (usually EDK\r
413 # style module), it uses the dependency in DXS file; otherwise,\r
414 # it uses the dependency expression from its own INF [Depex] section\r
415 # and then merges with the ones from its dependent library INF.\r
416 #\r
417 # @param self The object pointer\r
418 # @param M Module context information\r
419 #\r
420 def __init__(self, M):\r
421 self.Depex = ""\r
47fea6af 422 self._DepexFileName = os.path.join(M.BuildDir, "OUTPUT", M.Module.BaseName + ".depex")\r
52302d4d
LG
423 ModuleType = M.ModuleType\r
424 if not ModuleType:\r
425 ModuleType = gComponentType2ModuleType.get(M.ComponentType, "")\r
636f2be6 426\r
e574123c 427 if ModuleType in ["SEC", "PEI_CORE", "DXE_CORE", "SMM_CORE", "MM_CORE_STANDALONE", "UEFI_APPLICATION"]:\r
52302d4d
LG
428 return\r
429 \r
430 for Source in M.SourceFileList:\r
431 if os.path.splitext(Source.Path)[1].lower() == ".dxs":\r
432 Match = gDxsDependencyPattern.search(open(Source.Path).read())\r
433 if Match:\r
434 self.Depex = Match.group(1).strip()\r
435 self.Source = "DXS"\r
436 break\r
437 else:\r
438 self.Depex = M.DepexExpressionList.get(M.ModuleType, "")\r
439 self.ModuleDepex = " ".join(M.Module.DepexExpression[M.Arch, M.ModuleType])\r
440 if not self.ModuleDepex:\r
441 self.ModuleDepex = "(None)"\r
442\r
443 LibDepexList = []\r
444 for Lib in M.DependentLibraryList:\r
445 LibDepex = " ".join(Lib.DepexExpression[M.Arch, M.ModuleType]).strip()\r
446 if LibDepex != "":\r
447 LibDepexList.append("(" + LibDepex + ")")\r
448 self.LibraryDepex = " AND ".join(LibDepexList)\r
449 if not self.LibraryDepex:\r
450 self.LibraryDepex = "(None)"\r
451 self.Source = "INF"\r
452\r
453 ##\r
454 # Generate report for module dependency expression information\r
455 #\r
456 # This function generates report for the module dependency expression.\r
457 #\r
e56468c0 458 # @param self The object pointer\r
459 # @param File The file object for report\r
460 # @param GlobalDepexParser The platform global Dependency expression parser object\r
52302d4d 461 #\r
e56468c0 462 def GenerateReport(self, File, GlobalDepexParser):\r
52302d4d
LG
463 if not self.Depex:\r
464 return\r
52302d4d 465 FileWrite(File, gSubSectionStart)\r
e56468c0 466 if os.path.isfile(self._DepexFileName):\r
467 try:\r
468 DepexStatements = GlobalDepexParser.ParseDepexFile(self._DepexFileName)\r
469 FileWrite(File, "Final Dependency Expression (DEPEX) Instructions")\r
470 for DepexStatement in DepexStatements:\r
471 FileWrite(File, " %s" % DepexStatement)\r
472 FileWrite(File, gSubSectionSep)\r
473 except:\r
474 EdkLogger.warn(None, "Dependency expression file is corrupted", self._DepexFileName)\r
475 \r
52302d4d
LG
476 FileWrite(File, "Dependency Expression (DEPEX) from %s" % self.Source)\r
477\r
478 if self.Source == "INF":\r
479 FileWrite(File, "%s" % self.Depex, True)\r
480 FileWrite(File, gSubSectionSep)\r
481 FileWrite(File, "From Module INF: %s" % self.ModuleDepex, True)\r
482 FileWrite(File, "From Library INF: %s" % self.LibraryDepex, True)\r
483 else:\r
484 FileWrite(File, "%s" % self.Depex)\r
485 FileWrite(File, gSubSectionEnd)\r
486\r
487##\r
488# Reports dependency expression information\r
489#\r
490# This class reports the module build flags subsection in the build report file.\r
491#\r
492class BuildFlagsReport(object):\r
493 ##\r
494 # Constructor function for class BuildFlagsReport\r
495 #\r
496 # This constructor function generates BuildFlagsReport object for\r
497 # a module. It reports the build tool chain tag and all relevant\r
498 # build flags to build the module.\r
499 #\r
500 # @param self The object pointer\r
501 # @param M Module context information\r
502 #\r
503 def __init__(self, M):\r
504 BuildOptions = {}\r
505 #\r
506 # Add build flags according to source file extension so that\r
507 # irrelevant ones can be filtered out.\r
508 #\r
509 for Source in M.SourceFileList:\r
510 Ext = os.path.splitext(Source.File)[1].lower()\r
511 if Ext in [".c", ".cc", ".cpp"]:\r
512 BuildOptions["CC"] = 1\r
513 elif Ext in [".s", ".asm"]:\r
514 BuildOptions["PP"] = 1\r
515 BuildOptions["ASM"] = 1\r
516 elif Ext in [".vfr"]:\r
517 BuildOptions["VFRPP"] = 1\r
518 BuildOptions["VFR"] = 1\r
519 elif Ext in [".dxs"]:\r
520 BuildOptions["APP"] = 1\r
521 BuildOptions["CC"] = 1\r
522 elif Ext in [".asl"]:\r
523 BuildOptions["ASLPP"] = 1\r
524 BuildOptions["ASL"] = 1\r
525 elif Ext in [".aslc"]:\r
526 BuildOptions["ASLCC"] = 1\r
527 BuildOptions["ASLDLINK"] = 1\r
528 BuildOptions["CC"] = 1\r
529 elif Ext in [".asm16"]:\r
530 BuildOptions["ASMLINK"] = 1\r
531 BuildOptions["SLINK"] = 1\r
532 BuildOptions["DLINK"] = 1\r
533\r
534 #\r
535 # Save module build flags.\r
536 #\r
537 self.ToolChainTag = M.ToolChain\r
538 self.BuildFlags = {}\r
539 for Tool in BuildOptions:\r
540 self.BuildFlags[Tool + "_FLAGS"] = M.BuildOption.get(Tool, {}).get("FLAGS", "")\r
541\r
542 ##\r
543 # Generate report for module build flags information\r
544 #\r
545 # This function generates report for the module build flags expression.\r
546 #\r
547 # @param self The object pointer\r
548 # @param File The file object for report\r
549 #\r
550 def GenerateReport(self, File):\r
551 FileWrite(File, gSubSectionStart)\r
552 FileWrite(File, "Build Flags")\r
553 FileWrite(File, "Tool Chain Tag: %s" % self.ToolChainTag)\r
554 for Tool in self.BuildFlags:\r
555 FileWrite(File, gSubSectionSep)\r
556 FileWrite(File, "%s = %s" % (Tool, self.BuildFlags[Tool]), True)\r
557\r
558 FileWrite(File, gSubSectionEnd)\r
559\r
560\r
561##\r
562# Reports individual module information\r
563#\r
564# This class reports the module section in the build report file.\r
565# It comprises of module summary, module PCD, library, dependency expression,\r
566# build flags sections.\r
567#\r
568class ModuleReport(object):\r
569 ##\r
570 # Constructor function for class ModuleReport\r
571 #\r
572 # This constructor function generates ModuleReport object for\r
573 # a separate module in a platform build.\r
574 #\r
575 # @param self The object pointer\r
576 # @param M Module context information\r
577 # @param ReportType The kind of report items in the final report file\r
578 #\r
579 def __init__(self, M, ReportType):\r
580 self.ModuleName = M.Module.BaseName\r
581 self.ModuleInfPath = M.MetaFile.File\r
582 self.FileGuid = M.Guid\r
583 self.Size = 0\r
584 self.BuildTimeStamp = None\r
eca5be7a 585 self.Hash = 0\r
52302d4d 586 self.DriverType = ""\r
636f2be6
LG
587 if not M.IsLibrary:\r
588 ModuleType = M.ModuleType\r
589 if not ModuleType:\r
590 ModuleType = gComponentType2ModuleType.get(M.ComponentType, "")\r
591 #\r
592 # If a module complies to PI 1.1, promote Module type to "SMM_DRIVER"\r
593 #\r
594 if ModuleType == "DXE_SMM_DRIVER":\r
47fea6af 595 PiSpec = M.Module.Specification.get("PI_SPECIFICATION_VERSION", "0x00010000")\r
0d2711a6 596 if int(PiSpec, 0) >= 0x0001000A:\r
636f2be6
LG
597 ModuleType = "SMM_DRIVER"\r
598 self.DriverType = gDriverTypeMap.get(ModuleType, "0x2 (FREE_FORM)")\r
52302d4d
LG
599 self.UefiSpecVersion = M.Module.Specification.get("UEFI_SPECIFICATION_VERSION", "")\r
600 self.PiSpecVersion = M.Module.Specification.get("PI_SPECIFICATION_VERSION", "")\r
601 self.PciDeviceId = M.Module.Defines.get("PCI_DEVICE_ID", "")\r
602 self.PciVendorId = M.Module.Defines.get("PCI_VENDOR_ID", "")\r
603 self.PciClassCode = M.Module.Defines.get("PCI_CLASS_CODE", "")\r
1b8eca8b 604 self.BuildTime = M.BuildTime\r
52302d4d
LG
605\r
606 self._BuildDir = M.BuildDir\r
607 self.ModulePcdSet = {}\r
608 if "PCD" in ReportType:\r
609 #\r
610 # Collect all module used PCD set: module INF referenced directly or indirectly.\r
611 # It also saves module INF default values of them in case they exist.\r
612 #\r
613 for Pcd in M.ModulePcdList + M.LibraryPcdList:\r
614 self.ModulePcdSet.setdefault((Pcd.TokenCName, Pcd.TokenSpaceGuidCName, Pcd.Type), (Pcd.InfDefaultValue, Pcd.DefaultValue))\r
615\r
616 self.LibraryReport = None\r
617 if "LIBRARY" in ReportType:\r
618 self.LibraryReport = LibraryReport(M)\r
619\r
620 self.DepexReport = None\r
621 if "DEPEX" in ReportType:\r
622 self.DepexReport = DepexReport(M)\r
623\r
624 if "BUILD_FLAGS" in ReportType:\r
625 self.BuildFlagsReport = BuildFlagsReport(M)\r
626\r
627\r
628 ##\r
629 # Generate report for module information\r
630 #\r
631 # This function generates report for separate module expression\r
632 # in a platform build.\r
633 #\r
e56468c0 634 # @param self The object pointer\r
635 # @param File The file object for report\r
636 # @param GlobalPcdReport The platform global PCD report object\r
637 # @param GlobalPredictionReport The platform global Prediction report object\r
638 # @param GlobalDepexParser The platform global Dependency expression parser object\r
639 # @param ReportType The kind of report items in the final report file\r
52302d4d 640 #\r
e56468c0 641 def GenerateReport(self, File, GlobalPcdReport, GlobalPredictionReport, GlobalDepexParser, ReportType):\r
52302d4d
LG
642 FileWrite(File, gSectionStart)\r
643\r
644 FwReportFileName = os.path.join(self._BuildDir, "DEBUG", self.ModuleName + ".txt")\r
645 if os.path.isfile(FwReportFileName):\r
646 try:\r
647 FileContents = open(FwReportFileName).read()\r
648 Match = gModuleSizePattern.search(FileContents)\r
649 if Match:\r
650 self.Size = int(Match.group(1))\r
651\r
652 Match = gTimeStampPattern.search(FileContents)\r
653 if Match:\r
654 self.BuildTimeStamp = datetime.fromtimestamp(int(Match.group(1)))\r
655 except IOError:\r
656 EdkLogger.warn(None, "Fail to read report file", FwReportFileName)\r
657\r
eca5be7a
YZ
658 if "HASH" in ReportType:\r
659 OutputDir = os.path.join(self._BuildDir, "OUTPUT")\r
660 DefaultEFIfile = os.path.join(OutputDir, self.ModuleName + ".efi")\r
661 if os.path.isfile(DefaultEFIfile):\r
662 Tempfile = os.path.join(OutputDir, self.ModuleName + "_hash.tmp")\r
663 # rebase the efi image since its base address may not zero\r
664 cmd = ["GenFw", "--rebase", str(0), "-o", Tempfile, DefaultEFIfile]\r
665 try:\r
666 PopenObject = subprocess.Popen(' '.join(cmd), stdout=subprocess.PIPE, stderr=subprocess.PIPE, shell=True)\r
667 except Exception, X:\r
668 EdkLogger.error("GenFw", COMMAND_FAILURE, ExtraData="%s: %s" % (str(X), cmd[0]))\r
669 EndOfProcedure = threading.Event()\r
670 EndOfProcedure.clear()\r
671 if PopenObject.stderr:\r
672 StdErrThread = threading.Thread(target=ReadMessage, args=(PopenObject.stderr, EdkLogger.quiet, EndOfProcedure))\r
673 StdErrThread.setName("STDERR-Redirector")\r
674 StdErrThread.setDaemon(False)\r
675 StdErrThread.start()\r
676 # waiting for program exit\r
677 PopenObject.wait()\r
678 if PopenObject.stderr:\r
679 StdErrThread.join()\r
680 if PopenObject.returncode != 0:\r
681 EdkLogger.error("GenFw", COMMAND_FAILURE, "Failed to generate firmware hash image for %s" % (DefaultEFIfile))\r
682 if os.path.isfile(Tempfile):\r
683 self.Hash = hashlib.sha1()\r
684 buf = open(Tempfile, 'rb').read()\r
685 if self.Hash.update(buf):\r
686 self.Hash = self.Hash.update(buf)\r
687 self.Hash = self.Hash.hexdigest()\r
688 os.remove(Tempfile)\r
689\r
52302d4d
LG
690 FileWrite(File, "Module Summary")\r
691 FileWrite(File, "Module Name: %s" % self.ModuleName)\r
692 FileWrite(File, "Module INF Path: %s" % self.ModuleInfPath)\r
693 FileWrite(File, "File GUID: %s" % self.FileGuid)\r
694 if self.Size:\r
695 FileWrite(File, "Size: 0x%X (%.2fK)" % (self.Size, self.Size / 1024.0))\r
eca5be7a
YZ
696 if self.Hash:\r
697 FileWrite(File, "SHA1 HASH: %s *%s" % (self.Hash, self.ModuleName + ".efi"))\r
52302d4d
LG
698 if self.BuildTimeStamp:\r
699 FileWrite(File, "Build Time Stamp: %s" % self.BuildTimeStamp)\r
1b8eca8b
YZ
700 if self.BuildTime:\r
701 FileWrite(File, "Module Build Time: %s" % self.BuildTime)\r
52302d4d
LG
702 if self.DriverType:\r
703 FileWrite(File, "Driver Type: %s" % self.DriverType)\r
704 if self.UefiSpecVersion:\r
705 FileWrite(File, "UEFI Spec Version: %s" % self.UefiSpecVersion)\r
706 if self.PiSpecVersion:\r
707 FileWrite(File, "PI Spec Version: %s" % self.PiSpecVersion)\r
708 if self.PciDeviceId:\r
709 FileWrite(File, "PCI Device ID: %s" % self.PciDeviceId)\r
710 if self.PciVendorId:\r
711 FileWrite(File, "PCI Vendor ID: %s" % self.PciVendorId)\r
712 if self.PciClassCode:\r
713 FileWrite(File, "PCI Class Code: %s" % self.PciClassCode)\r
714\r
715 FileWrite(File, gSectionSep)\r
716\r
717 if "PCD" in ReportType:\r
718 GlobalPcdReport.GenerateReport(File, self.ModulePcdSet)\r
719\r
720 if "LIBRARY" in ReportType:\r
721 self.LibraryReport.GenerateReport(File)\r
722\r
723 if "DEPEX" in ReportType:\r
e56468c0 724 self.DepexReport.GenerateReport(File, GlobalDepexParser)\r
52302d4d
LG
725\r
726 if "BUILD_FLAGS" in ReportType:\r
727 self.BuildFlagsReport.GenerateReport(File)\r
728\r
729 if "FIXED_ADDRESS" in ReportType and self.FileGuid:\r
730 GlobalPredictionReport.GenerateReport(File, self.FileGuid)\r
731\r
732 FileWrite(File, gSectionEnd)\r
733\r
eca5be7a
YZ
734def ReadMessage(From, To, ExitFlag):\r
735 while True:\r
736 # read one line a time\r
737 Line = From.readline()\r
738 # empty string means "end"\r
4231a819 739 if Line is not None and Line != "":\r
eca5be7a
YZ
740 To(Line.rstrip())\r
741 else:\r
742 break\r
743 if ExitFlag.isSet():\r
744 break\r
745\r
52302d4d
LG
746##\r
747# Reports platform and module PCD information\r
748#\r
749# This class reports the platform PCD section and module PCD subsection\r
750# in the build report file.\r
751#\r
752class PcdReport(object):\r
753 ##\r
754 # Constructor function for class PcdReport\r
755 #\r
756 # This constructor function generates PcdReport object a platform build.\r
757 # It collects the whole PCD database from platform DSC files, platform\r
758 # flash description file and package DEC files.\r
759 #\r
760 # @param self The object pointer\r
761 # @param Wa Workspace context information\r
762 #\r
763 def __init__(self, Wa):\r
764 self.AllPcds = {}\r
c8d07c5e
YZ
765 self.UnusedPcds = {}\r
766 self.ConditionalPcds = {}\r
52302d4d 767 self.MaxLen = 0\r
e651d06c 768 self.Arch = None\r
52302d4d
LG
769 if Wa.FdfProfile:\r
770 self.FdfPcdSet = Wa.FdfProfile.PcdDict\r
771 else:\r
772 self.FdfPcdSet = {}\r
773\r
779ddcdf
YZ
774 self.DefaultStoreSingle = True\r
775 self.SkuSingle = True\r
776 if GlobalData.gDefaultStores and len(GlobalData.gDefaultStores) > 1:\r
777 self.DefaultStoreSingle = False\r
778 if GlobalData.gSkuids and len(GlobalData.gSkuids) > 1:\r
779 self.SkuSingle = False\r
780\r
52302d4d
LG
781 self.ModulePcdOverride = {}\r
782 for Pa in Wa.AutoGenObjectList:\r
e651d06c 783 self.Arch = Pa.Arch\r
52302d4d
LG
784 #\r
785 # Collect all platform referenced PCDs and grouped them by PCD token space\r
786 # GUID C Names\r
787 #\r
788 for Pcd in Pa.AllPcdList:\r
789 PcdList = self.AllPcds.setdefault(Pcd.TokenSpaceGuidCName, {}).setdefault(Pcd.Type, [])\r
790 if Pcd not in PcdList:\r
791 PcdList.append(Pcd)\r
792 if len(Pcd.TokenCName) > self.MaxLen:\r
793 self.MaxLen = len(Pcd.TokenCName)\r
c8d07c5e
YZ
794 #\r
795 # Collect the PCD defined in DSC/FDF file, but not used in module\r
796 #\r
797 UnusedPcdFullList = []\r
798 for item in Pa.Platform.Pcds:\r
799 Pcd = Pa.Platform.Pcds[item]\r
c65df5d9
YZ
800 if not Pcd.Type:\r
801 # check the Pcd in FDF file, whether it is used in module first\r
802 for T in ["FixedAtBuild", "PatchableInModule", "FeatureFlag", "Dynamic", "DynamicEx"]:\r
803 PcdList = self.AllPcds.setdefault(Pcd.TokenSpaceGuidCName, {}).setdefault(T, [])\r
804 if Pcd in PcdList:\r
805 Pcd.Type = T\r
806 break\r
c8d07c5e
YZ
807 if not Pcd.Type:\r
808 PcdTypeFlag = False\r
809 for package in Pa.PackageList:\r
810 for T in ["FixedAtBuild", "PatchableInModule", "FeatureFlag", "Dynamic", "DynamicEx"]:\r
811 if (Pcd.TokenCName, Pcd.TokenSpaceGuidCName, T) in package.Pcds:\r
812 Pcd.Type = T\r
813 PcdTypeFlag = True\r
814 if not Pcd.DatumType:\r
815 Pcd.DatumType = package.Pcds[(Pcd.TokenCName, Pcd.TokenSpaceGuidCName, T)].DatumType\r
816 break\r
817 if PcdTypeFlag:\r
818 break\r
819 if not Pcd.DatumType:\r
820 PcdType = Pcd.Type\r
821 # Try to remove Hii and Vpd suffix\r
822 if PcdType.startswith("DynamicEx"):\r
823 PcdType = "DynamicEx"\r
824 elif PcdType.startswith("Dynamic"):\r
825 PcdType = "Dynamic"\r
826 for package in Pa.PackageList:\r
827 if (Pcd.TokenCName, Pcd.TokenSpaceGuidCName, PcdType) in package.Pcds:\r
828 Pcd.DatumType = package.Pcds[(Pcd.TokenCName, Pcd.TokenSpaceGuidCName, PcdType)].DatumType\r
829 break\r
830\r
831 PcdList = self.AllPcds.setdefault(Pcd.TokenSpaceGuidCName, {}).setdefault(Pcd.Type, [])\r
832 if Pcd not in PcdList and Pcd not in UnusedPcdFullList:\r
833 UnusedPcdFullList.append(Pcd)\r
834 if len(Pcd.TokenCName) > self.MaxLen:\r
835 self.MaxLen = len(Pcd.TokenCName)\r
836\r
837 if GlobalData.gConditionalPcds:\r
838 for PcdItem in GlobalData.gConditionalPcds:\r
839 if '.' in PcdItem:\r
840 (TokenSpaceGuidCName, TokenCName) = PcdItem.split('.')\r
9eb87141 841 if (TokenCName, TokenSpaceGuidCName) in Pa.Platform.Pcds:\r
c8d07c5e
YZ
842 Pcd = Pa.Platform.Pcds[(TokenCName, TokenSpaceGuidCName)]\r
843 PcdList = self.ConditionalPcds.setdefault(Pcd.TokenSpaceGuidCName, {}).setdefault(Pcd.Type, [])\r
844 if Pcd not in PcdList:\r
845 PcdList.append(Pcd)\r
846\r
847 UnusedPcdList = []\r
848 if UnusedPcdFullList:\r
849 for Pcd in UnusedPcdFullList:\r
850 if Pcd.TokenSpaceGuidCName + '.' + Pcd.TokenCName in GlobalData.gConditionalPcds:\r
851 continue\r
852 UnusedPcdList.append(Pcd)\r
853\r
854 for Pcd in UnusedPcdList:\r
855 PcdList = self.UnusedPcds.setdefault(Pcd.TokenSpaceGuidCName, {}).setdefault(Pcd.Type, [])\r
856 if Pcd not in PcdList:\r
857 PcdList.append(Pcd)\r
52302d4d
LG
858\r
859 for Module in Pa.Platform.Modules.values():\r
860 #\r
861 # Collect module override PCDs\r
862 #\r
863 for ModulePcd in Module.M.ModulePcdList + Module.M.LibraryPcdList:\r
864 TokenCName = ModulePcd.TokenCName\r
865 TokenSpaceGuid = ModulePcd.TokenSpaceGuidCName\r
866 ModuleDefault = ModulePcd.DefaultValue\r
867 ModulePath = os.path.basename(Module.M.MetaFile.File)\r
868 self.ModulePcdOverride.setdefault((TokenCName, TokenSpaceGuid), {})[ModulePath] = ModuleDefault\r
869\r
870\r
871 #\r
872 # Collect PCD DEC default value.\r
873 #\r
874 self.DecPcdDefault = {}\r
726c501c 875 self._GuidDict = {}\r
0d2711a6
LG
876 for Pa in Wa.AutoGenObjectList:\r
877 for Package in Pa.PackageList:\r
726c501c
YZ
878 Guids = Package.Guids\r
879 self._GuidDict.update(Guids)\r
0d2711a6
LG
880 for (TokenCName, TokenSpaceGuidCName, DecType) in Package.Pcds:\r
881 DecDefaultValue = Package.Pcds[TokenCName, TokenSpaceGuidCName, DecType].DefaultValue\r
882 self.DecPcdDefault.setdefault((TokenCName, TokenSpaceGuidCName, DecType), DecDefaultValue)\r
52302d4d
LG
883 #\r
884 # Collect PCDs defined in DSC common section\r
885 #\r
886 self.DscPcdDefault = {}\r
e651d06c
LG
887 for Pa in Wa.AutoGenObjectList:\r
888 for (TokenCName, TokenSpaceGuidCName) in Pa.Platform.Pcds:\r
643e8e4b 889 DscDefaultValue = Pa.Platform.Pcds[(TokenCName, TokenSpaceGuidCName)].DscDefaultValue\r
40d841f6
LG
890 if DscDefaultValue:\r
891 self.DscPcdDefault[(TokenCName, TokenSpaceGuidCName)] = DscDefaultValue\r
52302d4d 892\r
c8d07c5e 893 def GenerateReport(self, File, ModulePcdSet):\r
b91b8ee4
YZ
894 if not ModulePcdSet:\r
895 if self.ConditionalPcds:\r
896 self.GenerateReportDetail(File, ModulePcdSet, 1)\r
897 if self.UnusedPcds:\r
898 self.GenerateReportDetail(File, ModulePcdSet, 2)\r
c8d07c5e
YZ
899 self.GenerateReportDetail(File, ModulePcdSet)\r
900\r
52302d4d
LG
901 ##\r
902 # Generate report for PCD information\r
903 #\r
904 # This function generates report for separate module expression\r
905 # in a platform build.\r
906 #\r
907 # @param self The object pointer\r
908 # @param File The file object for report\r
909 # @param ModulePcdSet Set of all PCDs referenced by module or None for\r
910 # platform PCD report\r
c8d07c5e
YZ
911 # @param ReportySubType 0 means platform/module PCD report, 1 means Conditional\r
912 # directives section report, 2 means Unused Pcds section report\r
52302d4d
LG
913 # @param DscOverridePcds Module DSC override PCDs set\r
914 #\r
c8d07c5e
YZ
915 def GenerateReportDetail(self, File, ModulePcdSet, ReportSubType = 0):\r
916 PcdDict = self.AllPcds\r
917 if ReportSubType == 1:\r
918 PcdDict = self.ConditionalPcds\r
919 elif ReportSubType == 2:\r
920 PcdDict = self.UnusedPcds\r
921\r
b91b8ee4 922 if not ModulePcdSet:\r
52302d4d 923 FileWrite(File, gSectionStart)\r
c8d07c5e
YZ
924 if ReportSubType == 1:\r
925 FileWrite(File, "Conditional Directives used by the build system")\r
926 elif ReportSubType == 2:\r
927 FileWrite(File, "PCDs not used by modules or in conditional directives")\r
928 else:\r
929 FileWrite(File, "Platform Configuration Database Report")\r
930\r
763e8edf 931 FileWrite(File, " *B - PCD override in the build option")\r
52302d4d
LG
932 FileWrite(File, " *P - Platform scoped PCD override in DSC file")\r
933 FileWrite(File, " *F - Platform scoped PCD override in FDF file")\r
c8d07c5e
YZ
934 if not ReportSubType:\r
935 FileWrite(File, " *M - Module scoped PCD override")\r
52302d4d
LG
936 FileWrite(File, gSectionSep)\r
937 else:\r
c2d0a1f6 938 if not ReportSubType and ModulePcdSet:\r
c8d07c5e
YZ
939 #\r
940 # For module PCD sub-section\r
941 #\r
942 FileWrite(File, gSubSectionStart)\r
943 FileWrite(File, TAB_BRG_PCD)\r
944 FileWrite(File, gSubSectionSep)\r
52302d4d 945\r
c8d07c5e 946 for Key in PcdDict:\r
52302d4d
LG
947 #\r
948 # Group PCD by their token space GUID C Name\r
949 #\r
950 First = True\r
c8d07c5e 951 for Type in PcdDict[Key]:\r
52302d4d
LG
952 #\r
953 # Group PCD by their usage type\r
954 #\r
955 TypeName, DecType = gPcdTypeMap.get(Type, ("", Type))\r
c8d07c5e 956 for Pcd in PcdDict[Key][Type]:\r
7cb63c87
YZ
957 PcdTokenCName = Pcd.TokenCName\r
958 MixedPcdFlag = False\r
959 if GlobalData.MixedPcd:\r
960 for PcdKey in GlobalData.MixedPcd:\r
961 if (Pcd.TokenCName, Pcd.TokenSpaceGuidCName) in GlobalData.MixedPcd[PcdKey]:\r
962 PcdTokenCName = PcdKey[0]\r
963 MixedPcdFlag = True\r
964 if MixedPcdFlag and not ModulePcdSet:\r
965 continue\r
52302d4d
LG
966 #\r
967 # Get PCD default value and their override relationship\r
968 #\r
969 DecDefaultValue = self.DecPcdDefault.get((Pcd.TokenCName, Pcd.TokenSpaceGuidCName, DecType))\r
970 DscDefaultValue = self.DscPcdDefault.get((Pcd.TokenCName, Pcd.TokenSpaceGuidCName))\r
a676a246 971 DscDefaultValBak = DscDefaultValue\r
52302d4d 972 DscDefaultValue = self.FdfPcdSet.get((Pcd.TokenCName, Key), DscDefaultValue)\r
a676a246 973 if DscDefaultValue != DscDefaultValBak:\r
35f613d9
YF
974 try:\r
975 DscDefaultValue = ValueExpressionEx(DscDefaultValue, Pcd.DatumType, self._GuidDict)(True)\r
24326f38 976 except BadExpression, DscDefaultValue:\r
35f613d9
YF
977 EdkLogger.error('BuildReport', FORMAT_INVALID, "PCD Value: %s, Type: %s" %(DscDefaultValue, Pcd.DatumType))\r
978\r
52302d4d
LG
979 InfDefaultValue = None\r
980 \r
981 PcdValue = DecDefaultValue\r
982 if DscDefaultValue:\r
983 PcdValue = DscDefaultValue\r
4231a819 984 if ModulePcdSet is not None:\r
52302d4d
LG
985 if (Pcd.TokenCName, Pcd.TokenSpaceGuidCName, Type) not in ModulePcdSet:\r
986 continue\r
987 InfDefault, PcdValue = ModulePcdSet[Pcd.TokenCName, Pcd.TokenSpaceGuidCName, Type]\r
64797018 988 Pcd.DefaultValue = PcdValue\r
52302d4d
LG
989 if InfDefault == "":\r
990 InfDefault = None\r
763e8edf
YZ
991\r
992 BuildOptionMatch = False\r
993 if GlobalData.BuildOptionPcd:\r
994 for pcd in GlobalData.BuildOptionPcd:\r
995 if (Pcd.TokenSpaceGuidCName, Pcd.TokenCName) == (pcd[0], pcd[1]):\r
8565b582
YZ
996 if pcd[2]:\r
997 continue\r
998 PcdValue = pcd[3]\r
97e1ff1b 999 Pcd.DefaultValue = PcdValue\r
763e8edf
YZ
1000 BuildOptionMatch = True\r
1001 break\r
1002\r
52302d4d 1003 if First:\r
4231a819 1004 if ModulePcdSet is None:\r
52302d4d
LG
1005 FileWrite(File, "")\r
1006 FileWrite(File, Key)\r
1007 First = False\r
1008\r
1009\r
1010 if Pcd.DatumType in ('UINT8', 'UINT16', 'UINT32', 'UINT64'):\r
1011 PcdValueNumber = int(PcdValue.strip(), 0)\r
4231a819 1012 if DecDefaultValue is None:\r
52302d4d
LG
1013 DecMatch = True\r
1014 else:\r
1015 DecDefaultValueNumber = int(DecDefaultValue.strip(), 0)\r
1016 DecMatch = (DecDefaultValueNumber == PcdValueNumber)\r
1017\r
4231a819 1018 if InfDefaultValue is None:\r
52302d4d
LG
1019 InfMatch = True\r
1020 else:\r
1021 InfDefaultValueNumber = int(InfDefaultValue.strip(), 0)\r
1022 InfMatch = (InfDefaultValueNumber == PcdValueNumber)\r
1023\r
4231a819 1024 if DscDefaultValue is None:\r
52302d4d
LG
1025 DscMatch = True\r
1026 else:\r
1027 DscDefaultValueNumber = int(DscDefaultValue.strip(), 0)\r
1028 DscMatch = (DscDefaultValueNumber == PcdValueNumber)\r
1029 else:\r
4231a819 1030 if DecDefaultValue is None:\r
52302d4d
LG
1031 DecMatch = True\r
1032 else:\r
d5d56f1b 1033 DecMatch = (DecDefaultValue.strip() == PcdValue.strip())\r
52302d4d 1034\r
4231a819 1035 if InfDefaultValue is None:\r
52302d4d
LG
1036 InfMatch = True\r
1037 else:\r
d5d56f1b 1038 InfMatch = (InfDefaultValue.strip() == PcdValue.strip())\r
52302d4d 1039\r
4231a819 1040 if DscDefaultValue is None:\r
52302d4d
LG
1041 DscMatch = True\r
1042 else:\r
d5d56f1b 1043 DscMatch = (DscDefaultValue.strip() == PcdValue.strip())\r
52302d4d 1044\r
e651d06c 1045 IsStructure = False\r
9eb87141 1046 if GlobalData.gStructurePcd and (self.Arch in GlobalData.gStructurePcd) and ((Pcd.TokenCName, Pcd.TokenSpaceGuidCName) in GlobalData.gStructurePcd[self.Arch]):\r
e651d06c
LG
1047 IsStructure = True\r
1048 if TypeName in ('DYNVPD', 'DEXVPD'):\r
1049 SkuInfoList = Pcd.SkuInfoList\r
1050 Pcd = GlobalData.gStructurePcd[self.Arch][(Pcd.TokenCName, Pcd.TokenSpaceGuidCName)]\r
1051 Pcd.DatumType = Pcd.StructName\r
1052 if TypeName in ('DYNVPD', 'DEXVPD'):\r
1053 Pcd.SkuInfoList = SkuInfoList\r
24326f38
YZ
1054 if Pcd.PcdFieldValueFromComm:\r
1055 BuildOptionMatch = True\r
e651d06c 1056 DecMatch = False\r
24326f38
YZ
1057 elif Pcd.SkuOverrideValues:\r
1058 DscOverride = False\r
1059 if not Pcd.SkuInfoList:\r
1060 OverrideValues = Pcd.SkuOverrideValues\r
1061 if OverrideValues:\r
1062 Keys = OverrideValues.keys()\r
1063 Data = OverrideValues[Keys[0]]\r
1064 Struct = Data.values()[0]\r
1065 DscOverride = self.ParseStruct(Struct)\r
1066 else:\r
1067 SkuList = sorted(Pcd.SkuInfoList.keys())\r
1068 for Sku in SkuList:\r
1069 SkuInfo = Pcd.SkuInfoList[Sku]\r
1070 if TypeName in ('DYNHII', 'DEXHII'):\r
1071 if SkuInfo.DefaultStoreDict:\r
1072 DefaultStoreList = sorted(SkuInfo.DefaultStoreDict.keys())\r
1073 for DefaultStore in DefaultStoreList:\r
1074 OverrideValues = Pcd.SkuOverrideValues[Sku]\r
1075 DscOverride = self.ParseStruct(OverrideValues[DefaultStore])\r
1076 if DscOverride:\r
1077 break\r
1078 else:\r
1079 OverrideValues = Pcd.SkuOverrideValues[Sku]\r
1080 if OverrideValues:\r
1081 Keys = OverrideValues.keys()\r
1082 OverrideFieldStruct = self.OverrideFieldValue(Pcd, OverrideValues[Keys[0]])\r
1083 DscOverride = self.ParseStruct(OverrideFieldStruct)\r
1084 if DscOverride:\r
1085 break\r
1086 if DscOverride:\r
1087 DscMatch = True\r
1088 DecMatch = False\r
1089\r
52302d4d
LG
1090 #\r
1091 # Report PCD item according to their override relationship\r
1092 #\r
0e6be43f 1093 if DecMatch and InfMatch:\r
643e8e4b 1094 self.PrintPcdValue(File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValBak, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, ' ')\r
0e6be43f 1095 elif BuildOptionMatch:\r
643e8e4b 1096 self.PrintPcdValue(File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValBak, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, '*B')\r
52302d4d
LG
1097 else:\r
1098 if DscMatch:\r
1099 if (Pcd.TokenCName, Key) in self.FdfPcdSet:\r
643e8e4b 1100 self.PrintPcdValue(File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValBak, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, '*F')\r
52302d4d 1101 else:\r
643e8e4b 1102 self.PrintPcdValue(File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValBak, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, '*P')\r
52302d4d 1103 else:\r
643e8e4b 1104 self.PrintPcdValue(File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValBak, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, '*M')\r
52302d4d 1105\r
4231a819 1106 if ModulePcdSet is None:\r
e651d06c
LG
1107 if IsStructure:\r
1108 continue\r
1109 if not TypeName in ('PATCH', 'FLAG', 'FIXED'):\r
1110 continue\r
763e8edf
YZ
1111 if not BuildOptionMatch:\r
1112 ModuleOverride = self.ModulePcdOverride.get((Pcd.TokenCName, Pcd.TokenSpaceGuidCName), {})\r
1113 for ModulePath in ModuleOverride:\r
1114 ModuleDefault = ModuleOverride[ModulePath]\r
1115 if Pcd.DatumType in ('UINT8', 'UINT16', 'UINT32', 'UINT64'):\r
1116 ModulePcdDefaultValueNumber = int(ModuleDefault.strip(), 0)\r
1117 Match = (ModulePcdDefaultValueNumber == PcdValueNumber)\r
1118 else:\r
1119 Match = (ModuleDefault.strip() == PcdValue.strip())\r
1120 if Match:\r
1121 continue\r
e651d06c
LG
1122 IsByteArray, ArrayList = ByteArrayForamt(ModuleDefault.strip())\r
1123 if IsByteArray:\r
1124 FileWrite(File, ' *M %-*s = %s' % (self.MaxLen + 19, ModulePath, '{'))\r
1125 for Array in ArrayList:\r
1126 FileWrite(File, '%s' % (Array))\r
1127 else:\r
1128 FileWrite(File, ' *M %-*s = %s' % (self.MaxLen + 19, ModulePath, ModuleDefault.strip()))\r
52302d4d 1129\r
4231a819 1130 if ModulePcdSet is None:\r
52302d4d
LG
1131 FileWrite(File, gSectionEnd)\r
1132 else:\r
c2d0a1f6 1133 if not ReportSubType and ModulePcdSet:\r
c8d07c5e 1134 FileWrite(File, gSubSectionEnd)\r
52302d4d 1135\r
24326f38
YZ
1136 def ParseStruct(self, struct):\r
1137 HasDscOverride = False\r
1138 if struct:\r
1139 for _, Values in struct.items():\r
1140 if Values[1] and Values[1].endswith('.dsc'):\r
1141 HasDscOverride = True\r
1142 break\r
1143 return HasDscOverride\r
52302d4d 1144\r
e651d06c 1145 def PrintPcdDefault(self, File, Pcd, IsStructure, DscMatch, DscDefaultValue, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue):\r
4231a819 1146 if not DscMatch and DscDefaultValue is not None:\r
e651d06c
LG
1147 Value = DscDefaultValue.strip()\r
1148 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1149 if IsByteArray:\r
1150 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'DSC DEFAULT', "{"))\r
1151 for Array in ArrayList:\r
1152 FileWrite(File, '%s' % (Array))\r
1153 else:\r
1154 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'DSC DEFAULT', Value))\r
4231a819 1155 if not InfMatch and InfDefaultValue is not None:\r
e651d06c
LG
1156 Value = InfDefaultValue.strip()\r
1157 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1158 if IsByteArray:\r
1159 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'INF DEFAULT', "{"))\r
1160 for Array in ArrayList:\r
1161 FileWrite(File, '%s' % (Array))\r
1162 else:\r
1163 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'INF DEFAULT', Value))\r
1164\r
4231a819 1165 if not DecMatch and DecDefaultValue is not None:\r
e651d06c
LG
1166 Value = DecDefaultValue.strip()\r
1167 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1168 if IsByteArray:\r
1169 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'DEC DEFAULT', "{"))\r
1170 for Array in ArrayList:\r
1171 FileWrite(File, '%s' % (Array))\r
1172 else:\r
1173 FileWrite(File, ' %*s = %s' % (self.MaxLen + 19, 'DEC DEFAULT', Value))\r
1174 if IsStructure:\r
1175 self.PrintStructureInfo(File, Pcd.DefaultValues)\r
24326f38
YZ
1176 if DecMatch and IsStructure:\r
1177 self.PrintStructureInfo(File, Pcd.DefaultValues)\r
e651d06c
LG
1178\r
1179 def PrintPcdValue(self, File, Pcd, PcdTokenCName, TypeName, IsStructure, DscMatch, DscDefaultValue, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue, Flag = ' '):\r
1180 if not Pcd.SkuInfoList:\r
1181 Value = Pcd.DefaultValue\r
1182 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1183 if IsByteArray:\r
1184 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '{'))\r
1185 for Array in ArrayList:\r
1186 FileWrite(File, '%s' % (Array))\r
1187 else:\r
1188 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', Value))\r
1189 if IsStructure:\r
65eff519 1190 OverrideValues = Pcd.SkuOverrideValues\r
e651d06c
LG
1191 if OverrideValues:\r
1192 Keys = OverrideValues.keys()\r
1193 Data = OverrideValues[Keys[0]]\r
1194 Struct = Data.values()[0]\r
24326f38
YZ
1195 OverrideFieldStruct = self.OverrideFieldValue(Pcd, Struct)\r
1196 self.PrintStructureInfo(File, OverrideFieldStruct)\r
e651d06c
LG
1197 self.PrintPcdDefault(File, Pcd, IsStructure, DscMatch, DscDefaultValue, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue)\r
1198 else:\r
1199 FirstPrint = True\r
1200 SkuList = sorted(Pcd.SkuInfoList.keys())\r
1201 for Sku in SkuList:\r
1202 SkuInfo = Pcd.SkuInfoList[Sku]\r
779ddcdf 1203 SkuIdName = SkuInfo.SkuIdName\r
e651d06c
LG
1204 if TypeName in ('DYNHII', 'DEXHII'):\r
1205 if SkuInfo.DefaultStoreDict:\r
1206 DefaultStoreList = sorted(SkuInfo.DefaultStoreDict.keys())\r
1207 for DefaultStore in DefaultStoreList:\r
1208 Value = SkuInfo.DefaultStoreDict[DefaultStore]\r
1209 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1210 if FirstPrint:\r
1211 FirstPrint = False\r
1212 if IsByteArray:\r
779ddcdf
YZ
1213 if self.DefaultStoreSingle and self.SkuSingle:\r
1214 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '{'))\r
1215 elif self.DefaultStoreSingle and not self.SkuSingle:\r
1216 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '{'))\r
1217 elif not self.DefaultStoreSingle and self.SkuSingle:\r
1218 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + DefaultStore + ')', '{'))\r
1219 else:\r
1220 FileWrite(File, ' %-*s : %6s %10s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '(' + DefaultStore + ')', '{'))\r
e651d06c
LG
1221 for Array in ArrayList:\r
1222 FileWrite(File, '%s' % (Array))\r
1223 else:\r
779ddcdf
YZ
1224 if self.DefaultStoreSingle and self.SkuSingle:\r
1225 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', Value))\r
1226 elif self.DefaultStoreSingle and not self.SkuSingle:\r
1227 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', Value))\r
1228 elif not self.DefaultStoreSingle and self.SkuSingle:\r
1229 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + DefaultStore + ')', Value))\r
1230 else:\r
1231 FileWrite(File, ' %-*s : %6s %10s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '(' + DefaultStore + ')', Value))\r
e651d06c
LG
1232 else:\r
1233 if IsByteArray:\r
779ddcdf
YZ
1234 if self.DefaultStoreSingle and self.SkuSingle:\r
1235 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '{'))\r
1236 elif self.DefaultStoreSingle and not self.SkuSingle:\r
1237 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '{'))\r
1238 elif not self.DefaultStoreSingle and self.SkuSingle:\r
1239 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + DefaultStore + ')', '{'))\r
1240 else:\r
1241 FileWrite(File, ' %-*s : %6s %10s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '(' + DefaultStore + ')', '{'))\r
e651d06c
LG
1242 for Array in ArrayList:\r
1243 FileWrite(File, '%s' % (Array))\r
1244 else:\r
779ddcdf
YZ
1245 if self.DefaultStoreSingle and self.SkuSingle:\r
1246 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', Value))\r
1247 elif self.DefaultStoreSingle and not self.SkuSingle:\r
1248 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', Value))\r
1249 elif not self.DefaultStoreSingle and self.SkuSingle:\r
1250 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + DefaultStore + ')', Value))\r
1251 else:\r
1252 FileWrite(File, ' %-*s : %6s %10s %10s %10s = %s' % (self.MaxLen, ' ', TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', '(' + DefaultStore + ')', Value))\r
e651d06c
LG
1253 FileWrite(File, '%*s: %s: %s' % (self.MaxLen + 4, SkuInfo.VariableGuid, SkuInfo.VariableName, SkuInfo.VariableOffset))\r
1254 if IsStructure:\r
65eff519 1255 OverrideValues = Pcd.SkuOverrideValues[Sku]\r
24326f38
YZ
1256 OverrideFieldStruct = self.OverrideFieldValue(Pcd, OverrideValues[DefaultStore])\r
1257 self.PrintStructureInfo(File, OverrideFieldStruct)\r
e651d06c 1258 self.PrintPcdDefault(File, Pcd, IsStructure, DscMatch, DscDefaultValue, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue)\r
e651d06c
LG
1259 else:\r
1260 Value = SkuInfo.DefaultValue\r
1261 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1262 if FirstPrint:\r
1263 FirstPrint = False\r
1264 if IsByteArray:\r
779ddcdf
YZ
1265 if self.SkuSingle:\r
1266 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', "{"))\r
1267 else:\r
1268 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', "{"))\r
e651d06c
LG
1269 for Array in ArrayList:\r
1270 FileWrite(File, '%s' % (Array))\r
1271 else:\r
779ddcdf
YZ
1272 if self.SkuSingle:\r
1273 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', Value))\r
1274 else:\r
1275 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, Flag + ' ' + PcdTokenCName, TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', Value))\r
e651d06c
LG
1276 else:\r
1277 if IsByteArray:\r
779ddcdf
YZ
1278 if self.SkuSingle:\r
1279 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, ' ' , TypeName, '(' + Pcd.DatumType + ')', "{"))\r
1280 else:\r
1281 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ' , TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', "{"))\r
e651d06c
LG
1282 for Array in ArrayList:\r
1283 FileWrite(File, '%s' % (Array))\r
1284 else:\r
779ddcdf
YZ
1285 if self.SkuSingle:\r
1286 FileWrite(File, ' %-*s : %6s %10s = %s' % (self.MaxLen, ' ' , TypeName, '(' + Pcd.DatumType + ')', Value))\r
1287 else:\r
1288 FileWrite(File, ' %-*s : %6s %10s %10s = %s' % (self.MaxLen, ' ' , TypeName, '(' + Pcd.DatumType + ')', '(' + SkuIdName + ')', Value))\r
1289 if TypeName in ('DYNVPD', 'DEXVPD'):\r
1290 FileWrite(File, '%*s' % (self.MaxLen + 4, SkuInfo.VpdOffset))\r
e651d06c 1291 if IsStructure:\r
65eff519 1292 OverrideValues = Pcd.SkuOverrideValues[Sku]\r
e651d06c
LG
1293 if OverrideValues:\r
1294 Keys = OverrideValues.keys()\r
24326f38
YZ
1295 OverrideFieldStruct = self.OverrideFieldValue(Pcd, OverrideValues[Keys[0]])\r
1296 self.PrintStructureInfo(File, OverrideFieldStruct)\r
e651d06c
LG
1297 self.PrintPcdDefault(File, Pcd, IsStructure, DscMatch, DscDefaultValue, InfMatch, InfDefaultValue, DecMatch, DecDefaultValue)\r
1298\r
24326f38
YZ
1299 def OverrideFieldValue(self, Pcd, OverrideStruct):\r
1300 OverrideFieldStruct = collections.OrderedDict()\r
1301 if OverrideStruct:\r
1302 for Key, Values in OverrideStruct.items():\r
1303 if Values[1] and Values[1].endswith('.dsc'):\r
1304 OverrideFieldStruct[Key] = Values\r
1305 if Pcd.PcdFieldValueFromComm:\r
1306 for Key, Values in Pcd.PcdFieldValueFromComm.items():\r
1307 OverrideFieldStruct[Key] = Values\r
1308 return OverrideFieldStruct\r
1309\r
e651d06c 1310 def PrintStructureInfo(self, File, Struct):\r
e651d06c 1311 for Key, Value in Struct.items():\r
f440f7e3
YZ
1312 if Value[1] and 'build command options' in Value[1]:\r
1313 FileWrite(File, ' *B %-*s = %s' % (self.MaxLen + 4, '.' + Key, Value[0]))\r
e651d06c 1314 else:\r
f440f7e3 1315 FileWrite(File, ' %-*s = %s' % (self.MaxLen + 4, '.' + Key, Value[0]))\r
e651d06c
LG
1316\r
1317 def StrtoHex(self, value):\r
1318 try:\r
1319 value = hex(int(value))\r
1320 return value\r
1321 except:\r
1322 if value.startswith("L\"") and value.endswith("\""):\r
1323 valuelist = []\r
1324 for ch in value[2:-1]:\r
1325 valuelist.append(hex(ord(ch)))\r
1326 valuelist.append('0x00')\r
1327 return valuelist\r
1328 elif value.startswith("\"") and value.endswith("\""):\r
1329 return hex(ord(value[1:-1]))\r
1330 elif value.startswith("{") and value.endswith("}"):\r
1331 valuelist = []\r
1332 if ',' not in value:\r
1333 return value[1:-1]\r
1334 for ch in value[1:-1].split(','):\r
1335 ch = ch.strip()\r
1336 if ch.startswith('0x') or ch.startswith('0X'):\r
1337 valuelist.append(ch)\r
1338 continue\r
1339 try:\r
1340 valuelist.append(hex(int(ch.strip())))\r
1341 except:\r
1342 pass\r
1343 return valuelist\r
1344 else:\r
1345 return value\r
52302d4d
LG
1346\r
1347##\r
1348# Reports platform and module Prediction information\r
1349#\r
1350# This class reports the platform execution order prediction section and\r
1351# module load fixed address prediction subsection in the build report file.\r
1352#\r
1353class PredictionReport(object):\r
1354 ##\r
1355 # Constructor function for class PredictionReport\r
1356 #\r
1357 # This constructor function generates PredictionReport object for the platform.\r
1358 #\r
1359 # @param self: The object pointer\r
1360 # @param Wa Workspace context information\r
1361 #\r
1362 def __init__(self, Wa):\r
1363 self._MapFileName = os.path.join(Wa.BuildDir, Wa.Name + ".map")\r
1364 self._MapFileParsed = False\r
1365 self._EotToolInvoked = False\r
1366 self._FvDir = Wa.FvDir\r
1367 self._EotDir = Wa.BuildDir\r
1368 self._FfsEntryPoint = {}\r
1369 self._GuidMap = {}\r
1370 self._SourceList = []\r
1371 self.FixedMapDict = {}\r
1372 self.ItemList = []\r
1373 self.MaxLen = 0\r
1374\r
1375 #\r
1376 # Collect all platform reference source files and GUID C Name\r
1377 #\r
1378 for Pa in Wa.AutoGenObjectList:\r
1379 for Module in Pa.LibraryAutoGenList + Pa.ModuleAutoGenList:\r
1380 #\r
40d841f6
LG
1381 # BASE typed modules are EFI agnostic, so we need not scan\r
1382 # their source code to find PPI/Protocol produce or consume\r
1383 # information.\r
1384 #\r
1385 if Module.ModuleType == "BASE":\r
1386 continue\r
1387 #\r
52302d4d
LG
1388 # Add module referenced source files\r
1389 #\r
1390 self._SourceList.append(str(Module))\r
1391 IncludeList = {}\r
1392 for Source in Module.SourceFileList:\r
1393 if os.path.splitext(str(Source))[1].lower() == ".c":\r
1394 self._SourceList.append(" " + str(Source))\r
1395 FindIncludeFiles(Source.Path, Module.IncludePathList, IncludeList)\r
1396 for IncludeFile in IncludeList.values():\r
1397 self._SourceList.append(" " + IncludeFile)\r
1398\r
1399 for Guid in Module.PpiList:\r
1400 self._GuidMap[Guid] = GuidStructureStringToGuidString(Module.PpiList[Guid])\r
1401 for Guid in Module.ProtocolList:\r
1402 self._GuidMap[Guid] = GuidStructureStringToGuidString(Module.ProtocolList[Guid])\r
1403 for Guid in Module.GuidList:\r
1404 self._GuidMap[Guid] = GuidStructureStringToGuidString(Module.GuidList[Guid])\r
1405\r
1406 if Module.Guid and not Module.IsLibrary:\r
1407 EntryPoint = " ".join(Module.Module.ModuleEntryPointList)\r
1408 if int(str(Module.AutoGenVersion), 0) >= 0x00010005:\r
1409 RealEntryPoint = "_ModuleEntryPoint"\r
1410 else:\r
1411 RealEntryPoint = EntryPoint\r
1412 if EntryPoint == "_ModuleEntryPoint":\r
1413 CCFlags = Module.BuildOption.get("CC", {}).get("FLAGS", "")\r
1414 Match = gGlueLibEntryPoint.search(CCFlags)\r
1415 if Match:\r
1416 EntryPoint = Match.group(1)\r
1417\r
1418 self._FfsEntryPoint[Module.Guid.upper()] = (EntryPoint, RealEntryPoint)\r
1419\r
1420\r
1421 #\r
1422 # Collect platform firmware volume list as the input of EOT.\r
1423 #\r
1424 self._FvList = []\r
1425 if Wa.FdfProfile:\r
1426 for Fd in Wa.FdfProfile.FdDict:\r
1427 for FdRegion in Wa.FdfProfile.FdDict[Fd].RegionList:\r
1428 if FdRegion.RegionType != "FV":\r
1429 continue\r
1430 for FvName in FdRegion.RegionDataList:\r
1431 if FvName in self._FvList:\r
1432 continue\r
1433 self._FvList.append(FvName)\r
1434 for Ffs in Wa.FdfProfile.FvDict[FvName.upper()].FfsList:\r
1435 for Section in Ffs.SectionList:\r
1436 try:\r
1437 for FvSection in Section.SectionList:\r
1438 if FvSection.FvName in self._FvList:\r
1439 continue\r
1440 self._FvList.append(FvSection.FvName)\r
1441 except AttributeError:\r
1442 pass\r
1443\r
1444\r
1445 ##\r
1446 # Parse platform fixed address map files\r
1447 #\r
1448 # This function parses the platform final fixed address map file to get\r
1449 # the database of predicted fixed address for module image base, entry point\r
1450 # etc.\r
1451 #\r
1452 # @param self: The object pointer\r
1453 #\r
1454 def _ParseMapFile(self):\r
1455 if self._MapFileParsed:\r
1456 return\r
1457 self._MapFileParsed = True\r
1458 if os.path.isfile(self._MapFileName):\r
1459 try:\r
1460 FileContents = open(self._MapFileName).read()\r
1461 for Match in gMapFileItemPattern.finditer(FileContents):\r
1462 AddressType = Match.group(1)\r
1463 BaseAddress = Match.group(2)\r
1464 EntryPoint = Match.group(3)\r
1465 Guid = Match.group(4).upper()\r
1466 List = self.FixedMapDict.setdefault(Guid, [])\r
1467 List.append((AddressType, BaseAddress, "*I"))\r
1468 List.append((AddressType, EntryPoint, "*E"))\r
1469 except:\r
1470 EdkLogger.warn(None, "Cannot open file to read", self._MapFileName)\r
1471\r
1472 ##\r
1473 # Invokes EOT tool to get the predicted the execution order.\r
1474 #\r
1475 # This function invokes EOT tool to calculate the predicted dispatch order\r
1476 #\r
1477 # @param self: The object pointer\r
1478 #\r
1479 def _InvokeEotTool(self):\r
1480 if self._EotToolInvoked:\r
1481 return\r
1482\r
1483 self._EotToolInvoked = True\r
1484 FvFileList = []\r
1485 for FvName in self._FvList:\r
1486 FvFile = os.path.join(self._FvDir, FvName + ".Fv")\r
1487 if os.path.isfile(FvFile):\r
1488 FvFileList.append(FvFile)\r
1489\r
1490 if len(FvFileList) == 0:\r
1491 return\r
1492 #\r
1493 # Write source file list and GUID file list to an intermediate file\r
1494 # as the input for EOT tool and dispatch List as the output file\r
1495 # from EOT tool.\r
1496 #\r
1497 SourceList = os.path.join(self._EotDir, "SourceFile.txt")\r
1498 GuidList = os.path.join(self._EotDir, "GuidList.txt")\r
1499 DispatchList = os.path.join(self._EotDir, "Dispatch.txt")\r
1500\r
1501 TempFile = open(SourceList, "w+")\r
1502 for Item in self._SourceList:\r
1503 FileWrite(TempFile, Item)\r
1504 TempFile.close()\r
1505 TempFile = open(GuidList, "w+")\r
1506 for Key in self._GuidMap:\r
1507 FileWrite(TempFile, "%s %s" % (Key, self._GuidMap[Key]))\r
1508 TempFile.close()\r
1509\r
1510 try:\r
1511 from Eot.Eot import Eot\r
40d841f6 1512\r
52302d4d 1513 #\r
40d841f6 1514 # Invoke EOT tool and echo its runtime performance\r
52302d4d 1515 #\r
40d841f6 1516 EotStartTime = time.time()\r
52302d4d
LG
1517 Eot(CommandLineOption=False, SourceFileList=SourceList, GuidList=GuidList,\r
1518 FvFileList=' '.join(FvFileList), Dispatch=DispatchList, IsInit=True)\r
40d841f6
LG
1519 EotEndTime = time.time()\r
1520 EotDuration = time.strftime("%H:%M:%S", time.gmtime(int(round(EotEndTime - EotStartTime))))\r
1521 EdkLogger.quiet("EOT run time: %s\n" % EotDuration)\r
1522 \r
52302d4d
LG
1523 #\r
1524 # Parse the output of EOT tool\r
1525 #\r
1526 for Line in open(DispatchList):\r
1527 if len(Line.split()) < 4:\r
1528 continue\r
1529 (Guid, Phase, FfsName, FilePath) = Line.split()\r
1530 Symbol = self._FfsEntryPoint.get(Guid, [FfsName, ""])[0]\r
1531 if len(Symbol) > self.MaxLen:\r
1532 self.MaxLen = len(Symbol)\r
1533 self.ItemList.append((Phase, Symbol, FilePath))\r
1534 except:\r
1535 EdkLogger.quiet("(Python %s on %s\n%s)" % (platform.python_version(), sys.platform, traceback.format_exc()))\r
1536 EdkLogger.warn(None, "Failed to generate execution order prediction report, for some error occurred in executing EOT.")\r
1537\r
1538\r
1539 ##\r
1540 # Generate platform execution order report\r
1541 #\r
1542 # This function generates the predicted module execution order.\r
1543 #\r
1544 # @param self The object pointer\r
1545 # @param File The file object for report\r
1546 #\r
1547 def _GenerateExecutionOrderReport(self, File):\r
1548 self._InvokeEotTool()\r
1549 if len(self.ItemList) == 0:\r
1550 return\r
1551 FileWrite(File, gSectionStart)\r
1552 FileWrite(File, "Execution Order Prediction")\r
1553 FileWrite(File, "*P PEI phase")\r
1554 FileWrite(File, "*D DXE phase")\r
1555 FileWrite(File, "*E Module INF entry point name")\r
1556 FileWrite(File, "*N Module notification function name")\r
1557\r
1558 FileWrite(File, "Type %-*s %s" % (self.MaxLen, "Symbol", "Module INF Path"))\r
1559 FileWrite(File, gSectionSep)\r
1560 for Item in self.ItemList:\r
1561 FileWrite(File, "*%sE %-*s %s" % (Item[0], self.MaxLen, Item[1], Item[2]))\r
1562\r
1563 FileWrite(File, gSectionStart)\r
1564\r
1565 ##\r
1566 # Generate Fixed Address report.\r
1567 #\r
1568 # This function generate the predicted fixed address report for a module\r
1569 # specified by Guid.\r
1570 #\r
1571 # @param self The object pointer\r
1572 # @param File The file object for report\r
1573 # @param Guid The module Guid value.\r
1574 # @param NotifyList The list of all notify function in a module\r
1575 #\r
1576 def _GenerateFixedAddressReport(self, File, Guid, NotifyList):\r
1577 self._ParseMapFile()\r
1578 FixedAddressList = self.FixedMapDict.get(Guid)\r
1579 if not FixedAddressList:\r
1580 return\r
1581\r
1582 FileWrite(File, gSubSectionStart)\r
1583 FileWrite(File, "Fixed Address Prediction")\r
1584 FileWrite(File, "*I Image Loading Address")\r
1585 FileWrite(File, "*E Entry Point Address")\r
1586 FileWrite(File, "*N Notification Function Address")\r
1587 FileWrite(File, "*F Flash Address")\r
1588 FileWrite(File, "*M Memory Address")\r
1589 FileWrite(File, "*S SMM RAM Offset")\r
1590 FileWrite(File, "TOM Top of Memory")\r
1591\r
1592 FileWrite(File, "Type Address Name")\r
1593 FileWrite(File, gSubSectionSep)\r
1594 for Item in FixedAddressList:\r
1595 Type = Item[0]\r
1596 Value = Item[1]\r
1597 Symbol = Item[2]\r
1598 if Symbol == "*I":\r
1599 Name = "(Image Base)"\r
1600 elif Symbol == "*E":\r
1601 Name = self._FfsEntryPoint.get(Guid, ["", "_ModuleEntryPoint"])[1]\r
1602 elif Symbol in NotifyList:\r
1603 Name = Symbol\r
1604 Symbol = "*N"\r
1605 else:\r
1606 continue\r
1607\r
1608 if "Flash" in Type:\r
1609 Symbol += "F"\r
1610 elif "Memory" in Type:\r
1611 Symbol += "M"\r
1612 else:\r
1613 Symbol += "S"\r
1614\r
1615 if Value[0] == "-":\r
1616 Value = "TOM" + Value\r
1617\r
1618 FileWrite(File, "%s %-16s %s" % (Symbol, Value, Name))\r
1619\r
1620 ##\r
1621 # Generate report for the prediction part\r
1622 #\r
1623 # This function generate the predicted fixed address report for a module or\r
1624 # predicted module execution order for a platform.\r
1625 # If the input Guid is None, then, it generates the predicted module execution order;\r
1626 # otherwise it generated the module fixed loading address for the module specified by\r
1627 # Guid.\r
1628 #\r
1629 # @param self The object pointer\r
1630 # @param File The file object for report\r
1631 # @param Guid The module Guid value.\r
1632 #\r
1633 def GenerateReport(self, File, Guid):\r
1634 if Guid:\r
1635 self._GenerateFixedAddressReport(File, Guid.upper(), [])\r
1636 else:\r
1637 self._GenerateExecutionOrderReport(File)\r
1638\r
1639##\r
1640# Reports FD region information\r
1641#\r
1642# This class reports the FD subsection in the build report file.\r
1643# It collects region information of platform flash device.\r
1644# If the region is a firmware volume, it lists the set of modules\r
1645# and its space information; otherwise, it only lists its region name,\r
1646# base address and size in its sub-section header.\r
1647# If there are nesting FVs, the nested FVs will list immediate after\r
1648# this FD region subsection\r
1649#\r
1650class FdRegionReport(object):\r
1651 ##\r
1652 # Discover all the nested FV name list.\r
1653 #\r
1654 # This is an internal worker function to discover the all the nested FV information\r
1655 # in the parent firmware volume. It uses deep first search algorithm recursively to\r
1656 # find all the FV list name and append them to the list.\r
1657 #\r
1658 # @param self The object pointer\r
1659 # @param FvName The name of current firmware file system\r
1660 # @param Wa Workspace context information\r
1661 #\r
1662 def _DiscoverNestedFvList(self, FvName, Wa):\r
a2432972
EC
1663 FvDictKey=FvName.upper()\r
1664 if FvDictKey in Wa.FdfProfile.FvDict:\r
1665 for Ffs in Wa.FdfProfile.FvDict[FvName.upper()].FfsList:\r
1666 for Section in Ffs.SectionList:\r
1667 try:\r
1668 for FvSection in Section.SectionList:\r
1669 if FvSection.FvName in self.FvList:\r
1670 continue\r
1671 self._GuidsDb[Ffs.NameGuid.upper()] = FvSection.FvName\r
1672 self.FvList.append(FvSection.FvName)\r
1673 self.FvInfo[FvSection.FvName] = ("Nested FV", 0, 0)\r
1674 self._DiscoverNestedFvList(FvSection.FvName, Wa)\r
1675 except AttributeError:\r
1676 pass\r
52302d4d
LG
1677\r
1678 ##\r
1679 # Constructor function for class FdRegionReport\r
1680 #\r
1681 # This constructor function generates FdRegionReport object for a specified FdRegion.\r
1682 # If the FdRegion is a firmware volume, it will recursively find all its nested Firmware\r
1683 # volume list. This function also collects GUID map in order to dump module identification\r
1684 # in the final report.\r
1685 #\r
1686 # @param self: The object pointer\r
1687 # @param FdRegion The current FdRegion object\r
1688 # @param Wa Workspace context information\r
1689 #\r
1690 def __init__(self, FdRegion, Wa):\r
1691 self.Type = FdRegion.RegionType\r
1692 self.BaseAddress = FdRegion.Offset\r
1693 self.Size = FdRegion.Size\r
1694 self.FvList = []\r
1695 self.FvInfo = {}\r
1696 self._GuidsDb = {}\r
1697 self._FvDir = Wa.FvDir\r
aebe5a36 1698 self._WorkspaceDir = Wa.WorkspaceDir\r
52302d4d
LG
1699\r
1700 #\r
1701 # If the input FdRegion is not a firmware volume,\r
1702 # we are done.\r
1703 #\r
1704 if self.Type != "FV":\r
1705 return\r
1706\r
1707 #\r
1708 # Find all nested FVs in the FdRegion\r
1709 #\r
1710 for FvName in FdRegion.RegionDataList:\r
1711 if FvName in self.FvList:\r
1712 continue\r
1713 self.FvList.append(FvName)\r
1714 self.FvInfo[FvName] = ("Fd Region", self.BaseAddress, self.Size)\r
1715 self._DiscoverNestedFvList(FvName, Wa)\r
1716\r
1717 PlatformPcds = {}\r
52302d4d
LG
1718 #\r
1719 # Collect PCDs declared in DEC files.\r
0d2711a6
LG
1720 # \r
1721 for Pa in Wa.AutoGenObjectList:\r
1722 for Package in Pa.PackageList:\r
1723 for (TokenCName, TokenSpaceGuidCName, DecType) in Package.Pcds:\r
1724 DecDefaultValue = Package.Pcds[TokenCName, TokenSpaceGuidCName, DecType].DefaultValue\r
1725 PlatformPcds[(TokenCName, TokenSpaceGuidCName)] = DecDefaultValue\r
52302d4d 1726 #\r
af9785a9 1727 # Collect PCDs defined in DSC file\r
52302d4d 1728 #\r
e651d06c
LG
1729 for Pa in Wa.AutoGenObjectList:\r
1730 for (TokenCName, TokenSpaceGuidCName) in Pa.Platform.Pcds:\r
1731 DscDefaultValue = Pa.Platform.Pcds[(TokenCName, TokenSpaceGuidCName)].DefaultValue\r
af9785a9 1732 PlatformPcds[(TokenCName, TokenSpaceGuidCName)] = DscDefaultValue\r
52302d4d
LG
1733\r
1734 #\r
1735 # Add PEI and DXE a priori files GUIDs defined in PI specification.\r
1736 #\r
1737 self._GuidsDb["1B45CC0A-156A-428A-AF62-49864DA0E6E6"] = "PEI Apriori"\r
1738 self._GuidsDb["FC510EE7-FFDC-11D4-BD41-0080C73C8881"] = "DXE Apriori"\r
1739 #\r
1740 # Add ACPI table storage file\r
1741 #\r
1742 self._GuidsDb["7E374E25-8E01-4FEE-87F2-390C23C606CD"] = "ACPI table storage"\r
1743\r
1744 for Pa in Wa.AutoGenObjectList:\r
1745 for ModuleKey in Pa.Platform.Modules:\r
1746 M = Pa.Platform.Modules[ModuleKey].M\r
05cc51ad 1747 InfPath = mws.join(Wa.WorkspaceDir, M.MetaFile.File)\r
52302d4d
LG
1748 self._GuidsDb[M.Guid.upper()] = "%s (%s)" % (M.Module.BaseName, InfPath)\r
1749\r
1750 #\r
1751 # Collect the GUID map in the FV firmware volume\r
1752 #\r
1753 for FvName in self.FvList:\r
a2432972
EC
1754 FvDictKey=FvName.upper()\r
1755 if FvDictKey in Wa.FdfProfile.FvDict:\r
1756 for Ffs in Wa.FdfProfile.FvDict[FvName.upper()].FfsList:\r
1757 try:\r
1758 #\r
1759 # collect GUID map for binary EFI file in FDF file.\r
1760 #\r
1761 Guid = Ffs.NameGuid.upper()\r
1762 Match = gPcdGuidPattern.match(Ffs.NameGuid)\r
1763 if Match:\r
1764 PcdTokenspace = Match.group(1)\r
1765 PcdToken = Match.group(2)\r
1766 if (PcdToken, PcdTokenspace) in PlatformPcds:\r
1767 GuidValue = PlatformPcds[(PcdToken, PcdTokenspace)]\r
1768 Guid = GuidStructureByteArrayToGuidString(GuidValue).upper()\r
1769 for Section in Ffs.SectionList:\r
1770 try:\r
1771 ModuleSectFile = mws.join(Wa.WorkspaceDir, Section.SectFileName)\r
1772 self._GuidsDb[Guid] = ModuleSectFile\r
1773 except AttributeError:\r
1774 pass\r
1775 except AttributeError:\r
1776 pass\r
52302d4d
LG
1777\r
1778\r
1779 ##\r
1780 # Internal worker function to generate report for the FD region\r
1781 #\r
1782 # This internal worker function to generate report for the FD region.\r
1783 # It the type is firmware volume, it lists offset and module identification.\r
1784 #\r
1785 # @param self The object pointer\r
1786 # @param File The file object for report\r
1787 # @param Title The title for the FD subsection\r
1788 # @param BaseAddress The base address for the FD region\r
1789 # @param Size The size of the FD region\r
1790 # @param FvName The FV name if the FD region is a firmware volume\r
1791 #\r
1792 def _GenerateReport(self, File, Title, Type, BaseAddress, Size=0, FvName=None):\r
1793 FileWrite(File, gSubSectionStart)\r
1794 FileWrite(File, Title)\r
1795 FileWrite(File, "Type: %s" % Type)\r
1796 FileWrite(File, "Base Address: 0x%X" % BaseAddress)\r
1797\r
1798 if self.Type == "FV":\r
1799 FvTotalSize = 0\r
1800 FvTakenSize = 0\r
1801 FvFreeSize = 0\r
aebe5a36
YZ
1802 if FvName.upper().endswith('.FV'):\r
1803 FileExt = FvName + ".txt"\r
2157bc9c 1804 else:\r
aebe5a36
YZ
1805 FileExt = FvName + ".Fv.txt"\r
1806\r
1807 if not os.path.isfile(FileExt):\r
1808 FvReportFileName = mws.join(self._WorkspaceDir, FileExt)\r
1809 if not os.path.isfile(FvReportFileName):\r
1810 FvReportFileName = os.path.join(self._FvDir, FileExt)\r
52302d4d
LG
1811 try:\r
1812 #\r
1813 # Collect size info in the firmware volume.\r
1814 #\r
1815 FvReport = open(FvReportFileName).read()\r
1816 Match = gFvTotalSizePattern.search(FvReport)\r
1817 if Match:\r
1818 FvTotalSize = int(Match.group(1), 16)\r
1819 Match = gFvTakenSizePattern.search(FvReport)\r
1820 if Match:\r
1821 FvTakenSize = int(Match.group(1), 16)\r
1822 FvFreeSize = FvTotalSize - FvTakenSize\r
1823 #\r
1824 # Write size information to the report file.\r
1825 #\r
1826 FileWrite(File, "Size: 0x%X (%.0fK)" % (FvTotalSize, FvTotalSize / 1024.0))\r
1827 FileWrite(File, "Fv Name: %s (%.1f%% Full)" % (FvName, FvTakenSize * 100.0 / FvTotalSize))\r
1828 FileWrite(File, "Occupied Size: 0x%X (%.0fK)" % (FvTakenSize, FvTakenSize / 1024.0))\r
1829 FileWrite(File, "Free Size: 0x%X (%.0fK)" % (FvFreeSize, FvFreeSize / 1024.0))\r
1830 FileWrite(File, "Offset Module")\r
1831 FileWrite(File, gSubSectionSep)\r
1832 #\r
1833 # Write module offset and module identification to the report file.\r
1834 #\r
1835 OffsetInfo = {}\r
1836 for Match in gOffsetGuidPattern.finditer(FvReport):\r
1837 Guid = Match.group(2).upper()\r
1838 OffsetInfo[Match.group(1)] = self._GuidsDb.get(Guid, Guid)\r
1839 OffsetList = OffsetInfo.keys()\r
1840 OffsetList.sort()\r
1841 for Offset in OffsetList:\r
1842 FileWrite (File, "%s %s" % (Offset, OffsetInfo[Offset]))\r
1843 except IOError:\r
1844 EdkLogger.warn(None, "Fail to read report file", FvReportFileName)\r
1845 else:\r
1846 FileWrite(File, "Size: 0x%X (%.0fK)" % (Size, Size / 1024.0))\r
1847 FileWrite(File, gSubSectionEnd)\r
1848\r
1849 ##\r
1850 # Generate report for the FD region\r
1851 #\r
1852 # This function generates report for the FD region.\r
1853 #\r
1854 # @param self The object pointer\r
1855 # @param File The file object for report\r
1856 #\r
1857 def GenerateReport(self, File):\r
1858 if (len(self.FvList) > 0):\r
1859 for FvItem in self.FvList:\r
1860 Info = self.FvInfo[FvItem]\r
1861 self._GenerateReport(File, Info[0], "FV", Info[1], Info[2], FvItem)\r
1862 else:\r
1863 self._GenerateReport(File, "FD Region", self.Type, self.BaseAddress, self.Size)\r
1864\r
1865##\r
1866# Reports FD information\r
1867#\r
1868# This class reports the FD section in the build report file.\r
1869# It collects flash device information for a platform.\r
1870#\r
1871class FdReport(object):\r
1872 ##\r
1873 # Constructor function for class FdReport\r
1874 #\r
1875 # This constructor function generates FdReport object for a specified\r
1876 # firmware device.\r
1877 #\r
1878 # @param self The object pointer\r
1879 # @param Fd The current Firmware device object\r
1880 # @param Wa Workspace context information\r
1881 #\r
1882 def __init__(self, Fd, Wa):\r
1883 self.FdName = Fd.FdUiName\r
1884 self.BaseAddress = Fd.BaseAddress\r
1885 self.Size = Fd.Size\r
1886 self.FdRegionList = [FdRegionReport(FdRegion, Wa) for FdRegion in Fd.RegionList]\r
fb3d2279
YZ
1887 self.FvPath = os.path.join(Wa.BuildDir, "FV")\r
1888 self.VpdFilePath = os.path.join(self.FvPath, "%s.map" % Wa.Platform.VpdToolGuid)\r
043928da
YZ
1889 self.VPDBaseAddress = 0\r
1890 self.VPDSize = 0\r
fb3d2279
YZ
1891 self.VPDInfoList = []\r
1892 for index, FdRegion in enumerate(Fd.RegionList):\r
043928da 1893 if str(FdRegion.RegionType) is 'FILE' and Wa.Platform.VpdToolGuid in str(FdRegion.RegionDataList):\r
fb3d2279
YZ
1894 self.VPDBaseAddress = self.FdRegionList[index].BaseAddress\r
1895 self.VPDSize = self.FdRegionList[index].Size\r
1896 break\r
1897\r
1898 if os.path.isfile(self.VpdFilePath):\r
1899 fd = open(self.VpdFilePath, "r")\r
1900 Lines = fd.readlines()\r
1901 for Line in Lines:\r
1902 Line = Line.strip()\r
1903 if len(Line) == 0 or Line.startswith("#"):\r
1904 continue\r
1905 try:\r
1906 PcdName, SkuId, Offset, Size, Value = Line.split("#")[0].split("|")\r
1907 PcdName, SkuId, Offset, Size, Value = PcdName.strip(), SkuId.strip(), Offset.strip(), Size.strip(), Value.strip()\r
8401d398
YZ
1908 if Offset.lower().startswith('0x'):\r
1909 Offset = '0x%08X' % (int(Offset, 16) + self.VPDBaseAddress)\r
1910 else:\r
1911 Offset = '0x%08X' % (int(Offset, 10) + self.VPDBaseAddress)\r
fb3d2279
YZ
1912 self.VPDInfoList.append("%s | %s | %s | %s | %s" % (PcdName, SkuId, Offset, Size, Value))\r
1913 except:\r
1914 EdkLogger.error("BuildReport", CODE_ERROR, "Fail to parse VPD information file %s" % self.VpdFilePath)\r
1915 fd.close()\r
52302d4d
LG
1916\r
1917 ##\r
1918 # Generate report for the firmware device.\r
1919 #\r
1920 # This function generates report for the firmware device.\r
1921 #\r
1922 # @param self The object pointer\r
1923 # @param File The file object for report\r
1924 #\r
1925 def GenerateReport(self, File):\r
1926 FileWrite(File, gSectionStart)\r
1927 FileWrite(File, "Firmware Device (FD)")\r
1928 FileWrite(File, "FD Name: %s" % self.FdName)\r
1929 FileWrite(File, "Base Address: %s" % self.BaseAddress)\r
1930 FileWrite(File, "Size: 0x%X (%.0fK)" % (self.Size, self.Size / 1024.0))\r
1931 if len(self.FdRegionList) > 0:\r
1932 FileWrite(File, gSectionSep)\r
1933 for FdRegionItem in self.FdRegionList:\r
1934 FdRegionItem.GenerateReport(File)\r
1935\r
fb3d2279
YZ
1936 if len(self.VPDInfoList) > 0:\r
1937 FileWrite(File, gSubSectionStart)\r
1938 FileWrite(File, "FD VPD Region")\r
1939 FileWrite(File, "Base Address: 0x%X" % self.VPDBaseAddress)\r
1940 FileWrite(File, "Size: 0x%X (%.0fK)" % (self.VPDSize, self.VPDSize / 1024.0))\r
1941 FileWrite(File, gSubSectionSep)\r
1942 for item in self.VPDInfoList:\r
e651d06c
LG
1943 ValueList = item.split('|')\r
1944 Value = ValueList[-1].strip()\r
1945 IsByteArray, ArrayList = ByteArrayForamt(Value)\r
1946 if IsByteArray:\r
1947 ValueList[-1] = ' {'\r
1948 FileWrite(File, '|'.join(ValueList))\r
1949 for Array in ArrayList:\r
1950 FileWrite(File, '%s' % (Array))\r
1951 else:\r
1952 FileWrite(File, item)\r
fb3d2279 1953 FileWrite(File, gSubSectionEnd)\r
52302d4d
LG
1954 FileWrite(File, gSectionEnd)\r
1955\r
1956\r
1957\r
1958##\r
1959# Reports platform information\r
1960#\r
1961# This class reports the whole platform information\r
1962#\r
1963class PlatformReport(object):\r
1964 ##\r
1965 # Constructor function for class PlatformReport\r
1966 #\r
1967 # This constructor function generates PlatformReport object a platform build.\r
1968 # It generates report for platform summary, flash, global PCDs and detailed\r
1969 # module information for modules involved in platform build.\r
1970 #\r
1971 # @param self The object pointer\r
1972 # @param Wa Workspace context information\r
d5d56f1b 1973 # @param MaList The list of modules in the platform build\r
52302d4d 1974 #\r
d5d56f1b 1975 def __init__(self, Wa, MaList, ReportType):\r
52302d4d
LG
1976 self._WorkspaceDir = Wa.WorkspaceDir\r
1977 self.PlatformName = Wa.Name\r
1978 self.PlatformDscPath = Wa.Platform\r
1979 self.Architectures = " ".join(Wa.ArchList)\r
1980 self.ToolChain = Wa.ToolChain\r
1981 self.Target = Wa.BuildTarget\r
1982 self.OutputPath = os.path.join(Wa.WorkspaceDir, Wa.OutputDir)\r
1983 self.BuildEnvironment = platform.platform()\r
1984\r
1985 self.PcdReport = None\r
1986 if "PCD" in ReportType:\r
1987 self.PcdReport = PcdReport(Wa)\r
1988\r
1989 self.FdReportList = []\r
4231a819 1990 if "FLASH" in ReportType and Wa.FdfProfile and MaList is None:\r
52302d4d
LG
1991 for Fd in Wa.FdfProfile.FdDict:\r
1992 self.FdReportList.append(FdReport(Wa.FdfProfile.FdDict[Fd], Wa))\r
1993\r
1994 self.PredictionReport = None\r
1995 if "FIXED_ADDRESS" in ReportType or "EXECUTION_ORDER" in ReportType:\r
1996 self.PredictionReport = PredictionReport(Wa)\r
1997\r
e56468c0 1998 self.DepexParser = None\r
1999 if "DEPEX" in ReportType:\r
2000 self.DepexParser = DepexParser(Wa)\r
2001 \r
52302d4d 2002 self.ModuleReportList = []\r
4231a819 2003 if MaList is not None:\r
636f2be6 2004 self._IsModuleBuild = True\r
d5d56f1b
LG
2005 for Ma in MaList:\r
2006 self.ModuleReportList.append(ModuleReport(Ma, ReportType))\r
2007 else:\r
636f2be6 2008 self._IsModuleBuild = False\r
d5d56f1b 2009 for Pa in Wa.AutoGenObjectList:\r
25193a33 2010 ModuleAutoGenList = []\r
d5d56f1b 2011 for ModuleKey in Pa.Platform.Modules:\r
25193a33 2012 ModuleAutoGenList.append(Pa.Platform.Modules[ModuleKey].M)\r
4231a819 2013 if GlobalData.gFdfParser is not None:\r
25193a33
YZ
2014 if Pa.Arch in GlobalData.gFdfParser.Profile.InfDict:\r
2015 INFList = GlobalData.gFdfParser.Profile.InfDict[Pa.Arch]\r
2016 for InfName in INFList:\r
2017 InfClass = PathClass(NormPath(InfName), Wa.WorkspaceDir, Pa.Arch)\r
2018 Ma = ModuleAutoGen(Wa, InfClass, Pa.BuildTarget, Pa.ToolChain, Pa.Arch, Wa.MetaFile)\r
4231a819 2019 if Ma is None:\r
25193a33
YZ
2020 continue\r
2021 if Ma not in ModuleAutoGenList:\r
2022 ModuleAutoGenList.append(Ma)\r
2023 for MGen in ModuleAutoGenList:\r
2024 self.ModuleReportList.append(ModuleReport(MGen, ReportType))\r
52302d4d
LG
2025\r
2026\r
2027\r
2028 ##\r
2029 # Generate report for the whole platform.\r
2030 #\r
2031 # This function generates report for platform information.\r
2032 # It comprises of platform summary, global PCD, flash and\r
2033 # module list sections.\r
2034 #\r
2035 # @param self The object pointer\r
2036 # @param File The file object for report\r
2037 # @param BuildDuration The total time to build the modules\r
1b8eca8b
YZ
2038 # @param AutoGenTime The total time of AutoGen Phase\r
2039 # @param MakeTime The total time of Make Phase\r
2040 # @param GenFdsTime The total time of GenFds Phase\r
52302d4d
LG
2041 # @param ReportType The kind of report items in the final report file\r
2042 #\r
1b8eca8b 2043 def GenerateReport(self, File, BuildDuration, AutoGenTime, MakeTime, GenFdsTime, ReportType):\r
52302d4d
LG
2044 FileWrite(File, "Platform Summary")\r
2045 FileWrite(File, "Platform Name: %s" % self.PlatformName)\r
2046 FileWrite(File, "Platform DSC Path: %s" % self.PlatformDscPath)\r
2047 FileWrite(File, "Architectures: %s" % self.Architectures)\r
2048 FileWrite(File, "Tool Chain: %s" % self.ToolChain)\r
2049 FileWrite(File, "Target: %s" % self.Target)\r
e651d06c
LG
2050 if GlobalData.gSkuids:\r
2051 FileWrite(File, "SKUID: %s" % " ".join(GlobalData.gSkuids))\r
2052 if GlobalData.gDefaultStores:\r
2053 FileWrite(File, "DefaultStore: %s" % " ".join(GlobalData.gDefaultStores))\r
52302d4d
LG
2054 FileWrite(File, "Output Path: %s" % self.OutputPath)\r
2055 FileWrite(File, "Build Environment: %s" % self.BuildEnvironment)\r
2056 FileWrite(File, "Build Duration: %s" % BuildDuration)\r
1b8eca8b
YZ
2057 if AutoGenTime:\r
2058 FileWrite(File, "AutoGen Duration: %s" % AutoGenTime)\r
2059 if MakeTime:\r
2060 FileWrite(File, "Make Duration: %s" % MakeTime)\r
2061 if GenFdsTime:\r
2062 FileWrite(File, "GenFds Duration: %s" % GenFdsTime)\r
52302d4d
LG
2063 FileWrite(File, "Report Content: %s" % ", ".join(ReportType))\r
2064\r
2a29017e
YZ
2065 if GlobalData.MixedPcd:\r
2066 FileWrite(File, gSectionStart)\r
2067 FileWrite(File, "The following PCDs use different access methods:")\r
2068 FileWrite(File, gSectionSep)\r
2069 for PcdItem in GlobalData.MixedPcd:\r
2070 FileWrite(File, "%s.%s" % (str(PcdItem[1]), str(PcdItem[0])))\r
2071 FileWrite(File, gSectionEnd)\r
2072\r
636f2be6
LG
2073 if not self._IsModuleBuild:\r
2074 if "PCD" in ReportType:\r
2075 self.PcdReport.GenerateReport(File, None)\r
2076 \r
2077 if "FLASH" in ReportType:\r
2078 for FdReportListItem in self.FdReportList:\r
2079 FdReportListItem.GenerateReport(File)\r
52302d4d
LG
2080\r
2081 for ModuleReportItem in self.ModuleReportList:\r
e56468c0 2082 ModuleReportItem.GenerateReport(File, self.PcdReport, self.PredictionReport, self.DepexParser, ReportType)\r
52302d4d 2083\r
636f2be6
LG
2084 if not self._IsModuleBuild:\r
2085 if "EXECUTION_ORDER" in ReportType:\r
2086 self.PredictionReport.GenerateReport(File, None)\r
52302d4d
LG
2087\r
2088## BuildReport class\r
2089#\r
2090# This base class contain the routines to collect data and then\r
2091# applies certain format to the output report\r
2092#\r
2093class BuildReport(object):\r
2094 ##\r
2095 # Constructor function for class BuildReport\r
2096 #\r
2097 # This constructor function generates BuildReport object a platform build.\r
2098 # It generates report for platform summary, flash, global PCDs and detailed\r
2099 # module information for modules involved in platform build.\r
2100 #\r
2101 # @param self The object pointer\r
2102 # @param ReportFile The file name to save report file\r
2103 # @param ReportType The kind of report items in the final report file\r
2104 #\r
2105 def __init__(self, ReportFile, ReportType):\r
2106 self.ReportFile = ReportFile\r
2107 if ReportFile:\r
2108 self.ReportList = []\r
2109 self.ReportType = []\r
2110 if ReportType: \r
2111 for ReportTypeItem in ReportType:\r
2112 if ReportTypeItem not in self.ReportType:\r
2113 self.ReportType.append(ReportTypeItem)\r
2114 else:\r
eca5be7a 2115 self.ReportType = ["PCD", "LIBRARY", "BUILD_FLAGS", "DEPEX", "HASH", "FLASH", "FIXED_ADDRESS"]\r
52302d4d
LG
2116 ##\r
2117 # Adds platform report to the list\r
2118 #\r
2119 # This function adds a platform report to the final report list.\r
2120 #\r
2121 # @param self The object pointer\r
2122 # @param Wa Workspace context information\r
d5d56f1b 2123 # @param MaList The list of modules in the platform build\r
52302d4d 2124 #\r
d5d56f1b 2125 def AddPlatformReport(self, Wa, MaList=None):\r
52302d4d 2126 if self.ReportFile:\r
d5d56f1b 2127 self.ReportList.append((Wa, MaList))\r
52302d4d
LG
2128\r
2129 ##\r
2130 # Generates the final report.\r
2131 #\r
2132 # This function generates platform build report. It invokes GenerateReport()\r
2133 # method for every platform report in the list.\r
2134 #\r
2135 # @param self The object pointer\r
2136 # @param BuildDuration The total time to build the modules\r
1b8eca8b
YZ
2137 # @param AutoGenTime The total time of AutoGen phase\r
2138 # @param MakeTime The total time of Make phase\r
2139 # @param GenFdsTime The total time of GenFds phase\r
52302d4d 2140 #\r
1b8eca8b 2141 def GenerateReport(self, BuildDuration, AutoGenTime, MakeTime, GenFdsTime):\r
52302d4d
LG
2142 if self.ReportFile:\r
2143 try:\r
40d841f6 2144 File = StringIO('')\r
d5d56f1b 2145 for (Wa, MaList) in self.ReportList:\r
1b8eca8b 2146 PlatformReport(Wa, MaList, self.ReportType).GenerateReport(File, BuildDuration, AutoGenTime, MakeTime, GenFdsTime, self.ReportType)\r
64b2609f
LG
2147 Content = FileLinesSplit(File.getvalue(), gLineMaxLength)\r
2148 SaveFileOnChange(self.ReportFile, Content, True)\r
40d841f6 2149 EdkLogger.quiet("Build report can be found at %s" % os.path.abspath(self.ReportFile))\r
52302d4d
LG
2150 except IOError:\r
2151 EdkLogger.error(None, FILE_WRITE_FAILURE, ExtraData=self.ReportFile)\r
2152 except:\r
2153 EdkLogger.error("BuildReport", CODE_ERROR, "Unknown fatal error when generating build report", ExtraData=self.ReportFile, RaiseError=False)\r
2154 EdkLogger.quiet("(Python %s on %s\n%s)" % (platform.python_version(), sys.platform, traceback.format_exc()))\r
2155 File.close()\r
636f2be6 2156 \r
52302d4d
LG
2157# This acts like the main() function for the script, unless it is 'import'ed into another script.\r
2158if __name__ == '__main__':\r
2159 pass\r
2160\r