From 1b0ea113a3fc6189d1780398c806ac12b53e3e33 Mon Sep 17 00:00:00 2001 From: jwang36 Date: Tue, 9 Dec 2008 07:13:26 +0000 Subject: [PATCH] 1) Separate the AutoGen code for PCDs between module and its library instances. Only macros for module's PCDs will be put in AutoGen.h. Others will be put in AutoGen.c. This is intended to catch missed PCDs in inf file more easily. 2) For better compatibile between C standards or C compiler, add type cast for PCD constants in AutoGen.h according to the PCD datum type. 3) Add incremental generation capability for FV in GenFds tool. git-svn-id: https://edk2.svn.sourceforge.net/svnroot/edk2/trunk/edk2@6930 6f19259b-4bc3-4df7-8a09-765794883524 --- BaseTools/Bin/Win32/GenFds.exe | Bin 1394366 -> 1395637 bytes BaseTools/Bin/Win32/build.exe | Bin 1947436 -> 1949733 bytes 2 files changed, 0 insertions(+), 0 deletions(-) diff --git a/BaseTools/Bin/Win32/GenFds.exe b/BaseTools/Bin/Win32/GenFds.exe index 4d88eb1fe019b7f089333fc484b0b0e35c81bff0..b43ef6a95ad2ed4fe6e52410e52c3f686e70fe04 100755 GIT binary patch delta 10887 zcmb_C33OCNwpH(Scizj^sdQdCdpZH6SrQ0K2n0d`2?`_u9ta3(2NpCHB8%vQ8PQ=7b<`0B6~zUY!;UV{sdgU>#50OORrrsvO4eHZzeV{M#ae(8y{SAvCiPgswv{v2j^#L%`=j*y8uh?Gw7n6I|d4U)vOE6nmDmgu7XIk=jZ3QQ`1x1++2I@Ko8b(5tl1*p>T7cv0fr8yRj{efx4j2?}_w=qj3Lm zQ>Ii_lvU$-8Jl5VUV*1zY(iP(^omg7#mMWp5PdY6XpnQwOq`4I$3tFyu~ja#NUdj~ zzqQuquL~@xZ5Ze|TcKrxb>Z3uV#0V&!@%0c`j*=I2s3KK`Cj60sPhDp?~)2HsV6M` zLS%T&0<414}JW=o+|F>h0->!hn#(O*T%7%3pP&K zo5;2GoqB2{OFD&#{trt7%-TN8OKRH#w}Kd(^q2kA^fxV<9n0%gjVN!YB->vt&O} z%ndsasYAzTtPPDSR+>r@+KtA1sPzh~SJ;Sljgd~bsVpcWU3ON_yYV*g98}$IRQb4` z^P^ZBj#D7-pjzzBA`>dVDw(zGj21^b)H@endWy{=s?el0*!8UXJ{L!<=3)5oRrL#g>!xFBf9t!~RGsrXsLtDAz%jKtVHqCU zOL}0EshPLJnqz7pFog6GXWBdsS{`?KqQ%?2>cF~<|d$Uj;n6C@ojZBH{itEYH>^lb)s8l z=8n~#6Dk%P7CRP)gYc0@D0u?%(UXKSLxAsRIV-7Rig z6VD)cM0?YQ`e=iRuWA9XUeyNMrAL8JU)8?JmR^*MT58Mmz;%AKRdW|2%vcmYeE*u3 zpH1g9(+TKg1?2CV>U>jc1I>{^rAM_AUJe~cwbWF%lE5=$L90g1O5m|v=Se)#+Idv7 zRK6Up{6R~Hbp`rxu-?=LL4HtoOlwCAVx4Ejx{O!BOVDH_+g4&9ok5PkqaiYe1&{}} z2ruc}h(qH*CSjye7z{hET_4?zhW*F2#we3W4=~7oOPd30-_lYPa~J&fEp2l)IWdOB zYLfH}Jc7<0l6VIZ53}CZp5TvIaVNBUINt}4yrVtMj{-J5)~)Yqr#L?e*S)V5@od=r zm6if8y{}nO(bA;1z?zdhWOIG;IZP>8$7OU_p?FBo3+B);KwpHb4tgYW_9V$<= z(*C9WpzvbL{exDe@;b|ZMq6d$w^?7R`nL){WPRw+V>$l<{vM^jsGN?1U32whR4je6 z$~7w^R*zTtC~JUIpT~KPbz6eIlk;Y4bfSJH78e@Xf*ILwD|4QMDtXX~ahd5tn z{p8i>+W5oPoIKsj`8(FieEnh0KezG*>lvK?+nQ9MZ{$4AdVh$XZRdTgpGN2bB5q-^ zeuDFBtnp*?k+HZ=`$YY3@#xllv-S75@>C3*iqexIFTth5{5kq9%1aV60fx-g+xQ7< z=Un{-8~@B|ou{8txv&=c^g#|j!`i$^56AG$R@^eZufmh8;jQ{xocFWhuhSPRe2jHn zo1S9hms=Z`>n9XEPUA{_rNTd0{mm*JOEi`kEU{SPtZ!Dur>+}L&SmG}&#t-?(;6xy zxe3d9c$MzVll)F8me6*%Z?WjTF{^SwlEM}{Sc8MjabOaO!AfO|qrg|>%86}=VRK>- ziG8s!ZA7BM;~>Uu41=GNoH^i5j!v_(4QCCvlO21Zv?3uXO(szVbrj|(%ZkAwz1R>1 zb|*Vmc3?Qf*ws4utgV$_RVu@eF0GDihyoy)8b%|H1<=sY=}@G@zIYaJ5`BnrhZC5a z@JsJtvsx?UY664VDAtaiFk-{f1D>}|$Hf;qi4iYRF65FBcS|Cp2^(ysif%NS1>7u< z!Y~61q{!t!d6)=xFXvb7sLa zY0kVHIiRi~81l>9+>FJ^a?x=u3l{jBO&!#9=j3?OCQLjg1RDI9d_ZNovtv>T;zCmq zFeRI(C`n4HEkTJx9~;da95ojGZ4{4HspZ6kP_~o|dpeIsj~s_{TsT(6VB&zd3}+5M z41+S9UN=ToJU+6$2GcO)2I``Y*ChyNI6Yj2U*i~zem*-K%y9NeLYAXMRF>=xqi#yQ zoB*dYoM{U+mU>nldPmeDvJkFiCwJ__{_aUKd?PaY!jmGqMEbiYEj@}>a1`1yof)!em{_aXiXbF-Wt z5ituv!3CM(_POA;EN2Q&f(Nslg_s4so8`=nPeu_`%Pc=X(73=#%y#;CsRxl}4uM<( zSeV(1Kmq|ugu~=2rpxQl#3?e4nie`3m}DyO}Oe4=SXBvBv(oWrgsr%(3A z(?Ab_OAsKtu)Ae`Q**G+9}1ZzFfP~Wh2u{r=E7J{w4s=paR2jMt_jcPI+G1LdMv6C z{#OVl(Ert6<~p$?SYPIfMVG~qm;U;ime+SIWo!q`Uo85%&v_J}O-pIxSI9Nb>S)l} z9Gx{pvpLad!Zzl|yDOT%o!xZyi2x<+VE$N%jq1XpZ_qlidKVVu9o>nI>B2ghKS5qF z&U;0mC;>AXwZwQ8YtlByHBhpDZ-eL~es=lVcBnzv_;7f(Nz6|so=eM}*odiZSl-`E z4~$+a=JBae1_>_MwN#XH4}85;%;Yl&m9cT&Em5$zSqyY!<8+kzJv&=sOO8RQ{Ft{ps+Xu^_G5} z7^xUU7dm?cXYd~e4V+sBv)aV4m~m7ePoNw&wTVCqyOxnwEk1OlUAeY?m&A@67xoA_N&euMbHAuj}FaNn;*dRjS+ z>Y~6)_R+apO@~P7*Uh#H>Z&48O`vQ4PAM$ygO{c=OU2kkVsK5le?g$pAGv!Sv~0^o z2k+c?&5a^ArpLzc$sV)U_h5AMxEBLx4CJ zmg#7NzqT`wg zCOdJzmlb`xxLKJ?9Kj1Yc6Mvv1w2ONd_;`dNC4k$&TdS((Rn_@l$#BS_{^dWB9XUR zlQ)R9oX>%`H;O*fohj9^11&Do$ogE zE}fV5ba8b*>(iy(OFbhE{VgonBsS!Cvr-z=#TNgW?*NP;3H%XQ58`y^3D$2GLmYI1 zP%qeVT=c2#*TZ3vuAMKq$%O0PvVxjb1pb5Lg0)*jJl_jk-+!HlwTHJ4XUuAVZh+N^}y9U{frjgvVKSqJVCnaZW- zxdzh9$n)89su4rY8wso+u#$j{fbGnsl#|7IS5Mv#W(EP{Xj$NWkqLZNHcNah#@W$^%$5ceVymbOtLQ-X{E1gH+R6aM}?>3GD4Gk3y|`9y$B-SOj_3>YD$-}m}=d6(?HEG z|7I?srqmDVy)e~0`=%)!SSmHs2*@y;PBocp<8$6W9u-#_(x#>RklsAvw@5j%Cp&3y z7J*Cx1C^Hm-x*g)Y%Fz?GSC!(dAo}kBKIVf8-0! z3A`Wg6!qiIv(;aYzT|;qK$Ik%W4~QKU>$tCOFTvU?G`ze<7h@Cy}?=}!;s9Ae2|=x zRv;NAStc1T(-$cWVsd9ne^=_QulXP{f!MW}u631n%kz$h)OMy-MMD}PY zA9C+fDvN@FMtRvbe^2B^5unUE!bd4&sRUB+1L5m^qF3H->Ys){=73GXCYkP={!mLp zIFjec6+ASo7|QmGzK$}gABx!XlURSi`qO@~PC+?Lc?uJ*9&&)Tr-ZlTc^dx$f`}Bm zo3V(K338~ZArS77G?PKrOqp8K!!*w0iDX+IElg(Ml}GhpO$P9a)~05>>IH(0lvqQr z10vNSm33c)=z}=$ly&C;(b|AoN4yx#?=&!Z%e(!s=$kf?m_ZU}_?55Pdnv72N5BWK9v0r- zQq;d9#BBuD5?DuIHGx|QY=DgCL?6eUSP$nwaD24KQGI!3rMbyk@|?&~_$ur67sP(< zmv;6v&3KW3bjE$DHjuy-1jy!1(rS~mBoYr_rP^x*juMdJqC0b*PdG`nbSm4=kC9}H zA6fcKVwn;Xi8P4to=u+b|EriGgUkx+^k2o_xpBcD13w-Sy*mVL^#2$@x73i{(4N*_pq|rH0+o4Q7WKRs-CJ?(<;hiE^P_mmnZrjw;ztZE{4mG za51#Bs8>O6tM~)l9|96Y|%cn)ed;ErACJ+(+Pk0viatL4eHMe3QT?0>=q#MS!=tgB8(9G1Bxm6C#BWZdiXp z*o&m?pJ(y^qN&7_h)qieP)f~TFz5fq{s8oQNA%-^Vfs75>yUP-!;SBVTzoWHKPNE< z4!0f!Z4#14>GXXekq+0qD-tu(u#cV|ojh9p z&xlVxp8QGj8FowZ~ z+{{5nnz{f~NVrqYgaZXeEG!>l*q|QqO2KAWImjp}3T?$t*?|y!Ae%awD@lyfIDC9X zww&|R4vFmq!yZ?GzpSQ!7>qo~e;-N)8-=!gYita1$_)3W0^DWeVBBT@7T7u17@@rU z419(|l-K_To&uv#opj0uAAV``z_kTNe`WOR@L+*4PI=@L_`JXvqip#KyhHF@KMaAZ zh9GnQH4N?>ViYUCDuMS1f3y-3hZ;k4qr<@(!%eke;3Wo%=l6~Taua9&DUZPoLye*8 z_q!Z$`wmA2ygC&3n)M?5FckM__#TRfp;Y!f4=wa}%jd9jn33wCgP;H7gw7T5F(L?` z4Kqfn53bQ6^Jd*0;p(NsjV#4?E7T9iBjOK3?j3HFDA%XJN&0(DZ^$k*#wt5!!@NRc ze9YE)(X1g@Ur!?5RbZq-X(4j!r9$M^=_L4+hHe-E-VsRC6d%kVVT@Gb?|^$o;JJ@& zhNB~Jiw}1~bdfPa`{O6Ej6AhuI?O9VDzzIHS3Avc^Hg|uDW7hJ{0n7Ed)PWp9V6TWDpExa-S zc_H=lx?<$TzB1TUjDzo=1}_#PRXcA0+i0UyZ@`bbc!&vj=wg)lw}+fPWq$b!aKmV{ zkn$H{FOF80{Tsfc_JO`Ia108=H5eMk7?&yQu7oGYpk_^44*wd1oJ?Jtz(URb8YmrR z7?L;BN|1;vPr#BABPHjY&lO7QOYf6;OjE9 zK5I1eABS6iHo*n=kH-hQ<>Sz72Tz0@h*kI`I5o~F)XVWlmgW8?e`~i5PaJQgY4J@i zbV+Dr(pz;PN?CU;+%+D_ym2|aJ08gt_d#+wvib0Ks4O=oD~I;MmU5#=UGcFCw!iPn zijetJIg+{Pj0@JEc47WZ{%C9kqI$6;m{)<*Uyg=N6)2Ub;^6HHr2CE2kT?NP`*4&2 dM-(F~ax|$UZ4;1;e(A7zg5hyIJcup;{{wd@YykiO delta 10097 zcmb_Cd3;nw(%o;)H#1?TGkH15K_D>P31NfHPo0Un25nuplaG z%t|RD9_;Gk@rfcnS5)v=6?7F?K}Apy7mr;9*JF1@@vG_ynZTmo{_#zIUFlcdUDb7W zznPsd<%JDXwm&znXrq5&9v`!O^Wiy+QFAlKMm5c!-_$s;IvA=S(7dF6$3J2m$MSnt z*5i=;co7z33yn}V+Oq)~7T1pdG!R zuI7Ac+k&-aZF`JZKB4U|#suzJ-Se4sJ)hx5&u5gxv!Y8?b>5XN`TU7Kj8RL3xz*)>w>fJ55&#uMOF%*euvaVGAX3_NaVicv*fRV1!3BR~mxWQQRvdvoubV#P zDyVx+H8y=5N~%wR6K|OMz;E}OvbfiHcCYDC2M@vQcT8XK^)%GmSbE6h;QTiEpy$9(Y8yQuI80mJ&9=`81W zf^x*vpD%>$Bc^Aq>xsneaOQ~VtmiK3Zziybz};~615=J?IrXn4u!_L-F!HFWX~0#~ zuOrY%U;zO#pH8;aQ9n$lt?2m#wxZ*B;kJ{C8P4Eh9t&3=GmUUwM`P%zOn-FUgU3wR z%-Ag0tj2@KZ0lN6*EP<~%XlEZH8+_vYB4yu!ar+5T}vp?0s~u}no-+iKT+3()Im*p z&`PK|V85q)C|VGeZ>L~bu8`}XrD|0cMiX%sL|fU|YDD53Ckwi0!bs^=h+xc*>o{wM z(_h*%;Odd81*#6(hjRz;PwfeDL_-?3odyz)fnx{kJ(9Xe;xYe87ET|uA2yrVG863m zi#@0W84P^KJ{aw7|3~_E(n1`890D%1Y?vZrQt;ZqgnB42fySnzVLof-&r{-7xWM z?%-+)WWQ-QL(CET)&0Zh)+njU{1(y{D$sugB`Y=6wA%3yDWl#}+9cyxfEg@sWzbdOkuSrG+JfC(q0DvtuxdLIO0@S^M4yNT7;Iw;cBj|ZBETD!ItR3&0W&a<%wJG;V;MbyHsz$me@fSt|am|Wn; z9i|M}e;ZGO-HGmQ#t(_^T5h2r&Vm`q?sPZulci54t)DpDoa|n`VsT-6>L|Nvu&gO| znVV!z!umoXDC-Y~Sg;H8qqC|kA?#)e7>9hpP3*G8xsrefi&B5K9P^Yz62PVWSC_uY zF2k5!L39OqISQ4avsK7i;N>mh>;7iUPn?3 zWAUT_tj)OF+H@Jh8EhE}(~X3oF?xtdhE&2By^$n_d@#@%8;RISJ`CQMy1ExZS*m*= z&x8XtZVlF_y6;wWE99iPGvZ}hu9y|73WmbSin02JrceNKN~}q6TbetKTj23DcXz7; zhr;o2|Jx?7b81t=!ubJN8sY0ScmD(*nO-Lc3zNr{E}S(h(4yO+DBV2{Hl@3lmySSm zXbb|Tr1L~2PDwI*FpE2wm1~O1ZQNz1z8mv7_LUe*3U^?7jKSGnOp7M&g1QWMH~t2k zDD)=6-5G9AA*mD;1yY;Lc-XqTjRsy#YWbx8AK9l`M^Az6hH>AdtJ z$vFY@FHMN9TJRnQzS#fkFz&)|hECkH#MmNyltt|KT@OXjM;v`SihY|47 zVJw}VD;DA9LN{SA16zogS}kgP${3#$w)b@VY`WZUcNkXT&4%oiUJFl#oR#QKy?eP+ z;HQBehmEQ*{;iwV%Z<%rOzS1)j)|GRW%orHDqv8v7`%d`mtbn4w5n1*rL-Dw$b@R`xY)=f5O7MYy#tFjS6Mq2FBt8YAhf^p zJl55L?ZN_Rdb|rOZ*+Ti0wqF=If6UmEG$)rHIywP9LP&XTIXRY7HdZWv0%bFSk z)4;9TG+6#)tO^fYBL;fp^1irICmNj>bs;~i9UTZyuAA8qmjD+FXi<|>(qNo}$qPv0@;g%W~u(TMTcl6hoXPM5dI$D2Q1lf{C%z zr&J&%4h6z`L@2mrmB{90uzQv0R@SitDMVRaxNh>2=71hgYc&Gp1W0m8rOxk{-dES$ z9BB0WWU5Gq9@mSFd^7C2UL3d54)tQVf3--tdKC3L_T?j2YTpCVBh^hVDXlG^IHjbj zcG85Z%E_JdTt<_t2vig3*k{z^_56rJgKLDqGw9MaVg+w!zj3X|bavtn{nv_alcF}2 zmi#3S`enpW+L?eJXl|&h4^-FHw>0UAi13jEMRI69+`m@LQ_<7B+_UU)tFPoYU8f7JdwFUoXZ@tRW(#PrWCB-UMX$ z4WQmY0@(xx5s-YR zgVWzyQ>>9lg38;)0IQTJ9+uxO(n`nS3M`daW+>T(t_gdV3hF+QeGrN|M+oeI@E*mq;6tozrIY`2<1)BxAaN zUX(a=$*etRJibxH@+HPg8^s3Br@@3fMb<>g^umzRFU3^ylREpaSb6Uf8owQS-z7f# zwOGECQ%h}1&+c&Qh{Zb0`hUc7l~wxSR`_I-xP5R`mogxKiTcF}L5H(<3nzaRY@5Xo z=S5imvKVBgxD0iN7he`#;mUi2b7C|#Imr}JhEk7X2^Z!1-wILfCZp8ii<8KkqMhK~ zB4#+{`xTZ2*`+DNxfABt|vgz90~e5>O~WQU%n{Y{(va*%*K&(*g}2; zR(x~T`nK>Hy|#*4Gxx%}heV;{VH}ZBJ`)aX5MwQm;4@a)KZqhL4e5_jaz=v^UW1*N z#K%L`Hq0LXF+Tx5z9c>o7C(F``bpq^OthG?@Lf5UIRfNWkwQ!nDeJP-%X0luczwIbSTUN= z!~tJfq4|9XBH2#HBQ1?ox4G1ddf7aBjO04%H4u5!6kr1nLU zAwJ5JXH6a6F>`3}8Yq2IEOYe5{y-EsvTNRo<^DwKwh zho9)tBYOD7lJ^u6+GHGlTBMleu}q(ah=`rBLuVlCE|G4HaE5-*i4ibsmq?VdOHa^8 z!}?t!pJ%}?u;~Wj2;3l-5gtnCC_MNLjkbVA4W! zn}Ut<)~|0P3RVJiI*({l8eDpXbb`Jx=XufHx}C-+KDcASAi%?-hDr^B`x zC)bXjP}?asF4BQiQ$HdsHz}1vCvaKw%NIAd1VW);QzLyJklvnBo8|=?YZlI*iFYW9 z%JIz+=QY~1)*i&2F`O@n#pjQdKqZ8ag6CcqdFPFK_GOV#8Z}uiF4D&mkhc6)C%%N& zuELcW@c1j@!vW-)^5zft8^AF#wnqm zkny^RgI%wQc-;NL*TfL5!zP`))eI@Gi?N;JPzv*_B1EkzMqa)F?mhkHc zv?2(1gbOb_?I(xa!=|}3X7CbigkS_fjtDsQu^Bj_7gZjKo*l|F|8w91p%2&9->~fz*;B-PZ}%V z7K;?8TuBTgN9qJfJSZkx2(j8&a8P{3wM!No2)`qGtnd)w|HncSEfLa({X{;R8+y~D zOg1vl3}6^rs()J{`PuRQz>3(fs9; zWEqWC5x6LYQG9g1-r=LXAhq!+ad32lC|W^I_a5##L^K%Jcq;dC`Yy`GQ+SV#o(JE( zoyUq_?bZ0Cmq%g?N$P75bll3z%170fl~+{Oluwdh7*Ek}pgHRa+)Q8tfqMvSA#gu| z2MF9t;64Hrl=?daC>r%c1Sksi!vyX^0NG<5@v00@pvPOw9r3VbjKfx_%dosC82?4< zND+~6yPSGO1b#WvzQ^IYka}G7R(hDBWGlX3yXv@zwaUP;!@}bt(@Gi*C&AZWyS%Xd zxTx$Z-*Gg}oExYQM>x$UCKR;#_mDb04!_BJKommr2@#t{k$^8D6*qUtKM(hGiGMf# zukk;#8{!{Yx8;Pu7K_bmY&j8EQ`6p=TlIbtqoJQ2w0V^S-ba_y%o6xQ6_5`>)5T0Bf0 zq$N9J8x%IGt~s=@Apot#S{&SpSmm1*cw~?^%=Vj|3clEw4fhY%yl{4qmZp@x0V#vE zAc=&G$tDor^L@LRZch*oH0U}FAYNX|&T;1?R_smcE@K5l}EJ4{KS4%PZ7e>eg|hib#jgVvfE z6c=a-u)kC@!;M3=WZU44W;VaB9!heN<;rqIAK7j0yt|6$;3HhD)SQHbVfg=*zrpxn zsJo~4!{%XHsgm_E93Q3?DBjN@eYiGQSu_wP57$cUp&Tot7hn;Fo*_v2u0pGaQc3&A zGQ!HHhG#`|^5t-pwyF};Ty2nY>ryDlMPADuhK0FEv*j^(I9D639C#JJ$<+#Mr@pna zrsgmV9HnVcm52K7_X;%RX}Kf3r)(_P7-~jWqhH%h7{`@3M)e7G*bfv<|t z5N9&MQH(~ljeyEx)W+BX*iei+8e9!879+osYvF7$(m!`A6qKL@pS}zWOSB=T!+&x^ z-9G%D?Zpz*gZ-cIC1Rc9yL*s)<@~zYfll5qx>QRx{l2dUva>y@@Oh=?f(@mp(~QgE zu~H1uqf6mTDQe}B8=&_nEieD8Lmt)~te@8qxY)86d_}QB6#g&j%j^_Mn zCd?{FC49CK?kmUG&U!MIO~v1^NVkxV{;zT^*?QucST?2IStPdnmDtYgig&~K3Jj!s zkHh8)ZLFj9hgdc{5Do_xL&+fYYs+Xf#Gc{MZ8YxT>k_CRtra=*%DgPJ08jLR!B8?p zONvDDKAP$%hf|}`7S*+oHU@nmVIE8$qYZIE*h@b`lgIYR9(Rn<(p)z#^|EW~S{j3m z_$5cT!KjX}#~_vDyCJ3$ZT8kyxr%DxtXfe=Si3WOe2i#Vv<(h8#&;xn9L>lW6{R_&U)dpv6u`GSzyChwCtbkuzxIC_QUT$9jE1)o>Vk= h)uyGva~0?WQdh%Mw9dL36c4wK(=x12_M!9N{{q5Is~i9T diff --git a/BaseTools/Bin/Win32/build.exe b/BaseTools/Bin/Win32/build.exe index 41553b4aaff048bb0decd0dcb240f6132bc2089b..91106daf5d163147ae276f8fe36fe3d15cf656fa 100755 GIT binary patch delta 19736 zcmb_^2YeLO_VC=GwK z#Q+Bo1VM^Yq|dV;VnYQLe9vcn0zSKfpje;Ccg~%V1l0Ha|KIOJ=j@r=@42Vld(UL| z#o5~y&e^wobniZMmv$Z2X4AKW$^?O)ctH^IDrU^6D9)%cEUg0#}nGoRbm0EqindisW=UaGvRbUZO2v`Md z6?>U13JN|WXI{n8+=XR@8X;igJ-6UB198GMn@~|#E&7kD4aX);l!4pQWgsM5Y7tvZp{df_f<^xMdnr-FWr4JW`!fZNkLzz+!vV| z^i8g)nn5MzWo2b8pf_JhRaHr!xvhPOrek6{PYg$@LgiC<;?RcFS>>V9ia^k35U<-esL_1Zso=*WJ44Xfgc2J%ZHvu2z%;pk~) z1QyG3m`}7a1+iF`%o3Q1x$&`ziU_#}t?t?dN8?%hgZCaSVAdwMu>N_lGLyM$0}e2BI3@Cj?!bp#2|<$|Qc zCU{}PMpJt%Iv+(Qh6{p>QV}Htkf%jqiYRnm5G9Zl&o{vv>rLKlvrr)!C9J{}){u=1 z9ox9RO_*=N`fz2vse5J$$wB^jMOa`LsBx4s`}M|Wej|*bQK7&_!N!M7AF^nezsWQ# zhO=D%$>E`)a0Fi6WSY;s`hd-*6bFmI{oM#W0xMr)o$BWR&cmj$%mbmrrtXd!DuAk0@b6jj_+b-1W_&F8Sn;vp z6Qw_X*uL^d&Lk&tZ0EKd6of5MuqQgnglb-&z9;(1U^YRY_)~N@krly%zeG1-SK-(% z(PQw<`=4lyEzvvvC)zKnxb}f&!hDkul7#tAt~(bvg+Oyyel@y1%Y4uyZko8-18`c`m{1&aSHt_jx(Wxv8 zqOL`!rnV5~BWJ`>tJiClSJk&!S0&r1lEbUuU^1^ocOBkVnD5}@Mm3G2H`UjlS!BCD zrgppxHjNg6hFb2bZ@N8Y@x*|DD!BvfyA~a=IFablhgHXRF*Z!Xl$n_kZh`;n&xnpM zEbK|x8Re*DZoQk`u_}svp}!$HUP)ou`nnv)8)jCmhdMgat*lmG-raG*!cOY9=Q{e@ zm_B;@!%8cwvuGbf{X7##Ds2EY|GC` zACO`zs0hp|3!-KtQS+l35&WeHB5??Yh9*^&RL#Af`710M>+phJ=on?)fUSfTkVZJ% zdddh##KiXKwWAypO}3Gw-a|e!3wW-btdW8#yLvZh>28e zEP;*$Iuqzhpa+3C0v-Y_3FHtcB)~m1MBW{5nvaM#h~A0dpIUoacSDaHM>}|`*m0ay z!-9zpFO!z6p6I|Q2A^1b;_!*rS5I`xUAPchPi+aW!$GfuBWsJ8PY7LtuN` z6)WB@!W&bhEGWL{v_ejjG#q-HB`Z8EN^ZE%Bq>>_Bhev31&WIuErgJG@;vSS%a zm6=O#YLiT6wh%66OR4bFZmBhd9a0A@COU)=62@$hmxzIBXrGXB=*o!#eD9FvMK>hF?J-ih%}>5iNPur*q#_s=E2*M? zAC$&Q&!m$7KvRn16G+A>*_o9O-%7)WUUAa1^f7xA@YSdPTar8? zI}1O%r7mKs2yv4nFASC?y+<@RtLuze#FH1!=i?*{Iipw-0?09_cP(7%k#$_;TjLD8 z3Uu{IT5_A4YIv^Kh!GSh_ejlHIjr_bz1d!P%Oh1Y2MklB(T*@_iJa<;oGxSaM-=G+ zhLY7;l`?HDaZ2$lMW3unw~DMYwA7?l`h`TPhsZ|j_|nY6X6Yl+rHdB!jNT_xddbAD z>9*F=qb8Q9@6VRfO{}~Ak9Jbn#7gynoupl6wp_o`O-eGc=XGZK2PFZXY9! zw6IM5m)j(liA~dECrYOnTcN*KA}unrNA=q#OT!p@U*9!FdV`_)^q$YVDx3Epr|=BhvCof& ziXKws1A^uK1L5rcv#)RT$WJ6?&i_$~KKO)pLhe#i9%Qd`#(?uDhb6wuDim4K`lAbJ z5~kZ~#}7?wQRWbe9GHe#F|=n2+J(uaacHB5yf>~04L$Ac?7)7swSgU9xzgZ>hD-eN zSFXF5g_J-hT-MxjBHzulEhSS%+Z_i!dH96eiLmg3YjF*l9CS0Qht$br{`fxJQ?zsG zBv~V0l|&NVgD7$^&`;pr2Ij>Hp?DfJCV3-H!At2gR|;dQ`|;K!w20k=1*k*~S?9|0 zrgmz$K>cAuXVGT5ctdUuv5-uYk9dT|Ce*-+5b_EkRlp5hD1nzi+TcJ@t02wHf|oEH zWg=;&X(pk9wyXRdGf1aX3pMpkB-b^8s>@5w#0n8j2&M4mQ^}{oMA|%teBAZIhTP4~&0#w{3< z7n$3R2O$vp&gEk!SoWPO6K!$80!O}cWyrXOxWwpBdn3r~oYDKkH{ZE%?+3lFxRg|^ zYavsBGMdm(h`SD$7|jbM8?DfL<3cmvM6r1jW?pe6Bb~9wl~-J?%*ewQc=n1bNkvYq z>$72;aY7&-zPsY;mFg7cTLo`G61Q5t(P5>Xl--G~ay+(XAk{@IY z8qV3xpGcHIa?T@ZjiNrI;ZY-Oy90L4IpdEDn$u&&&V8T*- z!jlmQ=6GE2cv$z7>kg0|#}L;c2XJs4}d?+r(MBx`-}3BOL7zbUL(CAY6B zvkOIbp)6V`ibgeW7J_&rh04TIui$a{twIpDOqgn`Pen}=bv@NypNh;7eLdAtpBgU& zaRZ4b3pbqyk|hG|q}vro#NdM8w+we5wXqYMx_*D!vg6*>vYB;+73<_lu&KSp0^hHb zoy-T;_3}hk2(o^2o-+utH}3s{k$&ZY{$(XoGSP9xrQ_A%)Pr&~8w+ngC>MBm1#7JK!ARXy;QTf@ zhxLPpkC@%CInf>q!zXzyP53~#vBA^35`MDhr4~ra{QU`ZgQD$nG7@*rc6kkZ5aM>o z=d3g$9Ky6`5a3(WdScYA4dgD2bwl<6xfeXO z6Bp*V{`yY2hF$M)_QUGg->a^d7|xo=IQo~SsjeZ$m@=6H{sJ#MAkdiE%-m{lI}|JhI)_l(uJ zjE$<89xSJmhIW~lBp`t0d*rd~1NdZ*JY>XhYM$%$=7hB*z{Tw*E#00nIuqzYpbvoo z1jY~;M_@dGNw8?I+!SSJ`(C-&LQ1-s9{srdo#-IkPn~31AohTaM~6*`l?yDCma2yj z$lXm6aR7H;tNgiCJ|B)9mJ{&c?A^n1lN4H3F7;Kxl0aFgJQ(&%RLYr-I*_tkT_pRb*MzYGK@y{Cny*eQC0K=Y@9c&jAJuClGH;vW3J?&UN+j&H<*u;UxvH3#6h;;r%1nwZPm;e`0F4L3f)sS;I z4{mi$6L%9RJS8Vvree-jeZUF%0W%)3ymwOWg>*|eC6Bc1#vC`yJSF$G?xN~@4dJF_ z8KPeMgJchEdQI;2yV44DeEkoSy>RmNKWIdSNpJi?asvGPN6CqB|7kgxSg(rsWd%2` z#r<$klxgFd2@2Ln#Y6Y6tPV06y}5$Q)bBhaSD7-%OxCF;#0;ZJ!o_VU*IWzydm!m8 z*;g}=lDT~q5zEX(V5loDTGQy2>x=1xHSkRty&4LPuo(n6e{ju(4rkr<23NdXViGBh zo7N=4xF;SbV5iV?SxgJ~s|H6~=?K*H)g{%$?IIuH&4O(xhZV5rUHNJ1?>#xKL5}^To(zwI6PDA4 zQ;iD^Cn6^&Uli`H@P(oUXyj8BSPo;tI=#jFa*~;QQ~n}MFqRwfh;;gLlnd9CRQwPEaXXS3VhxeY7uMXw3ZlD4u03RG5R|AXOs2B~S&5aOWMZSP4 zOgt~A_TbA!dY>=Sw2DwU-@N-Dqt0yv_{NI6Abia^V{krb4Nskyo3zd7U2pzlegfbV%@>WL&7KMXc^?7^7+vJ z6FIZy1mQ0uFjleQH3rkTbcQR-LXk$EG6`_;@WSFoVsjHsIN91z!z3b^e5yXgxMlBG zU0D?jheH+RWIf@+C+K3dgZ*g=_Yr$rj$^@Z^a-EJ)z@9bI(rcRx!m>oat`}k_VsL7 ztgcwc|Nm$VdRy+m+NmIZfx87_Nn_Huzpgaok57b)Ip+z!T--L3dbz%BD*jUTuq=Jn zm+09dt-t?D&PEfK@wME+Q%~|n%xQu0ujRpwgpSXExBsV{#u>Dsch17TM$Yw+3$ltQ z)PW1~($pJAYN#-(-$;Un!q|&)CL08cF3N7JVb|d5I#HDj*EP3q@yH>!49Xwr9|{|G z#H(>jXu9_hcmM%hS{;)n`d2~BH*!ns8cc~K!eysJ)kl3Jn?-h)KJK#o1PgNMd4_7e zN`R~1=7hB-FpdC8vY&X>Pit=&#nXhHA@C*vZZsO4-$|4>?Xi2YJvv8%7E#Ob4g%W)P>P>*ZnqHqUM4qU6xBl+WvP>d>@jtl2`QZIkZs}}b zLo6crEimF&xx4dq#JTzOA3!XePEQbRiK z&wa{2l|(LGq>&pf2YH8ldo-FNmT1q%?*a#f@jVpaIwh}URydf6_)9wZZr{!ML z??C)*Y%NS`Q^50BP0VC2I%{P~V$*ueiD$0YQ>FikX;c&c8v>=!-i zXzItml)y3q_Yzn^;2{E=2y7v+mB3~K4-n`HfGDn^qvq?wC;AA0?BLH-f5@jzY(_aH$|ik4zx%=Y&76nhS6W>2P-3#1)} zm_6xu4sv-nON0B&o<7a^p*y#g6_cg~OCv^)Q>io34Ss6ZUkW8@?oQBWy_^Imo|9tJ zsI_n+0y>9`#{+KqFF8(mTlqw}CfuVO)Mr{epS{|gE~A{Lr$^t8G^B^PsJM7WNvOQI z`1)NGMWfW5_T(3^i|~S`eCYZWqcD>2lEJvVr1(16k)piBzJ&5rC5wHjZ%kG4MAie& zrzuSt)6MD1QpOJIE1D=hOn8WKvYE2o!oJh1S}V7kSwHmn}<*2AHS)>%FxoNK7u1VYXWotp82gw67_G?K|*CQ+Sf@#r0MQe;1Twp;_w$jxB5%mN%X0&Rj zcn>_L#o`!#Sc4@LJe$Ru7`uf>_KQs>R-TSyzz`ga3W!5p!-NI=${`RhBw#|oDWu^| z9kz>+T-9y3nu*67wsd|qhYd@pri|!Hyg9_{I4o8;)4>=%V=(5cGSRsHil+#pL0A$m ztT4!ot}?C%IN;?qUKC&sQb7{1iN#12QfHWfcW}H4j-)OFe@14?@c!dU+jd-^Oqvxc z3xvsKq1zrGoy+(pmxRh@RRz2GGQwON^{b9lmC!jL?AH|)n(k9lpm3jZKi&+S-=}0l zv1+o!++xH(_N%I@sDg(djY@zXTb*{<-!C`6xPR`Tp||+^8Ap$is@jPmCE5rx%fODI z-F~H)lN7nJb;%*DEiBxxs1|aM#schD9`I1IfCu{&Dwu(X`_1t;QSWd-Y0l91yX~OT zjP-)W2bIluE#LHz@)#QcZyZwA_@2dT{DMSaU0JD!Z&CO}F~n!UKL>OOXAuanRPri1+Q!^LiNKK7>Mh? zjvSu!4PDeWE~E$%?+q!)R1v(tO)xH8>zkMV zFx5k;joS+-Lg1$MR3VU1U#5o75O04I>yvnjR|q84r9={iP!bnEE^HCJ1Ll|1fn^n-bNkJ-+%oAh_uev=gN_ zxbNf#=9-#_}TS zTb@(`=82uD3BLE?4lz|Tj`foXIu7_S5)JG)(&UVquNsKM5ug6G(*A*ikp=|n-`R2 zzc1lDq?jS*MJ1lig5(#KyMJH8SFM_3@UAJ)cP>A9uhC5}DF;N>ACA1Nw04AX6h^34 zXSndPl4zx2`Fld_D~ig7K(kkrrq)VIngNBcC?Bw;(B@U;H4ESRf_PFXV@ILlq|&+z zCofUaUr2zj06(}O5*a4~q#XUc{VKwE*AY0sHdcd6Czav0rgoRzX72?3Pbr1g5mcl- z^sF%Za09phQ&S4Oe@gK>Zl(0`1d8G6DW#of0^zq2m`I?6z$D0jO&P*U;r`c@TUiZE z>=uU{ds68EeO_1ku_5~1uPd%^vbZ5XaBcY|^z-R)W8K_YkqV5W$*%it?7O^l)H}R4 z#%L*5y=~))xl!W!F@O9BxaF!hyC#L9A~hn)Fi6FlKR$+RI*Bi;Pvet;4u&|RKErqr zycBJU@96%ZHbd_oLjw#Y#)CHN165J7sSQ*~9wDR%)2u?JyK$12k}9eNAMYOZ4}Q{s z2^eZZI{}RHNHEl`1@>E2PyfU^mx9e)!g#|8XI0K%DTD%8U znK_R-uRUMu>D$m0reXS+ncXm81~nMB>1bi5VZ3-(90vwApjGFo=uj9zEodMjsqp%5 z-gC?ck6-f+*2r19AsDrB2&#Vdns*Ch5^QGbY<3CGis~>}Xj7wLhN#YDmvl_%D6&s> zcr2<31DqT3FAh|#P!*+4uS?Zi+ts_G*vD`{QadJJA%>ZOfbUIa2dgGkgoA!^dHtV( z$))~1g#u;$A5)1q1chZK5&E*i7^vS4S6u2y_O(9Ht$xaKNWazZ;U=J)j+3UP#?YS= zNNtSH!8^DEOm5C;IWiX!=oM zwxaf|;W0$$uVKU7lf#Hnv`@F8$-_8DTsgC`LF7Rv$YK$U%|O$StBOmCULbB3(WAo3 zn1aw|L`P#h+suD(Uy_fQdkMrJr*VK-3d2kiIEOte#M75CXxj-Q58L4jMNO{3#&A2D zSkHwRwuQl^c46irk$eHP_z86}0r)kKVYe|EEf`vU8Gn9X8aW#KC*PC{2$n{1K&R9& zd^c2yxU$I+FdD4S;qE1l9ZBG!adEfAcvs+{SIy|dgRqD!h#1o&&&7CG$qgp(9?6zTa6wWo4OB^oz$jl@dpsI5%8R4vqFg&HIxoB0ds_K1S zt{r7!D;u#D#!2C4IR106H9<{x|Aa}#mdr=khX^38z1ug$|1U(*!^ftl&l*%dxq@%2 zNpf!ZK83St|Ka|E+)>4YhKsHP_JXmA?H?{?>~ zCs7Sz_=7u1&9*yDNqi@r3VBIt(;8%OfrNt2dAa-fGRjKz5MuBA@p+8+&^V|-Zv}(M z%*0;_#Fy7!h=BM%Do4~sbr2`L;aFlO*2NZxV@J~dhE=~q2_Xu8e~s@~ z_zSL10`65PU=TUp(7xn*&NbrhusYA70r22Le5EKX45dm&7RG%S&&VI|=6f5|RmjEM zgLTdPNcfALSkH@bg}4+bd35%IUMmiZH>!|?p z(B|?njhSf^#vtd^(PMf%C&+k%1F>*?LmLSgI#``g5q?Ov{P7(rOpw0C5P21p5o!Zz zgd~r}?HDh{G1@+2XNypUFJfc3M4%RO!Z1ypm%@(?a5HNh%wte@*a+U}Ldvfde5R=d zkei~mbkcGg!B|``c7@p~YEQ+7#rSPgp%K0BqG}qf{M9=!HMg>|jJ_bi=$Z(!_yuH| zFdY{Oq79D~oRE{MW}9MJOMOzRI#*;i_#jP9bACqsL{T#|DmZByiYf!CK31= zCZwwinW~>nSO3b;w4*ylTcTmy<1lUlvS49T^)Lnscgj#7W{R2W(K#X?Zclyy?e7N{ znyI;|11XO*y+266O3l&Es&2ke&|Gba*NoN8)ed4IgEN2k=E3FWs?0`$HB&v__Y#%8 zOyFArzY@4g;5P!-2#C~069SyFd4vrk@FL}o1A7ZK-|;=+{A{QPjBTN|vJR&bqhM(Z z^%d3^Mz&N7M2iV#CPWv(!bj`_V8VGd8H!t}3u*%C6ns_GR0w7WfhNM8Oeku`@unPa zE(9`oflL8E^`Vlo5Nsg?`3A74CC9ntFKWecz7;8I&2fw;#n#$zob!KC7RR|%6lHU~ zhJPGcl*3-A>O_b-htyN5t!bQ<6O5Fb>cW~{V=^V$0d$; z;W%#hFug0saWjZ`w;KL}k*xUAo#PtEdvF|gVVK^N-HTp3iZgFqJa;@s~87(Vye#93Q~(CLABgafRc9IF36@tUH+F z7-Wq25Wzn(vpMoYDJf=ptoU#}yHelXMqMI00!H{~Zz0kLI_9YJ@qqh4jyk}~*~|vl za@2I(aHO|Y3)pbIvaPy~rIG*_-{@wM`Yx{f)Cc0)t4~_Dm{CZ};q~@vIdtowZe(9T z+b*gbE;Vza$J0R_%mUE6qdJRSfWsZtZj8aDj%ZB2qf(vBB&Cqr6T?~dbVA$lBTvOL zgFCBZ*njw&l}mC74DYNCvrNMNuQf{k*zn$->Z0Oq4}9I!PFUH}6}z)te>>1soq=B} z)a8xohAv=y-P@Y(>KxH+fkk^TRCrZ)b&8XO+)!t{S35X*sFTr?s_LPx_e`Kne)7tl zz6CfI+(4xD#1cNZr>DA>{T0%Bseb%uEz%1qTn3kWsVXL3E<_J>Y;V=c+Uud-YFp-* z*3b`Z>!Xfl=it{qY8mSWpA2*b~l(e5K=XvQ>3quPA?o*%3XR+Be@-JI&Lma}QleSlhpl;1l*oh3d{N6Gir?)WZ;fCI@%Ije`Ju_&eF7!GReE~R}jW4a4p7%w4X9Qhtb2-W!5;OnNNqQ zw{*RSaQ+n*DlWW_rDF34qd{}8hdyR6Dd(T68T<7#Dl;4+!_~BeuLwUv<@X@qSIm7& z(3^h@_72BQ!y}MUs2+Fl0r8<7qrnH+6&N!@{jhZvRpjYcs2!pxUy{C|@{+0$E{RIX zZ3rBN5i}-@T&t2wq9irrFx{AEXG;kgKbKZzJH_|g+4VrIZ91t z=i%*9>UZebpS(pq)|4!f(eY-&I1xC(xMAQc+r((`*U_q*Wq@;xngq?qve?WXI2yxe z2pAj648#7TVMiAL9Rc(|2tw@F-lmDO>pSAj=g})~zN^uE+(0!d=?2x%5j9TThd53# z1I}Nvxoe)jxzB9^Lzftti@Fkp%6rf!o6KM6aPU_8l&acK4hi?s2JjECjL~sVjofki zE&`JbKakwCZr9y3+M4j1m`?}N^Rw|W;Z_v4nloFX(}aE6Xfs0yI$(4TPI$2(%sebG z46nUB(&mP9V^l}~Ira1Bgs!Dm{>33*YctNPw4!pZ!K_3aBdRTpR-6|rpb8q~p7G{G zT%j73bK~ccy@^<)MCcT#%OM!|zc>XPEJMfckBgo?5IPXNjCi`AVIkO8gv(6oG#Q{4!B3WfNdRi8_%z zq#r9$-x00rsQDLRV?d<{;MW7{Vyn>tj0vjg?aoupG6HlSX@rw~Kvig@TvtrSV=_bM zY^C(iU{_GxX|(YXjGL@xL(n zg?zrRVE$CK5JyXDKlU0i3HhjaoH-QQhE%l%c~=}1SodT|15#$3A{kybykD$4MI;7c$2_C z2>g@4I|R-W;0A@S0YACp-t27ZiEEHigxx}*guurH?x1R1k>5=ir!z5oK}l&pO?4@CBJm*b2%%MBv{rX_}gc#NIwlZ7TXdfD_ZyEIVJKQJ4>#-t`WVHej7q z$iCqR5V6LgPh2!z?P`j)dtlXcwGcjo%?C({Ug0@KUX-?Hwb zzK&4BVFEgVQv_b7j8_P}O5k$>p8?EJzq1X;OVDe=`XwvNReTcgNyH}!pJaVyxi%&v zj?Nex{vZqdP^%S0|MZ+7U|fBmVg@|CT9cs!|KNjoJ{1h|zOX zz&4xAgFc}36aO9uO8^VMdM6wQEz?JHtN*hUXctqjx6XfWTMqWM+7R*IPr&H4TAmpA z3Ladmb&C3-jajG)R^qK1P3^*3?7*1^_H|lcF}DB;)@ixo=xVrsoz_#Fy$9Z)-~1OK zZoSq?eBce}zg}xEp0&Wd^;%c^TT}6)SBfV$+B&ly+j@TvTv)FSbZoD-T>l&r&aBbA zaBdaS=++0ZNZC@D^Ptwt`p{}T83@7V)tU!RQ%3P#`1(PuYgGGV7W|7Kr6sU$r=~!^ zDb~iMJ30-DH)x%sPv4Jrs{+4oYiE$^&<1Sb9UV?@(7KDCKL@cJal{i&LcfjL5Yw1_ zHn_OkhJSElixy)H<;jg&qUojMHW>emEfKz0jpuI{@H5;V9YD<9+ zYB6icLt2VG|1=&GhT8>aK=w`?^YfTy3jS<^U%$5{!L^69mg2?c&}tJ>@T(S3zDa9m z&AJW$en$jyb|R^t+=Q(s{T1HZgd~(!K>TKHfLOT$#%|VniGTSS)^66inVx2Lcx$uP zLp+lW?uWJBHcL0VfI)U^_F#wQ4`T~M?6BfttxHt9R`@M>dAJf5?bqC#7wZ4|#6dxD z-oOh_?*q048(ogOhAqeobIRb(Ex6#FX28o^kW6n~TC)`gQScw=vsD``W>>@Vt;p+B zcEf91wLHfUe~ZEOm@)-V58%EnS^{)<1P3yD28?$AfPN&gQN#OxS^PanEAdwnIzjG^qdhAO8RVnfQi( zD>lGqJFt~;C!obn9OjH4A+QrCX-R}_JCS8(B*RBLk=gI;0BMin5^fy?fk%->-7h%dSoIut!*s@E@7u(q3^Ih6N)6R}=xR~Qk zg~C0^uoHG8bFLqbp4VWv0;_i;bB+$f@!hx}84KZ;-C9@E)Ysgw_hok?RcL9t&m_Zw zJ(`#IUjH%MOhHI)=o+!F*TSJa*xTQuJ&?N<#nZD_%M#0)LchJZG;_N^&0ZwttwDHt zFHXD79QbXoHo)}egC4kVjVGP7WlNLkxCfqn$|J)rd=sC18Qy+e^YMOfj$vu&dhc7{ z-G@Ekz0-(&TGpCFs7+4pL(*TIstDn!vm&7~gQklyQEYW5Y}%(~@^$#@BBe2rzrGt% z_iJr%B0u)|nP4U4r2~!O^iX`bY<2s>gg}Q`a@c8)ABhg>?>FK!p4Aj7=?AmXOMmv z^-gpaj5&<+X_5r@<9kf@lZgU-Ia69vIu)FxiPdKk8;{*|V$Bh4khu6g7;*#$G-om_ lKZ5i1E!PD4&JI>=(GoeCzdV9;e|tGtb6xCM&M~Q&XN_g=Nn zFPgjM`#E(Fj_bF=H#?IJUHHu3rwal-F@hirET1*2ysSfRAXwbKVt(FswqCl>mka^5ec#R+ z-@Tn6bcf)Brq+-%I3`Np^q@&%EKz^yAyXW)_#%Y6E&9oaO|cF~7!JgSj|HEBG^kkk zZl$SjO$iegh6&Z8;ENPW!-R50VAWxQ&rC@rqR<^Psk7B4pP#67I<*Vy#NS14HEh^!%CpZ#9;KTRco~6ZVBKL#VvjQs}K+W_&F8Sn&zNCtUBk*S7qZOP8d>A%)RoEy$^^s~Q4^c2|;NVyi#jGco)*CNK_+sbPZ9v0H~ zUyJaH7LxWB(40w~SxcD6q=fi%q1q)>M+?=F!ZeehE+G+PLLdrt1Rli#tTk+9QY=e{ zH<{ElJZxdIUsf`A~D zw-MO9k#KOd)B@S@#b~LO7&6z&e~E>W6)sBxLs<@yCCD%KjR*uo-V(&U#RPtXipi22 zR*#X!IG;kwL7H@dMX(6L%Hl;mYOEA8v5op&6Qn{@7%7GKm;g!h!(4FfHtBQr2K;NX zbUxw-s!C%Q;D}Xp>fcY1irALPc%L; z`rSUe(!;s5tTc1MCifF};4tV>kO`mFd0g=FGj_8n=9pW5^BH@`Knz(GdidNe65-Ps za(h^vB4TcS5yj|)^J)_7K&t%QAY}FLI^D%DhryxFkuNMVi`#Y zL{fp?+*os2@L@eBR6uT;oD6?h<=!j;jx>`sC)UOSXn&2`NHPi@x5{a;sE%9*|AQc` z50n3HHVM@xSR5_~#DD-_hRdBH$tM4hR7W#{V->s|2}B|*xr~ht+~G$#gNz8dJu^c= zgdE3Akn)l|2wqlX8@wAKD;)=56@l4Vf=EvkK9Pt;qUI#?l=@VYt3K7OE0Sz7v$^nF zXE_Px*U4>Hv_qy%kC8j%g>YTKk=X>Fh4_LjL+xFN-Nr=3t;CP=76wx#&Qx6H%)Erb z#YnONn8LXT-^a)cA{t3T&@PvSp_1Vs!{95sJV~q*pr0(qLxC*s_q47bN;vO$0uIH& ztT?ntgbHLj?EIL!qzB*)5b=n*S>v{pCep0vFflQAq#4<*RAiL9G`JW(zY*;v5eHhOW2{Hqxac|i;LdkZ_L z=e3pJFtKa8D?{FBLe)FmSxz;vp8CJK$w3pFs*md_Z#J_Bbg`ctZ(^_Li38;RjC~D- z1LdFD6@7fR{D_H#>0b_!yO>y}o{%Ho%h>Ju+7a@Hj8*FM^W>gUSbf)R@@NZdsfQQI z4igLL@jm$&V-M+H`Q^oCwm~l~mGc<;NZ&g{evh%Q^kuW;0gPSMkCn-L8H?2Kt&qJI zmZtx6wmi?wrmpwiA&Y2vM_0=Qkw|9eeXYIQx|R z9Q&uf>}mO;8Lj$))w0XX?E3O`@>nYyt$(>meoA7X-}RE5E23+0e2;vMv2^{tee!aV z_123H%6-jj%=*>Tk)2+e^QNrlyy>WD>4_eZn`wV=@(;^!J)tKG1qYYa z!M*`1#$5Zo|g&ASO%y3~+I4-Uh zVG>HcRq%%k(-pM~WVK!Eq0>lF?}{02^s>!lsK3DJB{d$c5mR z=ywLMU34s|nb)`8qGV6$%w4T;ZdgP|)frAh+B^B~l2*3V}FIJ)S%fOk|;H*j573C&C`` zX_2oyld%xGpxCg%geYDS&8T4_gnEAg&9g-)NaCh6S*XUrq|ji9IrL(TtXhIImmDsO zgw90-T)pI&1Jyq|I|I+9yEIXPJfIB2@vJ_VJcp2m%|T`=mZqZ?Ds<#CJnpAHrfj9ydnEaa~kIAt4H%A*QO}jT7 zjyps*T>8zCqtG@Mdn5#>ArQS?#8=8L;}A!z_B^pyO_rQ5&vZbEvg5$3=wE z!iWEsw)(@NxBA2R?vu{Rcjsq5l)3SbG~B@g*nuo zWd6q2FjQ|;cQSZ3vUFtd{1M#LS+8%rxnlYyo*I5V6{p-L)TiQhxPFt4(=RooMqW>i z7W_ELxNW^@lMaqM#dte0YQRj?!*uj;giuoLsi_Ip(V?n9S;_iYPbp^B4a!z2Q&BBX ztWp$~1ZP(%g=`e0{KK6L$#+X(Q1-0S7SoxojF8Ys;LajcR23QvYs&2ju=-up4##Sx zLsBB7NRrHA;7h$~P^w;w{A*iDd={$^yaZe&2LBan$OBe3R&e z7oP}o8WqUV#}2)B(yBqlbIM3IlZqw4C(kKk(3W*vt2lAIgVrhm+Pn(4+Bfj5VP!u5Ja0$Naagrhu|n<(N(8$XCcL1Wi=&N@m$bv6Po$f&z?hl}yn^-3rj`2mZjn03w1n3)m*5i`1WR@@BmQA#0No&>V!5cJpxt{UNV0 z6gaX;$%xLT44PwqRaJQvRGu^`EwA?n{a8WBE(#TmnP1`es`%n{5g0@u6MAk|5|FLq zH!CaHGw}Up{EDshmtdj??UM~z%alRpa_a~Dru-P_wG~*EX0YH`njFTB~jvBX@LppXjk4cIpLHR zW`l~gRtaKsrJseelJ(KL(#s^95f71gR(Z1!q9i`MPl;s{VedYrSt89Y*ZnGgk#A<8 z%pdg99>1O~aCM&&C(%TBdlSfn?R}j}1d*H;DD!#qpkrH`$J`d*Va*eoJFH%FpnAX3 z-pYrgLfw8PaX=0h;XDkIbqmf7gr*yVODfiEV+NuPav@ zMseU@$nkmJ92ljCC+bCSDHg`6^pc~B zM;vjJzPF*;cMzx{Kz#HrBCwdiT?Dw^a#b&)*GBdC!I8GkZjf<8NwAb))-}E5G37Bc zbHcvkN?$x8xN=+>ZP|=D3XD3T^s{cF`di5i=kjD1e08g26>9#b^!<<0Zg9PSi)0Np zzkdtbu`u9+TO`N9KW~*B4;3FO{LX>cD~DdVCE;e#&!9>FT-RE`9jHic(Z;RHsTR@ z=#+AR+B>bJjOb4_1`wb*GyDtAQO+FBF0L+|dz_DaHn{WB=wZ-I8oq39#XU zyqUXL-ierC%rzq9Vr71zBw3Ayq2S+2FL?3`MdKsq(%>Be2fk2xvgYv17s~G=IIkPI zz!|_h$NSYN8#g*V-o~{knp))(=!UGbN>XpWF43IvNh&Q5l=1DgcOwkrPJ8RB+3os%(EB>3j6($bnmRbDX^e>dXm z^wKYthee!+D_^7M)np!^#W^Lt<_#+UCIVv?8((R$CE)ai2-z3P$D^G|`uv zc*5D%mI#w>r1SZsKJo>A(7cK&e=ry*FC&*5-aUuTMn|Z;Z08GUKg-Kl@Pgj=8)e>g zpRs;jIRCAZ*)X5&zf+P2G|txl%c78LS&U64!@s`6Jp{?5F>2hSRTv7$N5U1H3k5O8 z%iV!SaU22t&MPj~K_7b_eO+YshZmF%xRhBgDqURHdEP`i&5(Cd8Qw(e_y{=tKNU4D zp^dGRMQd<l1G0ZmmL=WT(_8OVpvNU8oehVgON!Gvm8ca%#Y$0=4QCdY zx6wIchh>k()lFU_I-L(uk$VX&C9oU;oPIJgMfBFfx0jUG=Er%;5;0lt`J-};neV3e zqUR%B`lz3kHLR3t(m|?wlmNHEEeIo1Wh{uK)n1ZY@5=;eX1wnaI8NXM0lsoHE{#Q$ zHkbf68|w*cvRp=xwtbE>g=VnrF{K@po0Ks9+sjIy|8$ehsaO^d=WQ-1{I%XqUZSu2 zRr#K|Zn@~d`rnnb8ap-l|8YTSTq^jC`-ps_eP~6mQ3SZHX)mC`Nau#+#+AW4m&)uh zECDfislNA`qM#*s{I9NbHt_LZT&?YmqKO3ruNgWrS1;*Z#Q9?C-41h^tE7pd{SS)+ zmrLsT&FjJlzMGbY%ntbSi>iYDs(r9fbajoU36=1nl_5Ate_3>O79rQ-YH^caxW(cc z*`WW->iYLcs`Tfc;h*Xe>Bi05Hyftao+wbDY@O2A$K~!M_A5w41mFTfGgDm!uk>TbA7V> zjQORIZ*#R`X>fc7=Lg!|O>`iThFmv1MSYi4Bj z9`nM=8OSku$RZXL%qj|$6%@dku1=T!^@pyWx$HAYYo?yEoX2C@Xi(DBH*g>Rahlqm zeX2(^R|kr$C*-wM)AUeF^*+XS=)b3{y-n;by=PnX1q=H@|E!~WhnZ#Tle?)Q#%Aaz zx~m-+tJYbjYGQ1eZt1C(GxnH1yO+9(f;N;s>Q2^1f2)t0&DisLR6q5b7^dr2^3--w z?1J8MvYKFGE@Nhkq-^n297$LUWTEh=NB_tF{T3sH%w0!=Ud=>Z2?M-g`;yz+(^uZ*w@I$Ea}pbC(sewuakbj;^+A zN$fSwsXGvs(LjF$o)vOY9vqnIA65p(b@efc)LFo@Y9~w4=k8HkGIU~|-K(}>nefJ5 zbv4@vCHvG(tUoyRt1FWCV`1aWm1VMGvXJBy(ZlDn8N9V$9S^Aoa4u0t7Mg{5Ybbgu zd&-jxCljX%@9LLe2FGze@vynVj%>mVoFsl{Umk``zsrcb!el(G#_5URH*W?7eteCb zq+6`(DVP|2LrM)!0!~QNLLt1^z}w^|)k1b5gm+R+QyfCbc|!_LUI;JYT*kH8^#-xr zEmED7%IVec(PFn0!RNY>AYRzHZ%okypLS!4OYp_kr-W1?;O1h^g*t>cdHgcp7stgl z1KDR505NlUPxFCMjlODYL#17ooQUh|>m7-{2?!szq_$T_5XSbGTI z4?KTJJ-|Fr<8!x)@OH%+=0|x@`IA+Fjfd4pcPC2gjNry|HT}$Cm9aFEi6%lglwQf% z;ZErYegKyN5l7VV?m|p`1)pGV1Ol#4aTq(0!t}e2s6I0^k1)lID#Q$L76I-n@YSB% zcQ2*UI>^ZyC!GT*jSRnWPKItp{h{Pk!uU=s0|vi=B2Gs%YN=%irvCt+yrCYk6KX7} zyjn{*u@U|GZ9COIme!axNEhEy`!IA)vyQ6muzuN5^$rWQp9|j|Mf2GM9(-HXthgb- z@HAMnQymUJVgf6IsCU%+Z%F_09aUka@Y_4;y-m|)ekOxA5558O`8jMz|NNM`1Kk~1 z@t)dNnnk4fKC>&l`<@zSrPjSY;Jf#*nL%JZuBKT_DX9c9j;o*GokG+J_1$pe96nS9 zr%$Le*$x=-H??i6#wBt%)hHyuNfR5qG+=LY0+~?RFWzaLPk1#3XF6d~%kXA!0cnIK z*&MboTLv_HU(L62FQ605e_u^zU7=`CqzCl(RZSX8HMz?*5k7if?d0Ms^f*eLMBp|8 zlOg2;HHS@sSs$pk-Kw!z@O-EaVp)3ehpOXzGYZt({Y=lnAJho~Jx(Oj5U5xGYsBhp zea$_nA3F(`Oj^h22|Sbp<==uq2e;GUR@09ghm|Q1-u$d z!*>iYu?s%DYcCqMfkvUNpnHhN8zcS_Uj(B%<2)uEZ*pA!-#W zhDW&uG}6LE%otzU6N5(a=AYXJGQ}9onu$HY`(9kGcq*-!2^0r_CODJ`J1p86yn3m% zYQxQ>-uhLm_8h}2_?6+>9CjW?U2x~Yoxi)oq12{T)+gw#BeX9W`(nK#QZupNniLAe zV4?bigMGDdy`x=Q7|uS2ZJO3K;Sz}i2|Eu0nB%XSS|0QpSH5Rg#A@#+3JeQ;P6g<| zB7bI4Xj*yItlVQ;pO4EGqr4&RM z%Nge5JuM0Z#{JgUNB;%u8}S+jJs(O(WB$$bBL>hM-65)2*@^V+AO%0=tikCtpm< zj~nl}WNKWdUPfmIr4&~_gt#JxL*GO#p@xTk;R@3rb`8x`P8LZQaJ`L1*NWubg9P|0 ziODGDxb7+>?R?NpOB)x*}Mru2~La9n;MSd z6M$1bIz0}aNz&#+QnJ>YCBx)o?Gbk@iUvPg;IfwQFZ1EGw)ZrMDO#%Y7s}*rellU7 zB7onoNy#m|pCHPu_2?P1hm}n$=Ru`qRH&Rl8iBL$T#7b>Ss^-AOTyT9tUM%D^Rs-| znyPic8{vPaYH2m(NJZg8+ZryT|GkQVV*l9OK&|s_R*I_Ih_J^MFl@aK)qm&x_ zz=I89NUZL81vVj9v#0c;z$lD&GPq2&nj5vQGafe=Mqw-!i^Yg% zl}pjqE@k5zv=N)-kxrxeEq)$+o2FGHlC#gdfVcgahlbc>{x5Kqq&wF#qYlJ zi;8CymH313W^=8zL}KAhA%L-KEwnyz5|-ze1NlZMu?!9jc`V!QweZ3_9JXKf!8T_Ec_)y%a(p5oF5T%htD&#Hr5;}kq=5o?I`OFr#fo6 z;svp`=&@Ze{FtdLsGYS@HU2cgmnz)Ngh|Z>!kclth2Tr0h?z+(1z&THD1tv-@N?TZ zsTIfhl0B(4$9dS_q&6JqQa-6I$GPlJYR7Th+h8m0IgYy{#5)N78YGHa9DK>(8BrYX z$Z^~}V0xz}cxR4tV>_t}$59`!OjnNME(q~%97nlCygSEnpM!W0j&mPoQYOcnVS0@) zsV9H&@It*f9>?+C9LL=WR_?>`c#ikwcml`!aXgXZSsZW4@%|jg{Sek2!0{xG59D|< z$Fm6^3c?jY?6@m>a>9dnO>tcz|7UPEOL z)~dzn4D6TO?)to3u>ZpyZp-#hon4Y0sA{XXAEI?+5{BzGv<{_1wQ=k;92u(3WSyb? zFl{v(+Bg6!@5^*@A9Jl`48!5j=Fk9OQBTbk$3Lvd##bZ8A%(ikzqt4Y zdRK?VLEDq=Zb059)Z}OmHco#mM_Yiw(GZ=dxg~C-I3pg0j65y9Kev>_hzQ?zS*gEc z=ye`pWK0cf$lIaXd@r2sukg>~-oQ8TWS&;WiXnWYR)tfwU?k4o12n8aBp(s~Yy%~r zguS*`wVzShZ-Hj`T5Gc$uQUYZ*&-jnUri7%nqIPHF06y;N|;mmriC44$g zYmA( z_c~ZG9)10l@WXiR2xR<4v4rt1?&T7Y3yY>`;r6j*f#PzXpH7*G3Ex55MD0{tTpa7i z!(DqmZ=_lS0%b*20gT7dsLgf+bZ9>b_3#{wnuKa{88%MRhN8EDIhpnC47yEA5W}pH zvssPT@4QV5XNjc^^!a{ZjDJ=IZVCKGh@$rltedP|V$tx#6zy>HiiV=zrwHS`;{4@H z2X82~zM-SHYfhF3pWd#;*Z%TMRLxrKAGsA6l4}Jja@b&QmeZqyw)p!a^p@ z%i*2`Ia65;AF*I%+{u}_OF}MGmj)M#KHo#e+%_8(BjM-=5Bs!Oc(zz8>rAdg6KXcBo?lfSDlaad`QIs`v*5z}zw4CR zqlIiri3Xlu5%}*!R$Xx0!m$+fYOId5?icOk#uz=~G9o~F3A!o|z-ao(ggZ;LWcCO= zTcVY+LOo)-c7(-o-g54rz*fB#1W4!bBg%gVv|=_1hLviCcm)Bb4I?N>|z(E3s2)u>v3f{#M%H70cg#DGkaRRiN@^T~2XCp|Y zx%W7SFp^xurt?#(B1+@y0O~J>I1yM(fODKAJh!O0s@%JV()c{n0vT`IQu3+c$7IVW z`$YmDgEy!RM7FO8YH4sdi2f&^seHH))Vxs-qi_ZvMiSO&+h71uF zi@gnrv$bB*87$x}CGaWuW^32l-%q4pqJ-T9bOOf+yh0hT5_pZk83L!_(K*_suwi)p z^oMZ&();IX_{8E9hfh2{3HtqWJ>#24-}s?8oPWlXE8cS$`mXeJGnFMUxR%7?AnrL& zB&=NNNfd3Z;NVJ6PjS^+xU$mI&$M_e19>|J_wU~2u|v@+Pn>xBE?BtAlOqoN7~Wpx z86ckh3ZkF&bQ7oi0)wCR^bkw!un2!mj|>wbDOaqg{@Jrg{cbO?)!1;|ToJMsVAlDA z*l^)$%qo;%(Q2$celhG@?Ma8^Jsz{?`X}MIh9n^?8vnk&&jj-y#BYL{t?{%Lr@RCs z*LVg-oWdegU?D_X;`HJ&b}`}>)p-9WROM%&u7f0!9=&o!$s1>eNu zAz1dDr;m7HBfN#bVTWEe3sVC@kPmy%F0S*Kq1jp_6?qK$t@U({nkeEm)^v(H#Zv`X zv<{o9rTme8j0*R3HH{5f;PPCH2fjOiiOjCG#A;@Nwkf_}Bfpl%(K`sXIg z^^c`|Mz77!BdM+XA^CaaYWEVD@VuwHIQ$`4PVe4baP)akFLCN&u)curC*Op=FW_vP z*a8o{fU}Wx2;O_aGe}fk1$8}+NPHW{uJ;TR4;_Qm>v3XF{{)|`_Y4-Nr$O2VPk+(h z0!lV`I*A)5z|$L$-s4N*{SBVZrU&j1hxQMLC%{L~;}G(R1zk5{zs{|NQ5&)Umw$rg z8$CTu-L8ehUpIPsi#cr}a+9Z@Skn!1H{nFSZh@uryQL*YhF6?eXV~T79*4gA!Q?Gyh<5sHo|Gl5Wn6HN!yTzRjnI0eHfR~41Y~Ab~D9R)A zV9gHf%#;Q2@eZ8eJ$FIkPNY`31PXRyE9Lj&C59&}e9e9bcO47{{Js;%`PG*YyUWv( z>t4dmU-UJ0cRM=4s&;7%Qa=NZ?~s= zWZnX&5UlbSRA6KUByYqIi08cPv`01)T>cicf5|hb=bmd$p(0Q`W2T?}{}uT;OjPKj zWBj|tr#6k%1=n9->r0-YrXYSYg2E984jma0nFM`xWJGLdn5QEfe=UIBx+lx@Zlw!` z&vn&HHnK5MtXctCd(beh-4BoKL0(OI6^`%mBq!hMKEJWuyyIZsi-zv=i7J#As3|aW zFRDu2UL;sk49EAP6`O}IwybHY5S%_c6o9&2IQZZ8BC8iJ25q0GUGj}r>w-YLvc|tp zo&*0rRMtoT3S0Mix=V*8w-Btvm^XiCICH=gYnZ0U{Ydc9NJ!t0BL991DBSPqB96|0 z75j1YpO1v&_-=v;ZdhJ`U+!>|+xdVeG4k>0ZXx8K7n)mDRAER#TnhYv#SL9A6<1|J+m9eD8+#2-Rcm77EU zA+%$y9k7hvyKRRFJnU&5dFPaPVQ!$T kbEo3+GD9cV9>!j_Tmpv=qfVY$0)HI#BwHuf()Hf|0VXBDoB#j- -- 2.39.2