]> git.proxmox.com Git - mirror_ubuntu-bionic-kernel.git/blame - arch/cris/include/arch-v32/mach-fs/mach/hwregs/asm/pinmux_defs_asm.h
License cleanup: add SPDX GPL-2.0 license identifier to files with no license
[mirror_ubuntu-bionic-kernel.git] / arch / cris / include / arch-v32 / mach-fs / mach / hwregs / asm / pinmux_defs_asm.h
CommitLineData
b2441318 1/* SPDX-License-Identifier: GPL-2.0 */
58d08319
JN
2#ifndef __pinmux_defs_asm_h
3#define __pinmux_defs_asm_h
4
5/*
6 * This file is autogenerated from
7 * file: ../../inst/pinmux/rtl/guinness/pinmux_regs.r
8 * id: pinmux_regs.r,v 1.40 2005/02/09 16:22:59 perz Exp
9 * last modfied: Mon Apr 11 16:09:11 2005
10 *
11 * by /n/asic/design/tools/rdesc/src/rdes2c -asm --outfile asm/pinmux_defs_asm.h ../../inst/pinmux/rtl/guinness/pinmux_regs.r
12 * id: $Id: pinmux_defs_asm.h,v 1.1 2007/04/11 11:00:39 ricardw Exp $
13 * Any changes here will be lost.
14 *
15 * -*- buffer-read-only: t -*-
16 */
17
18#ifndef REG_FIELD
19#define REG_FIELD( scope, reg, field, value ) \
20 REG_FIELD_X_( value, reg_##scope##_##reg##___##field##___lsb )
21#define REG_FIELD_X_( value, shift ) ((value) << shift)
22#endif
23
24#ifndef REG_STATE
25#define REG_STATE( scope, reg, field, symbolic_value ) \
26 REG_STATE_X_( regk_##scope##_##symbolic_value, reg_##scope##_##reg##___##field##___lsb )
27#define REG_STATE_X_( k, shift ) (k << shift)
28#endif
29
30#ifndef REG_MASK
31#define REG_MASK( scope, reg, field ) \
32 REG_MASK_X_( reg_##scope##_##reg##___##field##___width, reg_##scope##_##reg##___##field##___lsb )
33#define REG_MASK_X_( width, lsb ) (((1 << width)-1) << lsb)
34#endif
35
36#ifndef REG_LSB
37#define REG_LSB( scope, reg, field ) reg_##scope##_##reg##___##field##___lsb
38#endif
39
40#ifndef REG_BIT
41#define REG_BIT( scope, reg, field ) reg_##scope##_##reg##___##field##___bit
42#endif
43
44#ifndef REG_ADDR
45#define REG_ADDR( scope, inst, reg ) REG_ADDR_X_(inst, reg_##scope##_##reg##_offset)
46#define REG_ADDR_X_( inst, offs ) ((inst) + offs)
47#endif
48
49#ifndef REG_ADDR_VECT
50#define REG_ADDR_VECT( scope, inst, reg, index ) \
51 REG_ADDR_VECT_X_(inst, reg_##scope##_##reg##_offset, index, \
52 STRIDE_##scope##_##reg )
53#define REG_ADDR_VECT_X_( inst, offs, index, stride ) \
54 ((inst) + offs + (index) * stride)
55#endif
56
57/* Register rw_pa, scope pinmux, type rw */
58#define reg_pinmux_rw_pa___pa0___lsb 0
59#define reg_pinmux_rw_pa___pa0___width 1
60#define reg_pinmux_rw_pa___pa0___bit 0
61#define reg_pinmux_rw_pa___pa1___lsb 1
62#define reg_pinmux_rw_pa___pa1___width 1
63#define reg_pinmux_rw_pa___pa1___bit 1
64#define reg_pinmux_rw_pa___pa2___lsb 2
65#define reg_pinmux_rw_pa___pa2___width 1
66#define reg_pinmux_rw_pa___pa2___bit 2
67#define reg_pinmux_rw_pa___pa3___lsb 3
68#define reg_pinmux_rw_pa___pa3___width 1
69#define reg_pinmux_rw_pa___pa3___bit 3
70#define reg_pinmux_rw_pa___pa4___lsb 4
71#define reg_pinmux_rw_pa___pa4___width 1
72#define reg_pinmux_rw_pa___pa4___bit 4
73#define reg_pinmux_rw_pa___pa5___lsb 5
74#define reg_pinmux_rw_pa___pa5___width 1
75#define reg_pinmux_rw_pa___pa5___bit 5
76#define reg_pinmux_rw_pa___pa6___lsb 6
77#define reg_pinmux_rw_pa___pa6___width 1
78#define reg_pinmux_rw_pa___pa6___bit 6
79#define reg_pinmux_rw_pa___pa7___lsb 7
80#define reg_pinmux_rw_pa___pa7___width 1
81#define reg_pinmux_rw_pa___pa7___bit 7
82#define reg_pinmux_rw_pa___csp2_n___lsb 8
83#define reg_pinmux_rw_pa___csp2_n___width 1
84#define reg_pinmux_rw_pa___csp2_n___bit 8
85#define reg_pinmux_rw_pa___csp3_n___lsb 9
86#define reg_pinmux_rw_pa___csp3_n___width 1
87#define reg_pinmux_rw_pa___csp3_n___bit 9
88#define reg_pinmux_rw_pa___csp5_n___lsb 10
89#define reg_pinmux_rw_pa___csp5_n___width 1
90#define reg_pinmux_rw_pa___csp5_n___bit 10
91#define reg_pinmux_rw_pa___csp6_n___lsb 11
92#define reg_pinmux_rw_pa___csp6_n___width 1
93#define reg_pinmux_rw_pa___csp6_n___bit 11
94#define reg_pinmux_rw_pa___hsh4___lsb 12
95#define reg_pinmux_rw_pa___hsh4___width 1
96#define reg_pinmux_rw_pa___hsh4___bit 12
97#define reg_pinmux_rw_pa___hsh5___lsb 13
98#define reg_pinmux_rw_pa___hsh5___width 1
99#define reg_pinmux_rw_pa___hsh5___bit 13
100#define reg_pinmux_rw_pa___hsh6___lsb 14
101#define reg_pinmux_rw_pa___hsh6___width 1
102#define reg_pinmux_rw_pa___hsh6___bit 14
103#define reg_pinmux_rw_pa___hsh7___lsb 15
104#define reg_pinmux_rw_pa___hsh7___width 1
105#define reg_pinmux_rw_pa___hsh7___bit 15
106#define reg_pinmux_rw_pa_offset 0
107
108/* Register rw_hwprot, scope pinmux, type rw */
109#define reg_pinmux_rw_hwprot___ser1___lsb 0
110#define reg_pinmux_rw_hwprot___ser1___width 1
111#define reg_pinmux_rw_hwprot___ser1___bit 0
112#define reg_pinmux_rw_hwprot___ser2___lsb 1
113#define reg_pinmux_rw_hwprot___ser2___width 1
114#define reg_pinmux_rw_hwprot___ser2___bit 1
115#define reg_pinmux_rw_hwprot___ser3___lsb 2
116#define reg_pinmux_rw_hwprot___ser3___width 1
117#define reg_pinmux_rw_hwprot___ser3___bit 2
118#define reg_pinmux_rw_hwprot___sser0___lsb 3
119#define reg_pinmux_rw_hwprot___sser0___width 1
120#define reg_pinmux_rw_hwprot___sser0___bit 3
121#define reg_pinmux_rw_hwprot___sser1___lsb 4
122#define reg_pinmux_rw_hwprot___sser1___width 1
123#define reg_pinmux_rw_hwprot___sser1___bit 4
124#define reg_pinmux_rw_hwprot___ata0___lsb 5
125#define reg_pinmux_rw_hwprot___ata0___width 1
126#define reg_pinmux_rw_hwprot___ata0___bit 5
127#define reg_pinmux_rw_hwprot___ata1___lsb 6
128#define reg_pinmux_rw_hwprot___ata1___width 1
129#define reg_pinmux_rw_hwprot___ata1___bit 6
130#define reg_pinmux_rw_hwprot___ata2___lsb 7
131#define reg_pinmux_rw_hwprot___ata2___width 1
132#define reg_pinmux_rw_hwprot___ata2___bit 7
133#define reg_pinmux_rw_hwprot___ata3___lsb 8
134#define reg_pinmux_rw_hwprot___ata3___width 1
135#define reg_pinmux_rw_hwprot___ata3___bit 8
136#define reg_pinmux_rw_hwprot___ata___lsb 9
137#define reg_pinmux_rw_hwprot___ata___width 1
138#define reg_pinmux_rw_hwprot___ata___bit 9
139#define reg_pinmux_rw_hwprot___eth1___lsb 10
140#define reg_pinmux_rw_hwprot___eth1___width 1
141#define reg_pinmux_rw_hwprot___eth1___bit 10
142#define reg_pinmux_rw_hwprot___eth1_mgm___lsb 11
143#define reg_pinmux_rw_hwprot___eth1_mgm___width 1
144#define reg_pinmux_rw_hwprot___eth1_mgm___bit 11
145#define reg_pinmux_rw_hwprot___timer___lsb 12
146#define reg_pinmux_rw_hwprot___timer___width 1
147#define reg_pinmux_rw_hwprot___timer___bit 12
148#define reg_pinmux_rw_hwprot___p21___lsb 13
149#define reg_pinmux_rw_hwprot___p21___width 1
150#define reg_pinmux_rw_hwprot___p21___bit 13
151#define reg_pinmux_rw_hwprot_offset 4
152
153/* Register rw_pb_gio, scope pinmux, type rw */
154#define reg_pinmux_rw_pb_gio___pb0___lsb 0
155#define reg_pinmux_rw_pb_gio___pb0___width 1
156#define reg_pinmux_rw_pb_gio___pb0___bit 0
157#define reg_pinmux_rw_pb_gio___pb1___lsb 1
158#define reg_pinmux_rw_pb_gio___pb1___width 1
159#define reg_pinmux_rw_pb_gio___pb1___bit 1
160#define reg_pinmux_rw_pb_gio___pb2___lsb 2
161#define reg_pinmux_rw_pb_gio___pb2___width 1
162#define reg_pinmux_rw_pb_gio___pb2___bit 2
163#define reg_pinmux_rw_pb_gio___pb3___lsb 3
164#define reg_pinmux_rw_pb_gio___pb3___width 1
165#define reg_pinmux_rw_pb_gio___pb3___bit 3
166#define reg_pinmux_rw_pb_gio___pb4___lsb 4
167#define reg_pinmux_rw_pb_gio___pb4___width 1
168#define reg_pinmux_rw_pb_gio___pb4___bit 4
169#define reg_pinmux_rw_pb_gio___pb5___lsb 5
170#define reg_pinmux_rw_pb_gio___pb5___width 1
171#define reg_pinmux_rw_pb_gio___pb5___bit 5
172#define reg_pinmux_rw_pb_gio___pb6___lsb 6
173#define reg_pinmux_rw_pb_gio___pb6___width 1
174#define reg_pinmux_rw_pb_gio___pb6___bit 6
175#define reg_pinmux_rw_pb_gio___pb7___lsb 7
176#define reg_pinmux_rw_pb_gio___pb7___width 1
177#define reg_pinmux_rw_pb_gio___pb7___bit 7
178#define reg_pinmux_rw_pb_gio___pb8___lsb 8
179#define reg_pinmux_rw_pb_gio___pb8___width 1
180#define reg_pinmux_rw_pb_gio___pb8___bit 8
181#define reg_pinmux_rw_pb_gio___pb9___lsb 9
182#define reg_pinmux_rw_pb_gio___pb9___width 1
183#define reg_pinmux_rw_pb_gio___pb9___bit 9
184#define reg_pinmux_rw_pb_gio___pb10___lsb 10
185#define reg_pinmux_rw_pb_gio___pb10___width 1
186#define reg_pinmux_rw_pb_gio___pb10___bit 10
187#define reg_pinmux_rw_pb_gio___pb11___lsb 11
188#define reg_pinmux_rw_pb_gio___pb11___width 1
189#define reg_pinmux_rw_pb_gio___pb11___bit 11
190#define reg_pinmux_rw_pb_gio___pb12___lsb 12
191#define reg_pinmux_rw_pb_gio___pb12___width 1
192#define reg_pinmux_rw_pb_gio___pb12___bit 12
193#define reg_pinmux_rw_pb_gio___pb13___lsb 13
194#define reg_pinmux_rw_pb_gio___pb13___width 1
195#define reg_pinmux_rw_pb_gio___pb13___bit 13
196#define reg_pinmux_rw_pb_gio___pb14___lsb 14
197#define reg_pinmux_rw_pb_gio___pb14___width 1
198#define reg_pinmux_rw_pb_gio___pb14___bit 14
199#define reg_pinmux_rw_pb_gio___pb15___lsb 15
200#define reg_pinmux_rw_pb_gio___pb15___width 1
201#define reg_pinmux_rw_pb_gio___pb15___bit 15
202#define reg_pinmux_rw_pb_gio___pb16___lsb 16
203#define reg_pinmux_rw_pb_gio___pb16___width 1
204#define reg_pinmux_rw_pb_gio___pb16___bit 16
205#define reg_pinmux_rw_pb_gio___pb17___lsb 17
206#define reg_pinmux_rw_pb_gio___pb17___width 1
207#define reg_pinmux_rw_pb_gio___pb17___bit 17
208#define reg_pinmux_rw_pb_gio_offset 8
209
210/* Register rw_pb_iop, scope pinmux, type rw */
211#define reg_pinmux_rw_pb_iop___pb0___lsb 0
212#define reg_pinmux_rw_pb_iop___pb0___width 1
213#define reg_pinmux_rw_pb_iop___pb0___bit 0
214#define reg_pinmux_rw_pb_iop___pb1___lsb 1
215#define reg_pinmux_rw_pb_iop___pb1___width 1
216#define reg_pinmux_rw_pb_iop___pb1___bit 1
217#define reg_pinmux_rw_pb_iop___pb2___lsb 2
218#define reg_pinmux_rw_pb_iop___pb2___width 1
219#define reg_pinmux_rw_pb_iop___pb2___bit 2
220#define reg_pinmux_rw_pb_iop___pb3___lsb 3
221#define reg_pinmux_rw_pb_iop___pb3___width 1
222#define reg_pinmux_rw_pb_iop___pb3___bit 3
223#define reg_pinmux_rw_pb_iop___pb4___lsb 4
224#define reg_pinmux_rw_pb_iop___pb4___width 1
225#define reg_pinmux_rw_pb_iop___pb4___bit 4
226#define reg_pinmux_rw_pb_iop___pb5___lsb 5
227#define reg_pinmux_rw_pb_iop___pb5___width 1
228#define reg_pinmux_rw_pb_iop___pb5___bit 5
229#define reg_pinmux_rw_pb_iop___pb6___lsb 6
230#define reg_pinmux_rw_pb_iop___pb6___width 1
231#define reg_pinmux_rw_pb_iop___pb6___bit 6
232#define reg_pinmux_rw_pb_iop___pb7___lsb 7
233#define reg_pinmux_rw_pb_iop___pb7___width 1
234#define reg_pinmux_rw_pb_iop___pb7___bit 7
235#define reg_pinmux_rw_pb_iop___pb8___lsb 8
236#define reg_pinmux_rw_pb_iop___pb8___width 1
237#define reg_pinmux_rw_pb_iop___pb8___bit 8
238#define reg_pinmux_rw_pb_iop___pb9___lsb 9
239#define reg_pinmux_rw_pb_iop___pb9___width 1
240#define reg_pinmux_rw_pb_iop___pb9___bit 9
241#define reg_pinmux_rw_pb_iop___pb10___lsb 10
242#define reg_pinmux_rw_pb_iop___pb10___width 1
243#define reg_pinmux_rw_pb_iop___pb10___bit 10
244#define reg_pinmux_rw_pb_iop___pb11___lsb 11
245#define reg_pinmux_rw_pb_iop___pb11___width 1
246#define reg_pinmux_rw_pb_iop___pb11___bit 11
247#define reg_pinmux_rw_pb_iop___pb12___lsb 12
248#define reg_pinmux_rw_pb_iop___pb12___width 1
249#define reg_pinmux_rw_pb_iop___pb12___bit 12
250#define reg_pinmux_rw_pb_iop___pb13___lsb 13
251#define reg_pinmux_rw_pb_iop___pb13___width 1
252#define reg_pinmux_rw_pb_iop___pb13___bit 13
253#define reg_pinmux_rw_pb_iop___pb14___lsb 14
254#define reg_pinmux_rw_pb_iop___pb14___width 1
255#define reg_pinmux_rw_pb_iop___pb14___bit 14
256#define reg_pinmux_rw_pb_iop___pb15___lsb 15
257#define reg_pinmux_rw_pb_iop___pb15___width 1
258#define reg_pinmux_rw_pb_iop___pb15___bit 15
259#define reg_pinmux_rw_pb_iop___pb16___lsb 16
260#define reg_pinmux_rw_pb_iop___pb16___width 1
261#define reg_pinmux_rw_pb_iop___pb16___bit 16
262#define reg_pinmux_rw_pb_iop___pb17___lsb 17
263#define reg_pinmux_rw_pb_iop___pb17___width 1
264#define reg_pinmux_rw_pb_iop___pb17___bit 17
265#define reg_pinmux_rw_pb_iop_offset 12
266
267/* Register rw_pc_gio, scope pinmux, type rw */
268#define reg_pinmux_rw_pc_gio___pc0___lsb 0
269#define reg_pinmux_rw_pc_gio___pc0___width 1
270#define reg_pinmux_rw_pc_gio___pc0___bit 0
271#define reg_pinmux_rw_pc_gio___pc1___lsb 1
272#define reg_pinmux_rw_pc_gio___pc1___width 1
273#define reg_pinmux_rw_pc_gio___pc1___bit 1
274#define reg_pinmux_rw_pc_gio___pc2___lsb 2
275#define reg_pinmux_rw_pc_gio___pc2___width 1
276#define reg_pinmux_rw_pc_gio___pc2___bit 2
277#define reg_pinmux_rw_pc_gio___pc3___lsb 3
278#define reg_pinmux_rw_pc_gio___pc3___width 1
279#define reg_pinmux_rw_pc_gio___pc3___bit 3
280#define reg_pinmux_rw_pc_gio___pc4___lsb 4
281#define reg_pinmux_rw_pc_gio___pc4___width 1
282#define reg_pinmux_rw_pc_gio___pc4___bit 4
283#define reg_pinmux_rw_pc_gio___pc5___lsb 5
284#define reg_pinmux_rw_pc_gio___pc5___width 1
285#define reg_pinmux_rw_pc_gio___pc5___bit 5
286#define reg_pinmux_rw_pc_gio___pc6___lsb 6
287#define reg_pinmux_rw_pc_gio___pc6___width 1
288#define reg_pinmux_rw_pc_gio___pc6___bit 6
289#define reg_pinmux_rw_pc_gio___pc7___lsb 7
290#define reg_pinmux_rw_pc_gio___pc7___width 1
291#define reg_pinmux_rw_pc_gio___pc7___bit 7
292#define reg_pinmux_rw_pc_gio___pc8___lsb 8
293#define reg_pinmux_rw_pc_gio___pc8___width 1
294#define reg_pinmux_rw_pc_gio___pc8___bit 8
295#define reg_pinmux_rw_pc_gio___pc9___lsb 9
296#define reg_pinmux_rw_pc_gio___pc9___width 1
297#define reg_pinmux_rw_pc_gio___pc9___bit 9
298#define reg_pinmux_rw_pc_gio___pc10___lsb 10
299#define reg_pinmux_rw_pc_gio___pc10___width 1
300#define reg_pinmux_rw_pc_gio___pc10___bit 10
301#define reg_pinmux_rw_pc_gio___pc11___lsb 11
302#define reg_pinmux_rw_pc_gio___pc11___width 1
303#define reg_pinmux_rw_pc_gio___pc11___bit 11
304#define reg_pinmux_rw_pc_gio___pc12___lsb 12
305#define reg_pinmux_rw_pc_gio___pc12___width 1
306#define reg_pinmux_rw_pc_gio___pc12___bit 12
307#define reg_pinmux_rw_pc_gio___pc13___lsb 13
308#define reg_pinmux_rw_pc_gio___pc13___width 1
309#define reg_pinmux_rw_pc_gio___pc13___bit 13
310#define reg_pinmux_rw_pc_gio___pc14___lsb 14
311#define reg_pinmux_rw_pc_gio___pc14___width 1
312#define reg_pinmux_rw_pc_gio___pc14___bit 14
313#define reg_pinmux_rw_pc_gio___pc15___lsb 15
314#define reg_pinmux_rw_pc_gio___pc15___width 1
315#define reg_pinmux_rw_pc_gio___pc15___bit 15
316#define reg_pinmux_rw_pc_gio___pc16___lsb 16
317#define reg_pinmux_rw_pc_gio___pc16___width 1
318#define reg_pinmux_rw_pc_gio___pc16___bit 16
319#define reg_pinmux_rw_pc_gio___pc17___lsb 17
320#define reg_pinmux_rw_pc_gio___pc17___width 1
321#define reg_pinmux_rw_pc_gio___pc17___bit 17
322#define reg_pinmux_rw_pc_gio_offset 16
323
324/* Register rw_pc_iop, scope pinmux, type rw */
325#define reg_pinmux_rw_pc_iop___pc0___lsb 0
326#define reg_pinmux_rw_pc_iop___pc0___width 1
327#define reg_pinmux_rw_pc_iop___pc0___bit 0
328#define reg_pinmux_rw_pc_iop___pc1___lsb 1
329#define reg_pinmux_rw_pc_iop___pc1___width 1
330#define reg_pinmux_rw_pc_iop___pc1___bit 1
331#define reg_pinmux_rw_pc_iop___pc2___lsb 2
332#define reg_pinmux_rw_pc_iop___pc2___width 1
333#define reg_pinmux_rw_pc_iop___pc2___bit 2
334#define reg_pinmux_rw_pc_iop___pc3___lsb 3
335#define reg_pinmux_rw_pc_iop___pc3___width 1
336#define reg_pinmux_rw_pc_iop___pc3___bit 3
337#define reg_pinmux_rw_pc_iop___pc4___lsb 4
338#define reg_pinmux_rw_pc_iop___pc4___width 1
339#define reg_pinmux_rw_pc_iop___pc4___bit 4
340#define reg_pinmux_rw_pc_iop___pc5___lsb 5
341#define reg_pinmux_rw_pc_iop___pc5___width 1
342#define reg_pinmux_rw_pc_iop___pc5___bit 5
343#define reg_pinmux_rw_pc_iop___pc6___lsb 6
344#define reg_pinmux_rw_pc_iop___pc6___width 1
345#define reg_pinmux_rw_pc_iop___pc6___bit 6
346#define reg_pinmux_rw_pc_iop___pc7___lsb 7
347#define reg_pinmux_rw_pc_iop___pc7___width 1
348#define reg_pinmux_rw_pc_iop___pc7___bit 7
349#define reg_pinmux_rw_pc_iop___pc8___lsb 8
350#define reg_pinmux_rw_pc_iop___pc8___width 1
351#define reg_pinmux_rw_pc_iop___pc8___bit 8
352#define reg_pinmux_rw_pc_iop___pc9___lsb 9
353#define reg_pinmux_rw_pc_iop___pc9___width 1
354#define reg_pinmux_rw_pc_iop___pc9___bit 9
355#define reg_pinmux_rw_pc_iop___pc10___lsb 10
356#define reg_pinmux_rw_pc_iop___pc10___width 1
357#define reg_pinmux_rw_pc_iop___pc10___bit 10
358#define reg_pinmux_rw_pc_iop___pc11___lsb 11
359#define reg_pinmux_rw_pc_iop___pc11___width 1
360#define reg_pinmux_rw_pc_iop___pc11___bit 11
361#define reg_pinmux_rw_pc_iop___pc12___lsb 12
362#define reg_pinmux_rw_pc_iop___pc12___width 1
363#define reg_pinmux_rw_pc_iop___pc12___bit 12
364#define reg_pinmux_rw_pc_iop___pc13___lsb 13
365#define reg_pinmux_rw_pc_iop___pc13___width 1
366#define reg_pinmux_rw_pc_iop___pc13___bit 13
367#define reg_pinmux_rw_pc_iop___pc14___lsb 14
368#define reg_pinmux_rw_pc_iop___pc14___width 1
369#define reg_pinmux_rw_pc_iop___pc14___bit 14
370#define reg_pinmux_rw_pc_iop___pc15___lsb 15
371#define reg_pinmux_rw_pc_iop___pc15___width 1
372#define reg_pinmux_rw_pc_iop___pc15___bit 15
373#define reg_pinmux_rw_pc_iop___pc16___lsb 16
374#define reg_pinmux_rw_pc_iop___pc16___width 1
375#define reg_pinmux_rw_pc_iop___pc16___bit 16
376#define reg_pinmux_rw_pc_iop___pc17___lsb 17
377#define reg_pinmux_rw_pc_iop___pc17___width 1
378#define reg_pinmux_rw_pc_iop___pc17___bit 17
379#define reg_pinmux_rw_pc_iop_offset 20
380
381/* Register rw_pd_gio, scope pinmux, type rw */
382#define reg_pinmux_rw_pd_gio___pd0___lsb 0
383#define reg_pinmux_rw_pd_gio___pd0___width 1
384#define reg_pinmux_rw_pd_gio___pd0___bit 0
385#define reg_pinmux_rw_pd_gio___pd1___lsb 1
386#define reg_pinmux_rw_pd_gio___pd1___width 1
387#define reg_pinmux_rw_pd_gio___pd1___bit 1
388#define reg_pinmux_rw_pd_gio___pd2___lsb 2
389#define reg_pinmux_rw_pd_gio___pd2___width 1
390#define reg_pinmux_rw_pd_gio___pd2___bit 2
391#define reg_pinmux_rw_pd_gio___pd3___lsb 3
392#define reg_pinmux_rw_pd_gio___pd3___width 1
393#define reg_pinmux_rw_pd_gio___pd3___bit 3
394#define reg_pinmux_rw_pd_gio___pd4___lsb 4
395#define reg_pinmux_rw_pd_gio___pd4___width 1
396#define reg_pinmux_rw_pd_gio___pd4___bit 4
397#define reg_pinmux_rw_pd_gio___pd5___lsb 5
398#define reg_pinmux_rw_pd_gio___pd5___width 1
399#define reg_pinmux_rw_pd_gio___pd5___bit 5
400#define reg_pinmux_rw_pd_gio___pd6___lsb 6
401#define reg_pinmux_rw_pd_gio___pd6___width 1
402#define reg_pinmux_rw_pd_gio___pd6___bit 6
403#define reg_pinmux_rw_pd_gio___pd7___lsb 7
404#define reg_pinmux_rw_pd_gio___pd7___width 1
405#define reg_pinmux_rw_pd_gio___pd7___bit 7
406#define reg_pinmux_rw_pd_gio___pd8___lsb 8
407#define reg_pinmux_rw_pd_gio___pd8___width 1
408#define reg_pinmux_rw_pd_gio___pd8___bit 8
409#define reg_pinmux_rw_pd_gio___pd9___lsb 9
410#define reg_pinmux_rw_pd_gio___pd9___width 1
411#define reg_pinmux_rw_pd_gio___pd9___bit 9
412#define reg_pinmux_rw_pd_gio___pd10___lsb 10
413#define reg_pinmux_rw_pd_gio___pd10___width 1
414#define reg_pinmux_rw_pd_gio___pd10___bit 10
415#define reg_pinmux_rw_pd_gio___pd11___lsb 11
416#define reg_pinmux_rw_pd_gio___pd11___width 1
417#define reg_pinmux_rw_pd_gio___pd11___bit 11
418#define reg_pinmux_rw_pd_gio___pd12___lsb 12
419#define reg_pinmux_rw_pd_gio___pd12___width 1
420#define reg_pinmux_rw_pd_gio___pd12___bit 12
421#define reg_pinmux_rw_pd_gio___pd13___lsb 13
422#define reg_pinmux_rw_pd_gio___pd13___width 1
423#define reg_pinmux_rw_pd_gio___pd13___bit 13
424#define reg_pinmux_rw_pd_gio___pd14___lsb 14
425#define reg_pinmux_rw_pd_gio___pd14___width 1
426#define reg_pinmux_rw_pd_gio___pd14___bit 14
427#define reg_pinmux_rw_pd_gio___pd15___lsb 15
428#define reg_pinmux_rw_pd_gio___pd15___width 1
429#define reg_pinmux_rw_pd_gio___pd15___bit 15
430#define reg_pinmux_rw_pd_gio___pd16___lsb 16
431#define reg_pinmux_rw_pd_gio___pd16___width 1
432#define reg_pinmux_rw_pd_gio___pd16___bit 16
433#define reg_pinmux_rw_pd_gio___pd17___lsb 17
434#define reg_pinmux_rw_pd_gio___pd17___width 1
435#define reg_pinmux_rw_pd_gio___pd17___bit 17
436#define reg_pinmux_rw_pd_gio_offset 24
437
438/* Register rw_pd_iop, scope pinmux, type rw */
439#define reg_pinmux_rw_pd_iop___pd0___lsb 0
440#define reg_pinmux_rw_pd_iop___pd0___width 1
441#define reg_pinmux_rw_pd_iop___pd0___bit 0
442#define reg_pinmux_rw_pd_iop___pd1___lsb 1
443#define reg_pinmux_rw_pd_iop___pd1___width 1
444#define reg_pinmux_rw_pd_iop___pd1___bit 1
445#define reg_pinmux_rw_pd_iop___pd2___lsb 2
446#define reg_pinmux_rw_pd_iop___pd2___width 1
447#define reg_pinmux_rw_pd_iop___pd2___bit 2
448#define reg_pinmux_rw_pd_iop___pd3___lsb 3
449#define reg_pinmux_rw_pd_iop___pd3___width 1
450#define reg_pinmux_rw_pd_iop___pd3___bit 3
451#define reg_pinmux_rw_pd_iop___pd4___lsb 4
452#define reg_pinmux_rw_pd_iop___pd4___width 1
453#define reg_pinmux_rw_pd_iop___pd4___bit 4
454#define reg_pinmux_rw_pd_iop___pd5___lsb 5
455#define reg_pinmux_rw_pd_iop___pd5___width 1
456#define reg_pinmux_rw_pd_iop___pd5___bit 5
457#define reg_pinmux_rw_pd_iop___pd6___lsb 6
458#define reg_pinmux_rw_pd_iop___pd6___width 1
459#define reg_pinmux_rw_pd_iop___pd6___bit 6
460#define reg_pinmux_rw_pd_iop___pd7___lsb 7
461#define reg_pinmux_rw_pd_iop___pd7___width 1
462#define reg_pinmux_rw_pd_iop___pd7___bit 7
463#define reg_pinmux_rw_pd_iop___pd8___lsb 8
464#define reg_pinmux_rw_pd_iop___pd8___width 1
465#define reg_pinmux_rw_pd_iop___pd8___bit 8
466#define reg_pinmux_rw_pd_iop___pd9___lsb 9
467#define reg_pinmux_rw_pd_iop___pd9___width 1
468#define reg_pinmux_rw_pd_iop___pd9___bit 9
469#define reg_pinmux_rw_pd_iop___pd10___lsb 10
470#define reg_pinmux_rw_pd_iop___pd10___width 1
471#define reg_pinmux_rw_pd_iop___pd10___bit 10
472#define reg_pinmux_rw_pd_iop___pd11___lsb 11
473#define reg_pinmux_rw_pd_iop___pd11___width 1
474#define reg_pinmux_rw_pd_iop___pd11___bit 11
475#define reg_pinmux_rw_pd_iop___pd12___lsb 12
476#define reg_pinmux_rw_pd_iop___pd12___width 1
477#define reg_pinmux_rw_pd_iop___pd12___bit 12
478#define reg_pinmux_rw_pd_iop___pd13___lsb 13
479#define reg_pinmux_rw_pd_iop___pd13___width 1
480#define reg_pinmux_rw_pd_iop___pd13___bit 13
481#define reg_pinmux_rw_pd_iop___pd14___lsb 14
482#define reg_pinmux_rw_pd_iop___pd14___width 1
483#define reg_pinmux_rw_pd_iop___pd14___bit 14
484#define reg_pinmux_rw_pd_iop___pd15___lsb 15
485#define reg_pinmux_rw_pd_iop___pd15___width 1
486#define reg_pinmux_rw_pd_iop___pd15___bit 15
487#define reg_pinmux_rw_pd_iop___pd16___lsb 16
488#define reg_pinmux_rw_pd_iop___pd16___width 1
489#define reg_pinmux_rw_pd_iop___pd16___bit 16
490#define reg_pinmux_rw_pd_iop___pd17___lsb 17
491#define reg_pinmux_rw_pd_iop___pd17___width 1
492#define reg_pinmux_rw_pd_iop___pd17___bit 17
493#define reg_pinmux_rw_pd_iop_offset 28
494
495/* Register rw_pe_gio, scope pinmux, type rw */
496#define reg_pinmux_rw_pe_gio___pe0___lsb 0
497#define reg_pinmux_rw_pe_gio___pe0___width 1
498#define reg_pinmux_rw_pe_gio___pe0___bit 0
499#define reg_pinmux_rw_pe_gio___pe1___lsb 1
500#define reg_pinmux_rw_pe_gio___pe1___width 1
501#define reg_pinmux_rw_pe_gio___pe1___bit 1
502#define reg_pinmux_rw_pe_gio___pe2___lsb 2
503#define reg_pinmux_rw_pe_gio___pe2___width 1
504#define reg_pinmux_rw_pe_gio___pe2___bit 2
505#define reg_pinmux_rw_pe_gio___pe3___lsb 3
506#define reg_pinmux_rw_pe_gio___pe3___width 1
507#define reg_pinmux_rw_pe_gio___pe3___bit 3
508#define reg_pinmux_rw_pe_gio___pe4___lsb 4
509#define reg_pinmux_rw_pe_gio___pe4___width 1
510#define reg_pinmux_rw_pe_gio___pe4___bit 4
511#define reg_pinmux_rw_pe_gio___pe5___lsb 5
512#define reg_pinmux_rw_pe_gio___pe5___width 1
513#define reg_pinmux_rw_pe_gio___pe5___bit 5
514#define reg_pinmux_rw_pe_gio___pe6___lsb 6
515#define reg_pinmux_rw_pe_gio___pe6___width 1
516#define reg_pinmux_rw_pe_gio___pe6___bit 6
517#define reg_pinmux_rw_pe_gio___pe7___lsb 7
518#define reg_pinmux_rw_pe_gio___pe7___width 1
519#define reg_pinmux_rw_pe_gio___pe7___bit 7
520#define reg_pinmux_rw_pe_gio___pe8___lsb 8
521#define reg_pinmux_rw_pe_gio___pe8___width 1
522#define reg_pinmux_rw_pe_gio___pe8___bit 8
523#define reg_pinmux_rw_pe_gio___pe9___lsb 9
524#define reg_pinmux_rw_pe_gio___pe9___width 1
525#define reg_pinmux_rw_pe_gio___pe9___bit 9
526#define reg_pinmux_rw_pe_gio___pe10___lsb 10
527#define reg_pinmux_rw_pe_gio___pe10___width 1
528#define reg_pinmux_rw_pe_gio___pe10___bit 10
529#define reg_pinmux_rw_pe_gio___pe11___lsb 11
530#define reg_pinmux_rw_pe_gio___pe11___width 1
531#define reg_pinmux_rw_pe_gio___pe11___bit 11
532#define reg_pinmux_rw_pe_gio___pe12___lsb 12
533#define reg_pinmux_rw_pe_gio___pe12___width 1
534#define reg_pinmux_rw_pe_gio___pe12___bit 12
535#define reg_pinmux_rw_pe_gio___pe13___lsb 13
536#define reg_pinmux_rw_pe_gio___pe13___width 1
537#define reg_pinmux_rw_pe_gio___pe13___bit 13
538#define reg_pinmux_rw_pe_gio___pe14___lsb 14
539#define reg_pinmux_rw_pe_gio___pe14___width 1
540#define reg_pinmux_rw_pe_gio___pe14___bit 14
541#define reg_pinmux_rw_pe_gio___pe15___lsb 15
542#define reg_pinmux_rw_pe_gio___pe15___width 1
543#define reg_pinmux_rw_pe_gio___pe15___bit 15
544#define reg_pinmux_rw_pe_gio___pe16___lsb 16
545#define reg_pinmux_rw_pe_gio___pe16___width 1
546#define reg_pinmux_rw_pe_gio___pe16___bit 16
547#define reg_pinmux_rw_pe_gio___pe17___lsb 17
548#define reg_pinmux_rw_pe_gio___pe17___width 1
549#define reg_pinmux_rw_pe_gio___pe17___bit 17
550#define reg_pinmux_rw_pe_gio_offset 32
551
552/* Register rw_pe_iop, scope pinmux, type rw */
553#define reg_pinmux_rw_pe_iop___pe0___lsb 0
554#define reg_pinmux_rw_pe_iop___pe0___width 1
555#define reg_pinmux_rw_pe_iop___pe0___bit 0
556#define reg_pinmux_rw_pe_iop___pe1___lsb 1
557#define reg_pinmux_rw_pe_iop___pe1___width 1
558#define reg_pinmux_rw_pe_iop___pe1___bit 1
559#define reg_pinmux_rw_pe_iop___pe2___lsb 2
560#define reg_pinmux_rw_pe_iop___pe2___width 1
561#define reg_pinmux_rw_pe_iop___pe2___bit 2
562#define reg_pinmux_rw_pe_iop___pe3___lsb 3
563#define reg_pinmux_rw_pe_iop___pe3___width 1
564#define reg_pinmux_rw_pe_iop___pe3___bit 3
565#define reg_pinmux_rw_pe_iop___pe4___lsb 4
566#define reg_pinmux_rw_pe_iop___pe4___width 1
567#define reg_pinmux_rw_pe_iop___pe4___bit 4
568#define reg_pinmux_rw_pe_iop___pe5___lsb 5
569#define reg_pinmux_rw_pe_iop___pe5___width 1
570#define reg_pinmux_rw_pe_iop___pe5___bit 5
571#define reg_pinmux_rw_pe_iop___pe6___lsb 6
572#define reg_pinmux_rw_pe_iop___pe6___width 1
573#define reg_pinmux_rw_pe_iop___pe6___bit 6
574#define reg_pinmux_rw_pe_iop___pe7___lsb 7
575#define reg_pinmux_rw_pe_iop___pe7___width 1
576#define reg_pinmux_rw_pe_iop___pe7___bit 7
577#define reg_pinmux_rw_pe_iop___pe8___lsb 8
578#define reg_pinmux_rw_pe_iop___pe8___width 1
579#define reg_pinmux_rw_pe_iop___pe8___bit 8
580#define reg_pinmux_rw_pe_iop___pe9___lsb 9
581#define reg_pinmux_rw_pe_iop___pe9___width 1
582#define reg_pinmux_rw_pe_iop___pe9___bit 9
583#define reg_pinmux_rw_pe_iop___pe10___lsb 10
584#define reg_pinmux_rw_pe_iop___pe10___width 1
585#define reg_pinmux_rw_pe_iop___pe10___bit 10
586#define reg_pinmux_rw_pe_iop___pe11___lsb 11
587#define reg_pinmux_rw_pe_iop___pe11___width 1
588#define reg_pinmux_rw_pe_iop___pe11___bit 11
589#define reg_pinmux_rw_pe_iop___pe12___lsb 12
590#define reg_pinmux_rw_pe_iop___pe12___width 1
591#define reg_pinmux_rw_pe_iop___pe12___bit 12
592#define reg_pinmux_rw_pe_iop___pe13___lsb 13
593#define reg_pinmux_rw_pe_iop___pe13___width 1
594#define reg_pinmux_rw_pe_iop___pe13___bit 13
595#define reg_pinmux_rw_pe_iop___pe14___lsb 14
596#define reg_pinmux_rw_pe_iop___pe14___width 1
597#define reg_pinmux_rw_pe_iop___pe14___bit 14
598#define reg_pinmux_rw_pe_iop___pe15___lsb 15
599#define reg_pinmux_rw_pe_iop___pe15___width 1
600#define reg_pinmux_rw_pe_iop___pe15___bit 15
601#define reg_pinmux_rw_pe_iop___pe16___lsb 16
602#define reg_pinmux_rw_pe_iop___pe16___width 1
603#define reg_pinmux_rw_pe_iop___pe16___bit 16
604#define reg_pinmux_rw_pe_iop___pe17___lsb 17
605#define reg_pinmux_rw_pe_iop___pe17___width 1
606#define reg_pinmux_rw_pe_iop___pe17___bit 17
607#define reg_pinmux_rw_pe_iop_offset 36
608
609/* Register rw_usb_phy, scope pinmux, type rw */
610#define reg_pinmux_rw_usb_phy___en_usb0___lsb 0
611#define reg_pinmux_rw_usb_phy___en_usb0___width 1
612#define reg_pinmux_rw_usb_phy___en_usb0___bit 0
613#define reg_pinmux_rw_usb_phy___en_usb1___lsb 1
614#define reg_pinmux_rw_usb_phy___en_usb1___width 1
615#define reg_pinmux_rw_usb_phy___en_usb1___bit 1
616#define reg_pinmux_rw_usb_phy_offset 40
617
618
619/* Constants */
620#define regk_pinmux_no 0x00000000
621#define regk_pinmux_rw_hwprot_default 0x00000000
622#define regk_pinmux_rw_pa_default 0x00000000
623#define regk_pinmux_rw_pb_gio_default 0x00000000
624#define regk_pinmux_rw_pb_iop_default 0x00000000
625#define regk_pinmux_rw_pc_gio_default 0x00000000
626#define regk_pinmux_rw_pc_iop_default 0x00000000
627#define regk_pinmux_rw_pd_gio_default 0x00000000
628#define regk_pinmux_rw_pd_iop_default 0x00000000
629#define regk_pinmux_rw_pe_gio_default 0x00000000
630#define regk_pinmux_rw_pe_iop_default 0x00000000
631#define regk_pinmux_rw_usb_phy_default 0x00000000
632#define regk_pinmux_yes 0x00000001
633#endif /* __pinmux_defs_asm_h */