]> git.proxmox.com Git - mirror_ubuntu-focal-kernel.git/blame - arch/x86/kernel/entry_64.S
x86/asm/entry/64: Use local label to skip around sycall dispatch
[mirror_ubuntu-focal-kernel.git] / arch / x86 / kernel / entry_64.S
CommitLineData
1da177e4
LT
1/*
2 * linux/arch/x86_64/entry.S
3 *
4 * Copyright (C) 1991, 1992 Linus Torvalds
5 * Copyright (C) 2000, 2001, 2002 Andi Kleen SuSE Labs
6 * Copyright (C) 2000 Pavel Machek <pavel@suse.cz>
1da177e4
LT
7 */
8
9/*
10 * entry.S contains the system-call and fault low-level handling routines.
11 *
8b4777a4
AL
12 * Some of this is documented in Documentation/x86/entry_64.txt
13 *
1da177e4
LT
14 * NOTE: This code handles signal-recognition, which happens every time
15 * after an interrupt and after each system call.
0bd7b798 16 *
0bd7b798 17 * A note on terminology:
7fcb3bc3 18 * - iret frame: Architecture defined interrupt frame from SS to RIP
0bd7b798 19 * at the top of the kernel process stack.
2e91a17b
AK
20 *
21 * Some macro usage:
22 * - CFI macros are used to generate dwarf2 unwind information for better
23 * backtraces. They don't change any code.
2e91a17b 24 * - ENTRY/END Define functions in the symbol table.
2e91a17b 25 * - TRACE_IRQ_* - Trace hard interrupt state for lock debugging.
cb5dd2c5 26 * - idtentry - Define exception entry points.
1da177e4
LT
27 */
28
1da177e4
LT
29#include <linux/linkage.h>
30#include <asm/segment.h>
1da177e4
LT
31#include <asm/cache.h>
32#include <asm/errno.h>
33#include <asm/dwarf2.h>
34#include <asm/calling.h>
e2d5df93 35#include <asm/asm-offsets.h>
1da177e4
LT
36#include <asm/msr.h>
37#include <asm/unistd.h>
38#include <asm/thread_info.h>
39#include <asm/hw_irq.h>
0341c14d 40#include <asm/page_types.h>
2601e64d 41#include <asm/irqflags.h>
72fe4858 42#include <asm/paravirt.h>
9939ddaf 43#include <asm/percpu.h>
d7abc0fa 44#include <asm/asm.h>
91d1aa43 45#include <asm/context_tracking.h>
63bcff2a 46#include <asm/smap.h>
3891a04a 47#include <asm/pgtable_types.h>
d7e7528b 48#include <linux/err.h>
1da177e4 49
86a1c34a
RM
50/* Avoid __ASSEMBLER__'ifying <linux/audit.h> just for this. */
51#include <linux/elf-em.h>
52#define AUDIT_ARCH_X86_64 (EM_X86_64|__AUDIT_ARCH_64BIT|__AUDIT_ARCH_LE)
53#define __AUDIT_ARCH_64BIT 0x80000000
54#define __AUDIT_ARCH_LE 0x40000000
55
1da177e4 56 .code64
ea714547
JO
57 .section .entry.text, "ax"
58
16444a8a 59
72fe4858 60#ifdef CONFIG_PARAVIRT
2be29982 61ENTRY(native_usergs_sysret64)
72fe4858
GOC
62 swapgs
63 sysretq
b3baaa13 64ENDPROC(native_usergs_sysret64)
72fe4858
GOC
65#endif /* CONFIG_PARAVIRT */
66
2601e64d 67
f2db9382 68.macro TRACE_IRQS_IRETQ
2601e64d 69#ifdef CONFIG_TRACE_IRQFLAGS
f2db9382 70 bt $9,EFLAGS(%rsp) /* interrupts off? */
2601e64d
IM
71 jnc 1f
72 TRACE_IRQS_ON
731:
74#endif
75.endm
76
5963e317
SR
77/*
78 * When dynamic function tracer is enabled it will add a breakpoint
79 * to all locations that it is about to modify, sync CPUs, update
80 * all the code, sync CPUs, then remove the breakpoints. In this time
81 * if lockdep is enabled, it might jump back into the debug handler
82 * outside the updating of the IST protection. (TRACE_IRQS_ON/OFF).
83 *
84 * We need to change the IDT table before calling TRACE_IRQS_ON/OFF to
85 * make sure the stack pointer does not get reset back to the top
86 * of the debug stack, and instead just reuses the current stack.
87 */
88#if defined(CONFIG_DYNAMIC_FTRACE) && defined(CONFIG_TRACE_IRQFLAGS)
89
90.macro TRACE_IRQS_OFF_DEBUG
91 call debug_stack_set_zero
92 TRACE_IRQS_OFF
93 call debug_stack_reset
94.endm
95
96.macro TRACE_IRQS_ON_DEBUG
97 call debug_stack_set_zero
98 TRACE_IRQS_ON
99 call debug_stack_reset
100.endm
101
f2db9382
DV
102.macro TRACE_IRQS_IRETQ_DEBUG
103 bt $9,EFLAGS(%rsp) /* interrupts off? */
5963e317
SR
104 jnc 1f
105 TRACE_IRQS_ON_DEBUG
1061:
107.endm
108
109#else
110# define TRACE_IRQS_OFF_DEBUG TRACE_IRQS_OFF
111# define TRACE_IRQS_ON_DEBUG TRACE_IRQS_ON
112# define TRACE_IRQS_IRETQ_DEBUG TRACE_IRQS_IRETQ
113#endif
114
dcd072e2 115/*
e90e147c 116 * empty frame
dcd072e2
AH
117 */
118 .macro EMPTY_FRAME start=1 offset=0
7effaa88 119 .if \start
dcd072e2 120 CFI_STARTPROC simple
adf14236 121 CFI_SIGNAL_FRAME
dcd072e2 122 CFI_DEF_CFA rsp,8+\offset
7effaa88 123 .else
dcd072e2 124 CFI_DEF_CFA_OFFSET 8+\offset
7effaa88 125 .endif
1da177e4 126 .endm
d99015b1
AH
127
128/*
dcd072e2 129 * initial frame state for interrupts (and exceptions without error code)
d99015b1 130 */
dcd072e2 131 .macro INTR_FRAME start=1 offset=0
911d2bb5
DV
132 EMPTY_FRAME \start, 5*8+\offset
133 /*CFI_REL_OFFSET ss, 4*8+\offset*/
134 CFI_REL_OFFSET rsp, 3*8+\offset
135 /*CFI_REL_OFFSET rflags, 2*8+\offset*/
136 /*CFI_REL_OFFSET cs, 1*8+\offset*/
137 CFI_REL_OFFSET rip, 0*8+\offset
d99015b1
AH
138 .endm
139
d99015b1
AH
140/*
141 * initial frame state for exceptions with error code (and interrupts
142 * with vector already pushed)
143 */
dcd072e2 144 .macro XCPT_FRAME start=1 offset=0
911d2bb5 145 INTR_FRAME \start, 1*8+\offset
dcd072e2
AH
146 .endm
147
148/*
76f5df43 149 * frame that enables passing a complete pt_regs to a C function.
dcd072e2 150 */
76f5df43 151 .macro DEFAULT_FRAME start=1 offset=0
f2db9382
DV
152 XCPT_FRAME \start, ORIG_RAX+\offset
153 CFI_REL_OFFSET rdi, RDI+\offset
154 CFI_REL_OFFSET rsi, RSI+\offset
155 CFI_REL_OFFSET rdx, RDX+\offset
156 CFI_REL_OFFSET rcx, RCX+\offset
157 CFI_REL_OFFSET rax, RAX+\offset
158 CFI_REL_OFFSET r8, R8+\offset
159 CFI_REL_OFFSET r9, R9+\offset
160 CFI_REL_OFFSET r10, R10+\offset
161 CFI_REL_OFFSET r11, R11+\offset
dcd072e2
AH
162 CFI_REL_OFFSET rbx, RBX+\offset
163 CFI_REL_OFFSET rbp, RBP+\offset
164 CFI_REL_OFFSET r12, R12+\offset
165 CFI_REL_OFFSET r13, R13+\offset
166 CFI_REL_OFFSET r14, R14+\offset
167 CFI_REL_OFFSET r15, R15+\offset
168 .endm
d99015b1 169
1da177e4 170/*
b87cf63e 171 * 64bit SYSCALL instruction entry. Up to 6 arguments in registers.
1da177e4 172 *
b87cf63e
DV
173 * 64bit SYSCALL saves rip to rcx, clears rflags.RF, then saves rflags to r11,
174 * then loads new ss, cs, and rip from previously programmed MSRs.
175 * rflags gets masked by a value from another MSR (so CLD and CLAC
176 * are not needed). SYSCALL does not save anything on the stack
177 * and does not change rsp.
178 *
179 * Registers on entry:
1da177e4 180 * rax system call number
b87cf63e
DV
181 * rcx return address
182 * r11 saved rflags (note: r11 is callee-clobbered register in C ABI)
1da177e4 183 * rdi arg0
1da177e4 184 * rsi arg1
0bd7b798 185 * rdx arg2
b87cf63e 186 * r10 arg3 (needs to be moved to rcx to conform to C ABI)
1da177e4
LT
187 * r8 arg4
188 * r9 arg5
b87cf63e 189 * (note: r12-r15,rbp,rbx are callee-preserved in C ABI)
0bd7b798 190 *
1da177e4
LT
191 * Only called from user space.
192 *
7fcb3bc3 193 * When user can change pt_regs->foo always force IRET. That is because
7bf36bbc
AK
194 * it deals with uncanonical addresses better. SYSRET has trouble
195 * with them due to bugs in both AMD and Intel CPUs.
0bd7b798 196 */
1da177e4
LT
197
198ENTRY(system_call)
7effaa88 199 CFI_STARTPROC simple
adf14236 200 CFI_SIGNAL_FRAME
ef593260 201 CFI_DEF_CFA rsp,0
7effaa88
JB
202 CFI_REGISTER rip,rcx
203 /*CFI_REGISTER rflags,r11*/
9ed8e7d8
DV
204
205 /*
206 * Interrupts are off on entry.
207 * We do not frame this tiny irq-off block with TRACE_IRQS_OFF/ON,
208 * it is too small to ever cause noticeable irq latency.
209 */
72fe4858
GOC
210 SWAPGS_UNSAFE_STACK
211 /*
212 * A hypervisor implementation might want to use a label
213 * after the swapgs, so that it can do the swapgs
214 * for the guest and jump here on syscall.
215 */
f6b2bc84 216GLOBAL(system_call_after_swapgs)
72fe4858 217
c38e5038 218 movq %rsp,PER_CPU_VAR(rsp_scratch)
9af45651 219 movq PER_CPU_VAR(kernel_stack),%rsp
9ed8e7d8
DV
220
221 /* Construct struct pt_regs on stack */
222 pushq_cfi $__USER_DS /* pt_regs->ss */
223 pushq_cfi PER_CPU_VAR(rsp_scratch) /* pt_regs->sp */
33db1fd4 224 /*
9ed8e7d8
DV
225 * Re-enable interrupts.
226 * We use 'rsp_scratch' as a scratch space, hence irq-off block above
227 * must execute atomically in the face of possible interrupt-driven
228 * task preemption. We must enable interrupts only after we're done
229 * with using rsp_scratch:
33db1fd4
DV
230 */
231 ENABLE_INTERRUPTS(CLBR_NONE)
9ed8e7d8
DV
232 pushq_cfi %r11 /* pt_regs->flags */
233 pushq_cfi $__USER_CS /* pt_regs->cs */
234 pushq_cfi %rcx /* pt_regs->ip */
235 CFI_REL_OFFSET rip,0
236 pushq_cfi_reg rax /* pt_regs->orig_ax */
237 pushq_cfi_reg rdi /* pt_regs->di */
238 pushq_cfi_reg rsi /* pt_regs->si */
239 pushq_cfi_reg rdx /* pt_regs->dx */
240 pushq_cfi_reg rcx /* pt_regs->cx */
241 pushq_cfi $-ENOSYS /* pt_regs->ax */
242 pushq_cfi_reg r8 /* pt_regs->r8 */
243 pushq_cfi_reg r9 /* pt_regs->r9 */
244 pushq_cfi_reg r10 /* pt_regs->r10 */
a71ffdd7
DV
245 pushq_cfi_reg r11 /* pt_regs->r11 */
246 sub $(6*8),%rsp /* pt_regs->bp,bx,r12-15 not saved */
27be87c5 247 CFI_ADJUST_CFA_OFFSET 6*8
9ed8e7d8 248
dca5b52a 249 testl $_TIF_WORK_SYSCALL_ENTRY, ASM_THREAD_INFO(TI_flags, %rsp, SIZEOF_PTREGS)
1da177e4 250 jnz tracesys
86a1c34a 251system_call_fastpath:
fca460f9 252#if __SYSCALL_MASK == ~0
1da177e4 253 cmpq $__NR_syscall_max,%rax
fca460f9
PA
254#else
255 andl $__SYSCALL_MASK,%eax
256 cmpl $__NR_syscall_max,%eax
257#endif
146b2b09 258 ja 1f /* return -ENOSYS (already in pt_regs->ax) */
1da177e4 259 movq %r10,%rcx
146b2b09 260 call *sys_call_table(,%rax,8)
f2db9382 261 movq %rax,RAX(%rsp)
146b2b09 2621:
1da177e4 263/*
146b2b09
DV
264 * Syscall return path ending with SYSRET (fast path).
265 * Has incompletely filled pt_regs.
0bd7b798 266 */
10cd706d 267 LOCKDEP_SYS_EXIT
4416c5a6
DV
268 /*
269 * We do not frame this tiny irq-off block with TRACE_IRQS_OFF/ON,
270 * it is too small to ever cause noticeable irq latency.
271 */
72fe4858 272 DISABLE_INTERRUPTS(CLBR_NONE)
b3494a4a
AL
273
274 /*
275 * We must check ti flags with interrupts (or at least preemption)
276 * off because we must *never* return to userspace without
277 * processing exit work that is enqueued if we're preempted here.
278 * In particular, returning to userspace with any of the one-shot
279 * flags (TIF_NOTIFY_RESUME, TIF_USER_RETURN_NOTIFY, etc) set is
280 * very bad.
281 */
06ab9c1b
IM
282 testl $_TIF_ALLWORK_MASK, ASM_THREAD_INFO(TI_flags, %rsp, SIZEOF_PTREGS)
283 jnz int_ret_from_sys_call_irqs_off /* Go to the slow path */
b3494a4a 284
bcddc015 285 CFI_REMEMBER_STATE
4416c5a6 286
29722cd4
DV
287 RESTORE_C_REGS_EXCEPT_RCX_R11
288 movq RIP(%rsp),%rcx
7effaa88 289 CFI_REGISTER rip,rcx
29722cd4 290 movq EFLAGS(%rsp),%r11
7effaa88 291 /*CFI_REGISTER rflags,r11*/
263042e4 292 movq RSP(%rsp),%rsp
b87cf63e
DV
293 /*
294 * 64bit SYSRET restores rip from rcx,
295 * rflags from r11 (but RF and VM bits are forced to 0),
296 * cs and ss are loaded from MSRs.
4416c5a6 297 * Restoration of rflags re-enables interrupts.
b87cf63e 298 */
2be29982 299 USERGS_SYSRET64
1da177e4 300
bcddc015 301 CFI_RESTORE_STATE
1da177e4 302
7fcb3bc3 303 /* Do syscall entry tracing */
0bd7b798 304tracesys:
76f5df43 305 movq %rsp, %rdi
47eb582e 306 movl $AUDIT_ARCH_X86_64, %esi
1dcf74f6
AL
307 call syscall_trace_enter_phase1
308 test %rax, %rax
309 jnz tracesys_phase2 /* if needed, run the slow path */
76f5df43 310 RESTORE_C_REGS_EXCEPT_RAX /* else restore clobbered regs */
f2db9382 311 movq ORIG_RAX(%rsp), %rax
1dcf74f6
AL
312 jmp system_call_fastpath /* and return to the fast path */
313
314tracesys_phase2:
76f5df43 315 SAVE_EXTRA_REGS
1dcf74f6 316 movq %rsp, %rdi
47eb582e 317 movl $AUDIT_ARCH_X86_64, %esi
1dcf74f6
AL
318 movq %rax,%rdx
319 call syscall_trace_enter_phase2
320
d4d67150 321 /*
e90e147c 322 * Reload registers from stack in case ptrace changed them.
1dcf74f6 323 * We don't reload %rax because syscall_trace_entry_phase2() returned
d4d67150
RM
324 * the value it wants us to use in the table lookup.
325 */
76f5df43
DV
326 RESTORE_C_REGS_EXCEPT_RAX
327 RESTORE_EXTRA_REGS
fca460f9 328#if __SYSCALL_MASK == ~0
1da177e4 329 cmpq $__NR_syscall_max,%rax
fca460f9
PA
330#else
331 andl $__SYSCALL_MASK,%eax
332 cmpl $__NR_syscall_max,%eax
333#endif
a6de5a21 334 ja 1f /* return -ENOSYS (already in pt_regs->ax) */
1da177e4
LT
335 movq %r10,%rcx /* fixup for C */
336 call *sys_call_table(,%rax,8)
f2db9382 337 movq %rax,RAX(%rsp)
a6de5a21 3381:
7fcb3bc3 339 /* Use IRET because user could have changed pt_regs->foo */
0bd7b798
AH
340
341/*
1da177e4 342 * Syscall return path ending with IRET.
7fcb3bc3 343 * Has correct iret frame.
bcddc015 344 */
bc8b2b92 345GLOBAL(int_ret_from_sys_call)
72fe4858 346 DISABLE_INTERRUPTS(CLBR_NONE)
4416c5a6 347int_ret_from_sys_call_irqs_off: /* jumps come here from the irqs-off SYSRET path */
2601e64d 348 TRACE_IRQS_OFF
1da177e4
LT
349 movl $_TIF_ALLWORK_MASK,%edi
350 /* edi: mask to check */
bc8b2b92 351GLOBAL(int_with_check)
10cd706d 352 LOCKDEP_SYS_EXIT_IRQ
1da177e4 353 GET_THREAD_INFO(%rcx)
26ccb8a7 354 movl TI_flags(%rcx),%edx
1da177e4
LT
355 andl %edi,%edx
356 jnz int_careful
26ccb8a7 357 andl $~TS_COMPAT,TI_status(%rcx)
1da177e4
LT
358 jmp retint_swapgs
359
360 /* Either reschedule or signal or syscall exit tracking needed. */
361 /* First do a reschedule test. */
362 /* edx: work, edi: workmask */
363int_careful:
364 bt $TIF_NEED_RESCHED,%edx
365 jnc int_very_careful
2601e64d 366 TRACE_IRQS_ON
72fe4858 367 ENABLE_INTERRUPTS(CLBR_NONE)
df5d1874 368 pushq_cfi %rdi
0430499c 369 SCHEDULE_USER
df5d1874 370 popq_cfi %rdi
72fe4858 371 DISABLE_INTERRUPTS(CLBR_NONE)
2601e64d 372 TRACE_IRQS_OFF
1da177e4
LT
373 jmp int_with_check
374
7fcb3bc3 375 /* handle signals and tracing -- both require a full pt_regs */
1da177e4 376int_very_careful:
2601e64d 377 TRACE_IRQS_ON
72fe4858 378 ENABLE_INTERRUPTS(CLBR_NONE)
76f5df43 379 SAVE_EXTRA_REGS
0bd7b798 380 /* Check for syscall exit trace */
d4d67150 381 testl $_TIF_WORK_SYSCALL_EXIT,%edx
1da177e4 382 jz int_signal
df5d1874 383 pushq_cfi %rdi
0bd7b798 384 leaq 8(%rsp),%rdi # &ptregs -> arg1
1da177e4 385 call syscall_trace_leave
df5d1874 386 popq_cfi %rdi
d4d67150 387 andl $~(_TIF_WORK_SYSCALL_EXIT|_TIF_SYSCALL_EMU),%edi
1da177e4 388 jmp int_restore_rest
0bd7b798 389
1da177e4 390int_signal:
8f4d37ec 391 testl $_TIF_DO_NOTIFY_MASK,%edx
1da177e4
LT
392 jz 1f
393 movq %rsp,%rdi # &ptregs -> arg1
394 xorl %esi,%esi # oldset -> arg2
395 call do_notify_resume
eca91e78 3961: movl $_TIF_WORK_MASK,%edi
1da177e4 397int_restore_rest:
76f5df43 398 RESTORE_EXTRA_REGS
72fe4858 399 DISABLE_INTERRUPTS(CLBR_NONE)
2601e64d 400 TRACE_IRQS_OFF
1da177e4
LT
401 jmp int_with_check
402 CFI_ENDPROC
bcddc015 403END(system_call)
0bd7b798 404
1d4b4b29
AV
405 .macro FORK_LIKE func
406ENTRY(stub_\func)
407 CFI_STARTPROC
76f5df43
DV
408 DEFAULT_FRAME 0, 8 /* offset 8: return address */
409 SAVE_EXTRA_REGS 8
1d4b4b29 410 call sys_\func
76f5df43 411 ret
1d4b4b29
AV
412 CFI_ENDPROC
413END(stub_\func)
414 .endm
415
416 FORK_LIKE clone
417 FORK_LIKE fork
418 FORK_LIKE vfork
1da177e4 419
1da177e4
LT
420ENTRY(stub_execve)
421 CFI_STARTPROC
e6b04b6b 422 addq $8, %rsp
76f5df43
DV
423 DEFAULT_FRAME 0
424 SAVE_EXTRA_REGS
1da177e4 425 call sys_execve
1da177e4 426 movq %rax,RAX(%rsp)
76f5df43 427 RESTORE_EXTRA_REGS
1da177e4
LT
428 jmp int_ret_from_sys_call
429 CFI_ENDPROC
4b787e0b 430END(stub_execve)
0bd7b798 431
27d6ec7a
DD
432ENTRY(stub_execveat)
433 CFI_STARTPROC
434 addq $8, %rsp
76f5df43
DV
435 DEFAULT_FRAME 0
436 SAVE_EXTRA_REGS
27d6ec7a 437 call sys_execveat
27d6ec7a 438 movq %rax,RAX(%rsp)
76f5df43 439 RESTORE_EXTRA_REGS
27d6ec7a
DD
440 jmp int_ret_from_sys_call
441 CFI_ENDPROC
442END(stub_execveat)
443
1da177e4
LT
444/*
445 * sigreturn is special because it needs to restore all registers on return.
446 * This cannot be done with SYSRET, so use the IRET return path instead.
0bd7b798 447 */
1da177e4
LT
448ENTRY(stub_rt_sigreturn)
449 CFI_STARTPROC
7effaa88 450 addq $8, %rsp
76f5df43
DV
451 DEFAULT_FRAME 0
452 SAVE_EXTRA_REGS
1da177e4
LT
453 call sys_rt_sigreturn
454 movq %rax,RAX(%rsp) # fixme, this could be done at the higher layer
76f5df43 455 RESTORE_EXTRA_REGS
1da177e4
LT
456 jmp int_ret_from_sys_call
457 CFI_ENDPROC
4b787e0b 458END(stub_rt_sigreturn)
1da177e4 459
c5a37394 460#ifdef CONFIG_X86_X32_ABI
c5a37394
PA
461ENTRY(stub_x32_rt_sigreturn)
462 CFI_STARTPROC
463 addq $8, %rsp
76f5df43
DV
464 DEFAULT_FRAME 0
465 SAVE_EXTRA_REGS
c5a37394
PA
466 call sys32_x32_rt_sigreturn
467 movq %rax,RAX(%rsp) # fixme, this could be done at the higher layer
76f5df43 468 RESTORE_EXTRA_REGS
c5a37394
PA
469 jmp int_ret_from_sys_call
470 CFI_ENDPROC
471END(stub_x32_rt_sigreturn)
472
d1a797f3
PA
473ENTRY(stub_x32_execve)
474 CFI_STARTPROC
475 addq $8, %rsp
76f5df43
DV
476 DEFAULT_FRAME 0
477 SAVE_EXTRA_REGS
6783eaa2 478 call compat_sys_execve
d1a797f3 479 movq %rax,RAX(%rsp)
76f5df43 480 RESTORE_EXTRA_REGS
d1a797f3
PA
481 jmp int_ret_from_sys_call
482 CFI_ENDPROC
483END(stub_x32_execve)
484
27d6ec7a
DD
485ENTRY(stub_x32_execveat)
486 CFI_STARTPROC
487 addq $8, %rsp
76f5df43
DV
488 DEFAULT_FRAME 0
489 SAVE_EXTRA_REGS
27d6ec7a 490 call compat_sys_execveat
27d6ec7a 491 movq %rax,RAX(%rsp)
76f5df43 492 RESTORE_EXTRA_REGS
27d6ec7a
DD
493 jmp int_ret_from_sys_call
494 CFI_ENDPROC
495END(stub_x32_execveat)
496
c5a37394
PA
497#endif
498
1eeb207f
DV
499/*
500 * A newly forked process directly context switches into this address.
501 *
502 * rdi: prev task we switched from
503 */
504ENTRY(ret_from_fork)
505 DEFAULT_FRAME
506
507 LOCK ; btr $TIF_FORK,TI_flags(%r8)
508
509 pushq_cfi $0x0002
510 popfq_cfi # reset kernel eflags
511
512 call schedule_tail # rdi: 'prev' task parameter
513
514 GET_THREAD_INFO(%rcx)
515
516 RESTORE_EXTRA_REGS
517
518 testl $3,CS(%rsp) # from kernel_thread?
519 jz 1f
520
1e3fbb8a
AL
521 /*
522 * By the time we get here, we have no idea whether our pt_regs,
523 * ti flags, and ti status came from the 64-bit SYSCALL fast path,
524 * the slow path, or one of the ia32entry paths.
525 * Use int_ret_from_sys_call to return, since it can safely handle
526 * all of the above.
527 */
528 jmp int_ret_from_sys_call
1eeb207f
DV
529
5301:
531 movq %rbp, %rdi
532 call *%rbx
533 movl $0, RAX(%rsp)
534 RESTORE_EXTRA_REGS
535 jmp int_ret_from_sys_call
536 CFI_ENDPROC
537END(ret_from_fork)
538
939b7871
PA
539/*
540 * Build the entry stubs and pointer table with some assembler magic.
541 * We pack 7 stubs into a single 32-byte chunk, which will fit in a
542 * single cache line on all modern x86 implementations.
543 */
544 .section .init.rodata,"a"
545ENTRY(interrupt)
ea714547 546 .section .entry.text
939b7871
PA
547 .p2align 5
548 .p2align CONFIG_X86_L1_CACHE_SHIFT
549ENTRY(irq_entries_start)
550 INTR_FRAME
551vector=FIRST_EXTERNAL_VECTOR
2414e021 552.rept (FIRST_SYSTEM_VECTOR-FIRST_EXTERNAL_VECTOR+6)/7
939b7871
PA
553 .balign 32
554 .rept 7
2414e021 555 .if vector < FIRST_SYSTEM_VECTOR
8665596e 556 .if vector <> FIRST_EXTERNAL_VECTOR
939b7871
PA
557 CFI_ADJUST_CFA_OFFSET -8
558 .endif
df5d1874 5591: pushq_cfi $(~vector+0x80) /* Note: always in signed byte range */
8665596e 560 .if ((vector-FIRST_EXTERNAL_VECTOR)%7) <> 6
939b7871
PA
561 jmp 2f
562 .endif
563 .previous
564 .quad 1b
ea714547 565 .section .entry.text
939b7871
PA
566vector=vector+1
567 .endif
568 .endr
5692: jmp common_interrupt
570.endr
571 CFI_ENDPROC
572END(irq_entries_start)
573
574.previous
575END(interrupt)
576.previous
577
d99015b1 578/*
1da177e4
LT
579 * Interrupt entry/exit.
580 *
581 * Interrupt entry points save only callee clobbered registers in fast path.
d99015b1
AH
582 *
583 * Entry runs with interrupts off.
584 */
1da177e4 585
722024db 586/* 0(%rsp): ~(interrupt number) */
1da177e4 587 .macro interrupt func
f6f64681 588 cld
e90e147c
DV
589 /*
590 * Since nothing in interrupt handling code touches r12...r15 members
591 * of "struct pt_regs", and since interrupts can nest, we can save
592 * four stack slots and simultaneously provide
593 * an unwind-friendly stack layout by saving "truncated" pt_regs
594 * exactly up to rbp slot, without these members.
595 */
76f5df43
DV
596 ALLOC_PT_GPREGS_ON_STACK -RBP
597 SAVE_C_REGS -RBP
598 /* this goes to 0(%rsp) for unwinder, not for saving the value: */
599 SAVE_EXTRA_REGS_RBP -RBP
600
601 leaq -RBP(%rsp),%rdi /* arg1 for \func (pointer to pt_regs) */
f6f64681 602
76f5df43 603 testl $3, CS-RBP(%rsp)
f6f64681
DV
604 je 1f
605 SWAPGS
76f5df43 6061:
f6f64681 607 /*
e90e147c 608 * Save previous stack pointer, optionally switch to interrupt stack.
f6f64681
DV
609 * irq_count is used to check if a CPU is already on an interrupt stack
610 * or not. While this is essentially redundant with preempt_count it is
611 * a little cheaper to use a separate counter in the PDA (short of
612 * moving irq_enter into assembly, which would be too much work)
613 */
76f5df43
DV
614 movq %rsp, %rsi
615 incl PER_CPU_VAR(irq_count)
f6f64681
DV
616 cmovzq PER_CPU_VAR(irq_stack_ptr),%rsp
617 CFI_DEF_CFA_REGISTER rsi
f6f64681 618 pushq %rsi
911d2bb5
DV
619 /*
620 * For debugger:
621 * "CFA (Current Frame Address) is the value on stack + offset"
622 */
f6f64681 623 CFI_ESCAPE 0x0f /* DW_CFA_def_cfa_expression */, 6, \
911d2bb5 624 0x77 /* DW_OP_breg7 (rsp) */, 0, \
f6f64681 625 0x06 /* DW_OP_deref */, \
911d2bb5 626 0x08 /* DW_OP_const1u */, SIZEOF_PTREGS-RBP, \
f6f64681
DV
627 0x22 /* DW_OP_plus */
628 /* We entered an interrupt context - irqs are off: */
629 TRACE_IRQS_OFF
630
1da177e4
LT
631 call \func
632 .endm
633
722024db
AH
634 /*
635 * The interrupt stubs push (~vector+0x80) onto the stack and
636 * then jump to common_interrupt.
637 */
939b7871
PA
638 .p2align CONFIG_X86_L1_CACHE_SHIFT
639common_interrupt:
7effaa88 640 XCPT_FRAME
ee4eb87b 641 ASM_CLAC
722024db 642 addq $-0x80,(%rsp) /* Adjust vector to [-256,-1] range */
1da177e4 643 interrupt do_IRQ
34061f13 644 /* 0(%rsp): old RSP */
7effaa88 645ret_from_intr:
72fe4858 646 DISABLE_INTERRUPTS(CLBR_NONE)
2601e64d 647 TRACE_IRQS_OFF
56895530 648 decl PER_CPU_VAR(irq_count)
625dbc3b 649
a2bbe750
FW
650 /* Restore saved previous stack */
651 popq %rsi
911d2bb5 652 CFI_DEF_CFA rsi,SIZEOF_PTREGS-RBP /* reg/off reset after def_cfa_expr */
e90e147c 653 /* return code expects complete pt_regs - adjust rsp accordingly: */
f2db9382 654 leaq -RBP(%rsi),%rsp
7effaa88 655 CFI_DEF_CFA_REGISTER rsp
f2db9382 656 CFI_ADJUST_CFA_OFFSET RBP
625dbc3b 657
f2db9382 658 testl $3,CS(%rsp)
1da177e4 659 je retint_kernel
1da177e4 660 /* Interrupt came from user space */
a3675b32
DV
661
662 GET_THREAD_INFO(%rcx)
1da177e4 663 /*
1da177e4 664 * %rcx: thread info. Interrupts off.
0bd7b798 665 */
1da177e4
LT
666retint_with_reschedule:
667 movl $_TIF_WORK_MASK,%edi
7effaa88 668retint_check:
10cd706d 669 LOCKDEP_SYS_EXIT_IRQ
26ccb8a7 670 movl TI_flags(%rcx),%edx
1da177e4 671 andl %edi,%edx
7effaa88 672 CFI_REMEMBER_STATE
1da177e4 673 jnz retint_careful
10cd706d
PZ
674
675retint_swapgs: /* return to user-space */
2601e64d
IM
676 /*
677 * The iretq could re-enable interrupts:
678 */
72fe4858 679 DISABLE_INTERRUPTS(CLBR_ANY)
2601e64d 680 TRACE_IRQS_IRETQ
2a23c6b8
AL
681
682 /*
683 * Try to use SYSRET instead of IRET if we're returning to
684 * a completely clean 64-bit userspace context.
685 */
f2db9382
DV
686 movq RCX(%rsp),%rcx
687 cmpq %rcx,RIP(%rsp) /* RCX == RIP */
2a23c6b8
AL
688 jne opportunistic_sysret_failed
689
690 /*
691 * On Intel CPUs, sysret with non-canonical RCX/RIP will #GP
692 * in kernel space. This essentially lets the user take over
693 * the kernel, since userspace controls RSP. It's not worth
694 * testing for canonicalness exactly -- this check detects any
695 * of the 17 high bits set, which is true for non-canonical
696 * or kernel addresses. (This will pessimize vsyscall=native.
697 * Big deal.)
698 *
699 * If virtual addresses ever become wider, this will need
700 * to be updated to remain correct on both old and new CPUs.
701 */
702 .ifne __VIRTUAL_MASK_SHIFT - 47
703 .error "virtual address width changed -- sysret checks need update"
704 .endif
705 shr $__VIRTUAL_MASK_SHIFT, %rcx
706 jnz opportunistic_sysret_failed
707
f2db9382 708 cmpq $__USER_CS,CS(%rsp) /* CS must match SYSRET */
2a23c6b8
AL
709 jne opportunistic_sysret_failed
710
f2db9382
DV
711 movq R11(%rsp),%r11
712 cmpq %r11,EFLAGS(%rsp) /* R11 == RFLAGS */
2a23c6b8
AL
713 jne opportunistic_sysret_failed
714
f2db9382 715 testq $X86_EFLAGS_RF,%r11 /* sysret can't restore RF */
2a23c6b8
AL
716 jnz opportunistic_sysret_failed
717
718 /* nothing to check for RSP */
719
f2db9382 720 cmpq $__USER_DS,SS(%rsp) /* SS must match SYSRET */
2a23c6b8
AL
721 jne opportunistic_sysret_failed
722
723 /*
724 * We win! This label is here just for ease of understanding
725 * perf profiles. Nothing jumps here.
726 */
727irq_return_via_sysret:
728 CFI_REMEMBER_STATE
d441c1f2
DV
729 /* r11 is already restored (see code above) */
730 RESTORE_C_REGS_EXCEPT_R11
731 movq RSP(%rsp),%rsp
2a23c6b8
AL
732 USERGS_SYSRET64
733 CFI_RESTORE_STATE
734
735opportunistic_sysret_failed:
72fe4858 736 SWAPGS
2601e64d
IM
737 jmp restore_args
738
627276cb 739/* Returning to kernel space */
6ba71b76 740retint_kernel:
627276cb
DV
741#ifdef CONFIG_PREEMPT
742 /* Interrupts are off */
743 /* Check if we need preemption */
627276cb 744 bt $9,EFLAGS(%rsp) /* interrupts were off? */
6ba71b76 745 jnc 1f
36acef25
DV
7460: cmpl $0,PER_CPU_VAR(__preempt_count)
747 jnz 1f
627276cb 748 call preempt_schedule_irq
36acef25 749 jmp 0b
6ba71b76 7501:
627276cb 751#endif
2601e64d
IM
752 /*
753 * The iretq could re-enable interrupts:
754 */
755 TRACE_IRQS_IRETQ
756restore_args:
76f5df43
DV
757 RESTORE_C_REGS
758 REMOVE_PT_GPREGS_FROM_STACK 8
3701d863 759
f7f3d791 760irq_return:
7209a75d
AL
761 INTERRUPT_RETURN
762
763ENTRY(native_iret)
3891a04a
PA
764 /*
765 * Are we returning to a stack segment from the LDT? Note: in
766 * 64-bit mode SS:RSP on the exception stack is always valid.
767 */
34273f41 768#ifdef CONFIG_X86_ESPFIX64
3891a04a 769 testb $4,(SS-RIP)(%rsp)
7209a75d 770 jnz native_irq_return_ldt
34273f41 771#endif
3891a04a 772
af726f21 773.global native_irq_return_iret
7209a75d 774native_irq_return_iret:
b645af2d
AL
775 /*
776 * This may fault. Non-paranoid faults on return to userspace are
777 * handled by fixup_bad_iret. These include #SS, #GP, and #NP.
778 * Double-faults due to espfix64 are handled in do_double_fault.
779 * Other faults here are fatal.
780 */
1da177e4 781 iretq
3701d863 782
34273f41 783#ifdef CONFIG_X86_ESPFIX64
7209a75d 784native_irq_return_ldt:
3891a04a
PA
785 pushq_cfi %rax
786 pushq_cfi %rdi
787 SWAPGS
788 movq PER_CPU_VAR(espfix_waddr),%rdi
789 movq %rax,(0*8)(%rdi) /* RAX */
790 movq (2*8)(%rsp),%rax /* RIP */
791 movq %rax,(1*8)(%rdi)
792 movq (3*8)(%rsp),%rax /* CS */
793 movq %rax,(2*8)(%rdi)
794 movq (4*8)(%rsp),%rax /* RFLAGS */
795 movq %rax,(3*8)(%rdi)
796 movq (6*8)(%rsp),%rax /* SS */
797 movq %rax,(5*8)(%rdi)
798 movq (5*8)(%rsp),%rax /* RSP */
799 movq %rax,(4*8)(%rdi)
800 andl $0xffff0000,%eax
801 popq_cfi %rdi
802 orq PER_CPU_VAR(espfix_stack),%rax
803 SWAPGS
804 movq %rax,%rsp
805 popq_cfi %rax
7209a75d 806 jmp native_irq_return_iret
34273f41 807#endif
3891a04a 808
7effaa88 809 /* edi: workmask, edx: work */
1da177e4 810retint_careful:
7effaa88 811 CFI_RESTORE_STATE
1da177e4
LT
812 bt $TIF_NEED_RESCHED,%edx
813 jnc retint_signal
2601e64d 814 TRACE_IRQS_ON
72fe4858 815 ENABLE_INTERRUPTS(CLBR_NONE)
df5d1874 816 pushq_cfi %rdi
0430499c 817 SCHEDULE_USER
df5d1874 818 popq_cfi %rdi
1da177e4 819 GET_THREAD_INFO(%rcx)
72fe4858 820 DISABLE_INTERRUPTS(CLBR_NONE)
2601e64d 821 TRACE_IRQS_OFF
1da177e4 822 jmp retint_check
0bd7b798 823
1da177e4 824retint_signal:
8f4d37ec 825 testl $_TIF_DO_NOTIFY_MASK,%edx
10ffdbb8 826 jz retint_swapgs
2601e64d 827 TRACE_IRQS_ON
72fe4858 828 ENABLE_INTERRUPTS(CLBR_NONE)
76f5df43 829 SAVE_EXTRA_REGS
0bd7b798 830 movq $-1,ORIG_RAX(%rsp)
3829ee6b 831 xorl %esi,%esi # oldset
1da177e4
LT
832 movq %rsp,%rdi # &pt_regs
833 call do_notify_resume
76f5df43 834 RESTORE_EXTRA_REGS
72fe4858 835 DISABLE_INTERRUPTS(CLBR_NONE)
2601e64d 836 TRACE_IRQS_OFF
be9e6870 837 GET_THREAD_INFO(%rcx)
eca91e78 838 jmp retint_with_reschedule
1da177e4 839
1da177e4 840 CFI_ENDPROC
4b787e0b 841END(common_interrupt)
3891a04a 842
1da177e4
LT
843/*
844 * APIC interrupts.
0bd7b798 845 */
cf910e83 846.macro apicinterrupt3 num sym do_sym
322648d1 847ENTRY(\sym)
7effaa88 848 INTR_FRAME
ee4eb87b 849 ASM_CLAC
df5d1874 850 pushq_cfi $~(\num)
39e95433 851.Lcommon_\sym:
322648d1 852 interrupt \do_sym
1da177e4
LT
853 jmp ret_from_intr
854 CFI_ENDPROC
322648d1
AH
855END(\sym)
856.endm
1da177e4 857
cf910e83
SA
858#ifdef CONFIG_TRACING
859#define trace(sym) trace_##sym
860#define smp_trace(sym) smp_trace_##sym
861
862.macro trace_apicinterrupt num sym
863apicinterrupt3 \num trace(\sym) smp_trace(\sym)
864.endm
865#else
866.macro trace_apicinterrupt num sym do_sym
867.endm
868#endif
869
870.macro apicinterrupt num sym do_sym
871apicinterrupt3 \num \sym \do_sym
872trace_apicinterrupt \num \sym
873.endm
874
322648d1 875#ifdef CONFIG_SMP
cf910e83 876apicinterrupt3 IRQ_MOVE_CLEANUP_VECTOR \
322648d1 877 irq_move_cleanup_interrupt smp_irq_move_cleanup_interrupt
cf910e83 878apicinterrupt3 REBOOT_VECTOR \
4ef702c1 879 reboot_interrupt smp_reboot_interrupt
322648d1 880#endif
1da177e4 881
03b48632 882#ifdef CONFIG_X86_UV
cf910e83 883apicinterrupt3 UV_BAU_MESSAGE \
322648d1 884 uv_bau_message_intr1 uv_bau_message_interrupt
03b48632 885#endif
322648d1
AH
886apicinterrupt LOCAL_TIMER_VECTOR \
887 apic_timer_interrupt smp_apic_timer_interrupt
4a4de9c7
DS
888apicinterrupt X86_PLATFORM_IPI_VECTOR \
889 x86_platform_ipi smp_x86_platform_ipi
89b831ef 890
d78f2664 891#ifdef CONFIG_HAVE_KVM
cf910e83 892apicinterrupt3 POSTED_INTR_VECTOR \
d78f2664
YZ
893 kvm_posted_intr_ipi smp_kvm_posted_intr_ipi
894#endif
895
33e5ff63 896#ifdef CONFIG_X86_MCE_THRESHOLD
322648d1 897apicinterrupt THRESHOLD_APIC_VECTOR \
7856f6cc 898 threshold_interrupt smp_threshold_interrupt
33e5ff63
SA
899#endif
900
901#ifdef CONFIG_X86_THERMAL_VECTOR
322648d1
AH
902apicinterrupt THERMAL_APIC_VECTOR \
903 thermal_interrupt smp_thermal_interrupt
33e5ff63 904#endif
1812924b 905
322648d1
AH
906#ifdef CONFIG_SMP
907apicinterrupt CALL_FUNCTION_SINGLE_VECTOR \
908 call_function_single_interrupt smp_call_function_single_interrupt
909apicinterrupt CALL_FUNCTION_VECTOR \
910 call_function_interrupt smp_call_function_interrupt
911apicinterrupt RESCHEDULE_VECTOR \
912 reschedule_interrupt smp_reschedule_interrupt
913#endif
1da177e4 914
322648d1
AH
915apicinterrupt ERROR_APIC_VECTOR \
916 error_interrupt smp_error_interrupt
917apicinterrupt SPURIOUS_APIC_VECTOR \
918 spurious_interrupt smp_spurious_interrupt
0bd7b798 919
e360adbe
PZ
920#ifdef CONFIG_IRQ_WORK
921apicinterrupt IRQ_WORK_VECTOR \
922 irq_work_interrupt smp_irq_work_interrupt
241771ef
IM
923#endif
924
1da177e4
LT
925/*
926 * Exception entry points.
0bd7b798 927 */
9b476688 928#define CPU_TSS_IST(x) PER_CPU_VAR(cpu_tss) + (TSS_ist + ((x) - 1) * 8)
577ed45e
AL
929
930.macro idtentry sym do_sym has_error_code:req paranoid=0 shift_ist=-1
322648d1 931ENTRY(\sym)
577ed45e
AL
932 /* Sanity check */
933 .if \shift_ist != -1 && \paranoid == 0
934 .error "using shift_ist requires paranoid=1"
935 .endif
936
cb5dd2c5
AL
937 .if \has_error_code
938 XCPT_FRAME
939 .else
7effaa88 940 INTR_FRAME
cb5dd2c5 941 .endif
1da177e4 942
ee4eb87b 943 ASM_CLAC
b8b1d08b 944 PARAVIRT_ADJUST_EXCEPTION_FRAME
cb5dd2c5
AL
945
946 .ifeq \has_error_code
947 pushq_cfi $-1 /* ORIG_RAX: no syscall to restart */
948 .endif
949
76f5df43 950 ALLOC_PT_GPREGS_ON_STACK
cb5dd2c5
AL
951
952 .if \paranoid
48e08d0f
AL
953 .if \paranoid == 1
954 CFI_REMEMBER_STATE
955 testl $3, CS(%rsp) /* If coming from userspace, switch */
956 jnz 1f /* stacks. */
957 .endif
ebfc453e 958 call paranoid_entry
cb5dd2c5
AL
959 .else
960 call error_entry
961 .endif
ebfc453e 962 /* returned flag: ebx=0: need swapgs on exit, ebx=1: don't need it */
cb5dd2c5 963
1bd24efc 964 DEFAULT_FRAME 0
cb5dd2c5
AL
965
966 .if \paranoid
577ed45e
AL
967 .if \shift_ist != -1
968 TRACE_IRQS_OFF_DEBUG /* reload IDT in case of recursion */
969 .else
b8b1d08b 970 TRACE_IRQS_OFF
cb5dd2c5 971 .endif
577ed45e 972 .endif
cb5dd2c5
AL
973
974 movq %rsp,%rdi /* pt_regs pointer */
975
976 .if \has_error_code
977 movq ORIG_RAX(%rsp),%rsi /* get error code */
978 movq $-1,ORIG_RAX(%rsp) /* no syscall to restart */
979 .else
980 xorl %esi,%esi /* no error code */
981 .endif
982
577ed45e 983 .if \shift_ist != -1
9b476688 984 subq $EXCEPTION_STKSZ, CPU_TSS_IST(\shift_ist)
577ed45e
AL
985 .endif
986
322648d1 987 call \do_sym
cb5dd2c5 988
577ed45e 989 .if \shift_ist != -1
9b476688 990 addq $EXCEPTION_STKSZ, CPU_TSS_IST(\shift_ist)
577ed45e
AL
991 .endif
992
ebfc453e 993 /* these procedures expect "no swapgs" flag in ebx */
cb5dd2c5 994 .if \paranoid
ebfc453e 995 jmp paranoid_exit
cb5dd2c5 996 .else
ebfc453e 997 jmp error_exit
cb5dd2c5
AL
998 .endif
999
48e08d0f
AL
1000 .if \paranoid == 1
1001 CFI_RESTORE_STATE
1002 /*
1003 * Paranoid entry from userspace. Switch stacks and treat it
1004 * as a normal entry. This means that paranoid handlers
1005 * run in real process context if user_mode(regs).
1006 */
10071:
1008 call error_entry
1009
1010 DEFAULT_FRAME 0
1011
1012 movq %rsp,%rdi /* pt_regs pointer */
1013 call sync_regs
1014 movq %rax,%rsp /* switch stack */
1015
1016 movq %rsp,%rdi /* pt_regs pointer */
1017
1018 .if \has_error_code
1019 movq ORIG_RAX(%rsp),%rsi /* get error code */
1020 movq $-1,ORIG_RAX(%rsp) /* no syscall to restart */
1021 .else
1022 xorl %esi,%esi /* no error code */
1023 .endif
1024
1025 call \do_sym
1026
1027 jmp error_exit /* %ebx: no swapgs flag */
1028 .endif
1029
b8b1d08b 1030 CFI_ENDPROC
ddeb8f21 1031END(\sym)
322648d1 1032.endm
b8b1d08b 1033
25c74b10 1034#ifdef CONFIG_TRACING
cb5dd2c5
AL
1035.macro trace_idtentry sym do_sym has_error_code:req
1036idtentry trace(\sym) trace(\do_sym) has_error_code=\has_error_code
1037idtentry \sym \do_sym has_error_code=\has_error_code
25c74b10
SA
1038.endm
1039#else
cb5dd2c5
AL
1040.macro trace_idtentry sym do_sym has_error_code:req
1041idtentry \sym \do_sym has_error_code=\has_error_code
25c74b10
SA
1042.endm
1043#endif
1044
cb5dd2c5
AL
1045idtentry divide_error do_divide_error has_error_code=0
1046idtentry overflow do_overflow has_error_code=0
1047idtentry bounds do_bounds has_error_code=0
1048idtentry invalid_op do_invalid_op has_error_code=0
1049idtentry device_not_available do_device_not_available has_error_code=0
48e08d0f 1050idtentry double_fault do_double_fault has_error_code=1 paranoid=2
cb5dd2c5
AL
1051idtentry coprocessor_segment_overrun do_coprocessor_segment_overrun has_error_code=0
1052idtentry invalid_TSS do_invalid_TSS has_error_code=1
1053idtentry segment_not_present do_segment_not_present has_error_code=1
1054idtentry spurious_interrupt_bug do_spurious_interrupt_bug has_error_code=0
1055idtentry coprocessor_error do_coprocessor_error has_error_code=0
1056idtentry alignment_check do_alignment_check has_error_code=1
1057idtentry simd_coprocessor_error do_simd_coprocessor_error has_error_code=0
5cec93c2 1058
2601e64d 1059
9f1e87ea
CG
1060 /* Reload gs selector with exception handling */
1061 /* edi: new selector */
9f9d489a 1062ENTRY(native_load_gs_index)
7effaa88 1063 CFI_STARTPROC
df5d1874 1064 pushfq_cfi
b8aa287f 1065 DISABLE_INTERRUPTS(CLBR_ANY & ~CLBR_RDI)
9f1e87ea 1066 SWAPGS
0bd7b798 1067gs_change:
9f1e87ea 1068 movl %edi,%gs
1da177e4 10692: mfence /* workaround */
72fe4858 1070 SWAPGS
df5d1874 1071 popfq_cfi
9f1e87ea 1072 ret
7effaa88 1073 CFI_ENDPROC
6efdcfaf 1074END(native_load_gs_index)
0bd7b798 1075
d7abc0fa 1076 _ASM_EXTABLE(gs_change,bad_gs)
9f1e87ea 1077 .section .fixup,"ax"
1da177e4 1078 /* running with kernelgs */
0bd7b798 1079bad_gs:
72fe4858 1080 SWAPGS /* switch back to user gs */
1da177e4 1081 xorl %eax,%eax
9f1e87ea
CG
1082 movl %eax,%gs
1083 jmp 2b
1084 .previous
0bd7b798 1085
2699500b 1086/* Call softirq on interrupt stack. Interrupts are off. */
7d65f4a6 1087ENTRY(do_softirq_own_stack)
7effaa88 1088 CFI_STARTPROC
df5d1874 1089 pushq_cfi %rbp
2699500b
AK
1090 CFI_REL_OFFSET rbp,0
1091 mov %rsp,%rbp
1092 CFI_DEF_CFA_REGISTER rbp
56895530 1093 incl PER_CPU_VAR(irq_count)
26f80bd6 1094 cmove PER_CPU_VAR(irq_stack_ptr),%rsp
2699500b 1095 push %rbp # backlink for old unwinder
ed6b676c 1096 call __do_softirq
2699500b 1097 leaveq
df5d1874 1098 CFI_RESTORE rbp
7effaa88 1099 CFI_DEF_CFA_REGISTER rsp
2699500b 1100 CFI_ADJUST_CFA_OFFSET -8
56895530 1101 decl PER_CPU_VAR(irq_count)
ed6b676c 1102 ret
7effaa88 1103 CFI_ENDPROC
7d65f4a6 1104END(do_softirq_own_stack)
75154f40 1105
3d75e1b8 1106#ifdef CONFIG_XEN
cb5dd2c5 1107idtentry xen_hypervisor_callback xen_do_hypervisor_callback has_error_code=0
3d75e1b8
JF
1108
1109/*
9f1e87ea
CG
1110 * A note on the "critical region" in our callback handler.
1111 * We want to avoid stacking callback handlers due to events occurring
1112 * during handling of the last event. To do this, we keep events disabled
1113 * until we've done all processing. HOWEVER, we must enable events before
1114 * popping the stack frame (can't be done atomically) and so it would still
1115 * be possible to get enough handler activations to overflow the stack.
1116 * Although unlikely, bugs of that kind are hard to track down, so we'd
1117 * like to avoid the possibility.
1118 * So, on entry to the handler we detect whether we interrupted an
1119 * existing activation in its critical region -- if so, we pop the current
1120 * activation and restart the handler using the previous one.
1121 */
3d75e1b8
JF
1122ENTRY(xen_do_hypervisor_callback) # do_hypervisor_callback(struct *pt_regs)
1123 CFI_STARTPROC
9f1e87ea
CG
1124/*
1125 * Since we don't modify %rdi, evtchn_do_upall(struct *pt_regs) will
1126 * see the correct pointer to the pt_regs
1127 */
3d75e1b8
JF
1128 movq %rdi, %rsp # we don't return, adjust the stack frame
1129 CFI_ENDPROC
dcd072e2 1130 DEFAULT_FRAME
56895530 113111: incl PER_CPU_VAR(irq_count)
3d75e1b8
JF
1132 movq %rsp,%rbp
1133 CFI_DEF_CFA_REGISTER rbp
26f80bd6 1134 cmovzq PER_CPU_VAR(irq_stack_ptr),%rsp
3d75e1b8
JF
1135 pushq %rbp # backlink for old unwinder
1136 call xen_evtchn_do_upcall
1137 popq %rsp
1138 CFI_DEF_CFA_REGISTER rsp
56895530 1139 decl PER_CPU_VAR(irq_count)
fdfd811d
DV
1140#ifndef CONFIG_PREEMPT
1141 call xen_maybe_preempt_hcall
1142#endif
3d75e1b8
JF
1143 jmp error_exit
1144 CFI_ENDPROC
371c394a 1145END(xen_do_hypervisor_callback)
3d75e1b8
JF
1146
1147/*
9f1e87ea
CG
1148 * Hypervisor uses this for application faults while it executes.
1149 * We get here for two reasons:
1150 * 1. Fault while reloading DS, ES, FS or GS
1151 * 2. Fault while executing IRET
1152 * Category 1 we do not need to fix up as Xen has already reloaded all segment
1153 * registers that could be reloaded and zeroed the others.
1154 * Category 2 we fix up by killing the current process. We cannot use the
1155 * normal Linux return path in this case because if we use the IRET hypercall
1156 * to pop the stack frame we end up in an infinite loop of failsafe callbacks.
1157 * We distinguish between categories by comparing each saved segment register
1158 * with its current contents: any discrepancy means we in category 1.
1159 */
3d75e1b8 1160ENTRY(xen_failsafe_callback)
dcd072e2
AH
1161 INTR_FRAME 1 (6*8)
1162 /*CFI_REL_OFFSET gs,GS*/
1163 /*CFI_REL_OFFSET fs,FS*/
1164 /*CFI_REL_OFFSET es,ES*/
1165 /*CFI_REL_OFFSET ds,DS*/
1166 CFI_REL_OFFSET r11,8
1167 CFI_REL_OFFSET rcx,0
3d75e1b8
JF
1168 movw %ds,%cx
1169 cmpw %cx,0x10(%rsp)
1170 CFI_REMEMBER_STATE
1171 jne 1f
1172 movw %es,%cx
1173 cmpw %cx,0x18(%rsp)
1174 jne 1f
1175 movw %fs,%cx
1176 cmpw %cx,0x20(%rsp)
1177 jne 1f
1178 movw %gs,%cx
1179 cmpw %cx,0x28(%rsp)
1180 jne 1f
1181 /* All segments match their saved values => Category 2 (Bad IRET). */
1182 movq (%rsp),%rcx
1183 CFI_RESTORE rcx
1184 movq 8(%rsp),%r11
1185 CFI_RESTORE r11
1186 addq $0x30,%rsp
1187 CFI_ADJUST_CFA_OFFSET -0x30
14ae22ba
IM
1188 pushq_cfi $0 /* RIP */
1189 pushq_cfi %r11
1190 pushq_cfi %rcx
4a5c3e77 1191 jmp general_protection
3d75e1b8
JF
1192 CFI_RESTORE_STATE
11931: /* Segment mismatch => Category 1 (Bad segment). Retry the IRET. */
1194 movq (%rsp),%rcx
1195 CFI_RESTORE rcx
1196 movq 8(%rsp),%r11
1197 CFI_RESTORE r11
1198 addq $0x30,%rsp
1199 CFI_ADJUST_CFA_OFFSET -0x30
a349e23d 1200 pushq_cfi $-1 /* orig_ax = -1 => not a system call */
76f5df43
DV
1201 ALLOC_PT_GPREGS_ON_STACK
1202 SAVE_C_REGS
1203 SAVE_EXTRA_REGS
3d75e1b8
JF
1204 jmp error_exit
1205 CFI_ENDPROC
3d75e1b8
JF
1206END(xen_failsafe_callback)
1207
cf910e83 1208apicinterrupt3 HYPERVISOR_CALLBACK_VECTOR \
38e20b07
SY
1209 xen_hvm_callback_vector xen_evtchn_do_upcall
1210
3d75e1b8 1211#endif /* CONFIG_XEN */
ddeb8f21 1212
bc2b0331 1213#if IS_ENABLED(CONFIG_HYPERV)
cf910e83 1214apicinterrupt3 HYPERVISOR_CALLBACK_VECTOR \
bc2b0331
S
1215 hyperv_callback_vector hyperv_vector_handler
1216#endif /* CONFIG_HYPERV */
1217
577ed45e
AL
1218idtentry debug do_debug has_error_code=0 paranoid=1 shift_ist=DEBUG_STACK
1219idtentry int3 do_int3 has_error_code=0 paranoid=1 shift_ist=DEBUG_STACK
6f442be2 1220idtentry stack_segment do_stack_segment has_error_code=1
6cac5a92 1221#ifdef CONFIG_XEN
cb5dd2c5
AL
1222idtentry xen_debug do_debug has_error_code=0
1223idtentry xen_int3 do_int3 has_error_code=0
1224idtentry xen_stack_segment do_stack_segment has_error_code=1
6cac5a92 1225#endif
cb5dd2c5
AL
1226idtentry general_protection do_general_protection has_error_code=1
1227trace_idtentry page_fault do_page_fault has_error_code=1
631bc487 1228#ifdef CONFIG_KVM_GUEST
cb5dd2c5 1229idtentry async_page_fault do_async_page_fault has_error_code=1
631bc487 1230#endif
ddeb8f21 1231#ifdef CONFIG_X86_MCE
cb5dd2c5 1232idtentry machine_check has_error_code=0 paranoid=1 do_sym=*machine_check_vector(%rip)
ddeb8f21
AH
1233#endif
1234
ebfc453e
DV
1235/*
1236 * Save all registers in pt_regs, and switch gs if needed.
1237 * Use slow, but surefire "are we in kernel?" check.
1238 * Return: ebx=0: need swapgs on exit, ebx=1: otherwise
1239 */
1240ENTRY(paranoid_entry)
1241 XCPT_FRAME 1 15*8
1eeb207f
DV
1242 cld
1243 SAVE_C_REGS 8
1244 SAVE_EXTRA_REGS 8
1245 movl $1,%ebx
1246 movl $MSR_GS_BASE,%ecx
1247 rdmsr
1248 testl %edx,%edx
1249 js 1f /* negative -> in kernel */
1250 SWAPGS
1251 xorl %ebx,%ebx
12521: ret
1253 CFI_ENDPROC
ebfc453e 1254END(paranoid_entry)
ddeb8f21 1255
ebfc453e
DV
1256/*
1257 * "Paranoid" exit path from exception stack. This is invoked
1258 * only on return from non-NMI IST interrupts that came
1259 * from kernel space.
1260 *
1261 * We may be returning to very strange contexts (e.g. very early
1262 * in syscall entry), so checking for preemption here would
1263 * be complicated. Fortunately, we there's no good reason
1264 * to try to handle preemption here.
1265 */
1266/* On entry, ebx is "no swapgs" flag (1: don't need swapgs, 0: need it) */
ddeb8f21 1267ENTRY(paranoid_exit)
1f130a78 1268 DEFAULT_FRAME
ddeb8f21 1269 DISABLE_INTERRUPTS(CLBR_NONE)
5963e317 1270 TRACE_IRQS_OFF_DEBUG
ddeb8f21 1271 testl %ebx,%ebx /* swapgs needed? */
0d550836 1272 jnz paranoid_exit_no_swapgs
f2db9382 1273 TRACE_IRQS_IRETQ
ddeb8f21 1274 SWAPGS_UNSAFE_STACK
0d550836
DV
1275 jmp paranoid_exit_restore
1276paranoid_exit_no_swapgs:
f2db9382 1277 TRACE_IRQS_IRETQ_DEBUG
0d550836 1278paranoid_exit_restore:
76f5df43
DV
1279 RESTORE_EXTRA_REGS
1280 RESTORE_C_REGS
1281 REMOVE_PT_GPREGS_FROM_STACK 8
48e08d0f 1282 INTERRUPT_RETURN
ddeb8f21
AH
1283 CFI_ENDPROC
1284END(paranoid_exit)
1285
1286/*
ebfc453e
DV
1287 * Save all registers in pt_regs, and switch gs if needed.
1288 * Return: ebx=0: need swapgs on exit, ebx=1: otherwise
ddeb8f21
AH
1289 */
1290ENTRY(error_entry)
ebfc453e 1291 XCPT_FRAME 1 15*8
ddeb8f21 1292 cld
76f5df43
DV
1293 SAVE_C_REGS 8
1294 SAVE_EXTRA_REGS 8
ddeb8f21
AH
1295 xorl %ebx,%ebx
1296 testl $3,CS+8(%rsp)
1297 je error_kernelspace
1298error_swapgs:
1299 SWAPGS
1300error_sti:
1301 TRACE_IRQS_OFF
1302 ret
ddeb8f21 1303
ebfc453e
DV
1304 /*
1305 * There are two places in the kernel that can potentially fault with
1306 * usergs. Handle them here. B stepping K8s sometimes report a
1307 * truncated RIP for IRET exceptions returning to compat mode. Check
1308 * for these here too.
1309 */
ddeb8f21 1310error_kernelspace:
3bab13b0 1311 CFI_REL_OFFSET rcx, RCX+8
ddeb8f21 1312 incl %ebx
7209a75d 1313 leaq native_irq_return_iret(%rip),%rcx
ddeb8f21 1314 cmpq %rcx,RIP+8(%rsp)
b645af2d 1315 je error_bad_iret
ae24ffe5
BG
1316 movl %ecx,%eax /* zero extend */
1317 cmpq %rax,RIP+8(%rsp)
1318 je bstep_iret
ddeb8f21 1319 cmpq $gs_change,RIP+8(%rsp)
9f1e87ea 1320 je error_swapgs
ddeb8f21 1321 jmp error_sti
ae24ffe5
BG
1322
1323bstep_iret:
1324 /* Fix truncated RIP */
1325 movq %rcx,RIP+8(%rsp)
b645af2d
AL
1326 /* fall through */
1327
1328error_bad_iret:
1329 SWAPGS
1330 mov %rsp,%rdi
1331 call fixup_bad_iret
1332 mov %rax,%rsp
1333 decl %ebx /* Return to usergs */
1334 jmp error_sti
e6b04b6b 1335 CFI_ENDPROC
ddeb8f21
AH
1336END(error_entry)
1337
1338
ebfc453e 1339/* On entry, ebx is "no swapgs" flag (1: don't need swapgs, 0: need it) */
ddeb8f21
AH
1340ENTRY(error_exit)
1341 DEFAULT_FRAME
1342 movl %ebx,%eax
76f5df43 1343 RESTORE_EXTRA_REGS
ddeb8f21
AH
1344 DISABLE_INTERRUPTS(CLBR_NONE)
1345 TRACE_IRQS_OFF
1346 GET_THREAD_INFO(%rcx)
1347 testl %eax,%eax
1348 jne retint_kernel
1349 LOCKDEP_SYS_EXIT_IRQ
1350 movl TI_flags(%rcx),%edx
1351 movl $_TIF_WORK_MASK,%edi
1352 andl %edi,%edx
1353 jnz retint_careful
1354 jmp retint_swapgs
1355 CFI_ENDPROC
1356END(error_exit)
1357
3f3c8b8c
SR
1358/*
1359 * Test if a given stack is an NMI stack or not.
1360 */
1361 .macro test_in_nmi reg stack nmi_ret normal_ret
1362 cmpq %\reg, \stack
1363 ja \normal_ret
1364 subq $EXCEPTION_STKSZ, %\reg
1365 cmpq %\reg, \stack
1366 jb \normal_ret
1367 jmp \nmi_ret
1368 .endm
ddeb8f21
AH
1369
1370 /* runs on exception stack */
1371ENTRY(nmi)
1372 INTR_FRAME
1373 PARAVIRT_ADJUST_EXCEPTION_FRAME
3f3c8b8c
SR
1374 /*
1375 * We allow breakpoints in NMIs. If a breakpoint occurs, then
1376 * the iretq it performs will take us out of NMI context.
1377 * This means that we can have nested NMIs where the next
1378 * NMI is using the top of the stack of the previous NMI. We
1379 * can't let it execute because the nested NMI will corrupt the
1380 * stack of the previous NMI. NMI handlers are not re-entrant
1381 * anyway.
1382 *
1383 * To handle this case we do the following:
1384 * Check the a special location on the stack that contains
1385 * a variable that is set when NMIs are executing.
1386 * The interrupted task's stack is also checked to see if it
1387 * is an NMI stack.
1388 * If the variable is not set and the stack is not the NMI
1389 * stack then:
1390 * o Set the special variable on the stack
1391 * o Copy the interrupt frame into a "saved" location on the stack
1392 * o Copy the interrupt frame into a "copy" location on the stack
1393 * o Continue processing the NMI
1394 * If the variable is set or the previous stack is the NMI stack:
1395 * o Modify the "copy" location to jump to the repeate_nmi
1396 * o return back to the first NMI
1397 *
1398 * Now on exit of the first NMI, we first clear the stack variable
1399 * The NMI stack will tell any nested NMIs at that point that it is
1400 * nested. Then we pop the stack normally with iret, and if there was
1401 * a nested NMI that updated the copy interrupt stack frame, a
1402 * jump will be made to the repeat_nmi code that will handle the second
1403 * NMI.
1404 */
1405
146b2b09 1406 /* Use %rdx as our temp variable throughout */
3f3c8b8c 1407 pushq_cfi %rdx
62610913 1408 CFI_REL_OFFSET rdx, 0
3f3c8b8c 1409
45d5a168
SR
1410 /*
1411 * If %cs was not the kernel segment, then the NMI triggered in user
1412 * space, which means it is definitely not nested.
1413 */
a38449ef 1414 cmpl $__KERNEL_CS, 16(%rsp)
45d5a168
SR
1415 jne first_nmi
1416
3f3c8b8c
SR
1417 /*
1418 * Check the special variable on the stack to see if NMIs are
1419 * executing.
1420 */
a38449ef 1421 cmpl $1, -8(%rsp)
3f3c8b8c
SR
1422 je nested_nmi
1423
1424 /*
1425 * Now test if the previous stack was an NMI stack.
1426 * We need the double check. We check the NMI stack to satisfy the
1427 * race when the first NMI clears the variable before returning.
1428 * We check the variable because the first NMI could be in a
1429 * breakpoint routine using a breakpoint stack.
1430 */
1431 lea 6*8(%rsp), %rdx
1432 test_in_nmi rdx, 4*8(%rsp), nested_nmi, first_nmi
62610913 1433 CFI_REMEMBER_STATE
3f3c8b8c
SR
1434
1435nested_nmi:
1436 /*
1437 * Do nothing if we interrupted the fixup in repeat_nmi.
1438 * It's about to repeat the NMI handler, so we are fine
1439 * with ignoring this one.
1440 */
1441 movq $repeat_nmi, %rdx
1442 cmpq 8(%rsp), %rdx
1443 ja 1f
1444 movq $end_repeat_nmi, %rdx
1445 cmpq 8(%rsp), %rdx
1446 ja nested_nmi_out
1447
14481:
1449 /* Set up the interrupted NMIs stack to jump to repeat_nmi */
28696f43 1450 leaq -1*8(%rsp), %rdx
3f3c8b8c 1451 movq %rdx, %rsp
28696f43
SQ
1452 CFI_ADJUST_CFA_OFFSET 1*8
1453 leaq -10*8(%rsp), %rdx
3f3c8b8c
SR
1454 pushq_cfi $__KERNEL_DS
1455 pushq_cfi %rdx
1456 pushfq_cfi
1457 pushq_cfi $__KERNEL_CS
1458 pushq_cfi $repeat_nmi
1459
1460 /* Put stack back */
28696f43
SQ
1461 addq $(6*8), %rsp
1462 CFI_ADJUST_CFA_OFFSET -6*8
3f3c8b8c
SR
1463
1464nested_nmi_out:
1465 popq_cfi %rdx
62610913 1466 CFI_RESTORE rdx
3f3c8b8c
SR
1467
1468 /* No need to check faults here */
1469 INTERRUPT_RETURN
1470
62610913 1471 CFI_RESTORE_STATE
3f3c8b8c
SR
1472first_nmi:
1473 /*
1474 * Because nested NMIs will use the pushed location that we
1475 * stored in rdx, we must keep that space available.
1476 * Here's what our stack frame will look like:
1477 * +-------------------------+
1478 * | original SS |
1479 * | original Return RSP |
1480 * | original RFLAGS |
1481 * | original CS |
1482 * | original RIP |
1483 * +-------------------------+
1484 * | temp storage for rdx |
1485 * +-------------------------+
1486 * | NMI executing variable |
1487 * +-------------------------+
3f3c8b8c
SR
1488 * | copied SS |
1489 * | copied Return RSP |
1490 * | copied RFLAGS |
1491 * | copied CS |
1492 * | copied RIP |
1493 * +-------------------------+
28696f43
SQ
1494 * | Saved SS |
1495 * | Saved Return RSP |
1496 * | Saved RFLAGS |
1497 * | Saved CS |
1498 * | Saved RIP |
1499 * +-------------------------+
3f3c8b8c
SR
1500 * | pt_regs |
1501 * +-------------------------+
1502 *
79fb4ad6
SR
1503 * The saved stack frame is used to fix up the copied stack frame
1504 * that a nested NMI may change to make the interrupted NMI iret jump
1505 * to the repeat_nmi. The original stack frame and the temp storage
3f3c8b8c
SR
1506 * is also used by nested NMIs and can not be trusted on exit.
1507 */
79fb4ad6 1508 /* Do not pop rdx, nested NMIs will corrupt that part of the stack */
62610913
JB
1509 movq (%rsp), %rdx
1510 CFI_RESTORE rdx
1511
3f3c8b8c
SR
1512 /* Set the NMI executing variable on the stack. */
1513 pushq_cfi $1
1514
28696f43
SQ
1515 /*
1516 * Leave room for the "copied" frame
1517 */
1518 subq $(5*8), %rsp
444723dc 1519 CFI_ADJUST_CFA_OFFSET 5*8
28696f43 1520
3f3c8b8c
SR
1521 /* Copy the stack frame to the Saved frame */
1522 .rept 5
28696f43 1523 pushq_cfi 11*8(%rsp)
3f3c8b8c 1524 .endr
911d2bb5 1525 CFI_DEF_CFA_OFFSET 5*8
62610913 1526
79fb4ad6
SR
1527 /* Everything up to here is safe from nested NMIs */
1528
62610913
JB
1529 /*
1530 * If there was a nested NMI, the first NMI's iret will return
1531 * here. But NMIs are still enabled and we can take another
1532 * nested NMI. The nested NMI checks the interrupted RIP to see
1533 * if it is between repeat_nmi and end_repeat_nmi, and if so
1534 * it will just return, as we are about to repeat an NMI anyway.
1535 * This makes it safe to copy to the stack frame that a nested
1536 * NMI will update.
1537 */
1538repeat_nmi:
1539 /*
1540 * Update the stack variable to say we are still in NMI (the update
1541 * is benign for the non-repeat case, where 1 was pushed just above
1542 * to this very stack slot).
1543 */
28696f43 1544 movq $1, 10*8(%rsp)
3f3c8b8c
SR
1545
1546 /* Make another copy, this one may be modified by nested NMIs */
28696f43
SQ
1547 addq $(10*8), %rsp
1548 CFI_ADJUST_CFA_OFFSET -10*8
3f3c8b8c 1549 .rept 5
28696f43 1550 pushq_cfi -6*8(%rsp)
3f3c8b8c 1551 .endr
28696f43 1552 subq $(5*8), %rsp
911d2bb5 1553 CFI_DEF_CFA_OFFSET 5*8
62610913 1554end_repeat_nmi:
3f3c8b8c
SR
1555
1556 /*
1557 * Everything below this point can be preempted by a nested
79fb4ad6
SR
1558 * NMI if the first NMI took an exception and reset our iret stack
1559 * so that we repeat another NMI.
3f3c8b8c 1560 */
1fd466ef 1561 pushq_cfi $-1 /* ORIG_RAX: no syscall to restart */
76f5df43
DV
1562 ALLOC_PT_GPREGS_ON_STACK
1563
1fd466ef 1564 /*
ebfc453e 1565 * Use paranoid_entry to handle SWAPGS, but no need to use paranoid_exit
1fd466ef
SR
1566 * as we should not be calling schedule in NMI context.
1567 * Even with normal interrupts enabled. An NMI should not be
1568 * setting NEED_RESCHED or anything that normal interrupts and
1569 * exceptions might do.
1570 */
ebfc453e 1571 call paranoid_entry
ddeb8f21 1572 DEFAULT_FRAME 0
7fbb98c5
SR
1573
1574 /*
1575 * Save off the CR2 register. If we take a page fault in the NMI then
1576 * it could corrupt the CR2 value. If the NMI preempts a page fault
1577 * handler before it was able to read the CR2 register, and then the
1578 * NMI itself takes a page fault, the page fault that was preempted
1579 * will read the information from the NMI page fault and not the
1580 * origin fault. Save it off and restore it if it changes.
1581 * Use the r12 callee-saved register.
1582 */
1583 movq %cr2, %r12
1584
ddeb8f21
AH
1585 /* paranoidentry do_nmi, 0; without TRACE_IRQS_OFF */
1586 movq %rsp,%rdi
1587 movq $-1,%rsi
1588 call do_nmi
7fbb98c5
SR
1589
1590 /* Did the NMI take a page fault? Restore cr2 if it did */
1591 movq %cr2, %rcx
1592 cmpq %rcx, %r12
1593 je 1f
1594 movq %r12, %cr2
15951:
1596
ddeb8f21
AH
1597 testl %ebx,%ebx /* swapgs needed? */
1598 jnz nmi_restore
ddeb8f21
AH
1599nmi_swapgs:
1600 SWAPGS_UNSAFE_STACK
1601nmi_restore:
76f5df43
DV
1602 RESTORE_EXTRA_REGS
1603 RESTORE_C_REGS
444723dc 1604 /* Pop the extra iret frame at once */
76f5df43 1605 REMOVE_PT_GPREGS_FROM_STACK 6*8
28696f43 1606
3f3c8b8c 1607 /* Clear the NMI executing stack variable */
28696f43 1608 movq $0, 5*8(%rsp)
ddeb8f21 1609 jmp irq_return
9f1e87ea 1610 CFI_ENDPROC
ddeb8f21
AH
1611END(nmi)
1612
1613ENTRY(ignore_sysret)
1614 CFI_STARTPROC
1615 mov $-ENOSYS,%eax
1616 sysret
1617 CFI_ENDPROC
1618END(ignore_sysret)
1619