]> git.proxmox.com Git - mirror_ubuntu-hirsute-kernel.git/blame - drivers/gpu/drm/i915/i915_reg.h
drm/i915: unify icp, tgp and mcc irq handling
[mirror_ubuntu-hirsute-kernel.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
78b36b10 28#include <linux/bitfield.h>
09b434d4
JN
29#include <linux/bits.h>
30
1aa920ea
JN
31/**
32 * DOC: The i915 register macro definition style guide
33 *
34 * Follow the style described here for new macros, and while changing existing
35 * macros. Do **not** mass change existing definitions just to update the style.
36 *
37 * Layout
551bd336 38 * ~~~~~~
1aa920ea
JN
39 *
40 * Keep helper macros near the top. For example, _PIPE() and friends.
41 *
42 * Prefix macros that generally should not be used outside of this file with
43 * underscore '_'. For example, _PIPE() and friends, single instances of
44 * registers that are defined solely for the use by function-like macros.
45 *
46 * Avoid using the underscore prefixed macros outside of this file. There are
47 * exceptions, but keep them to a minimum.
48 *
49 * There are two basic types of register definitions: Single registers and
50 * register groups. Register groups are registers which have two or more
51 * instances, for example one per pipe, port, transcoder, etc. Register groups
52 * should be defined using function-like macros.
53 *
54 * For single registers, define the register offset first, followed by register
55 * contents.
56 *
57 * For register groups, define the register instance offsets first, prefixed
58 * with underscore, followed by a function-like macro choosing the right
59 * instance based on the parameter, followed by register contents.
60 *
61 * Define the register contents (i.e. bit and bit field macros) from most
62 * significant to least significant bit. Indent the register content macros
63 * using two extra spaces between ``#define`` and the macro name.
64 *
baa09e7d
JN
65 * Define bit fields using ``REG_GENMASK(h, l)``. Define bit field contents
66 * using ``REG_FIELD_PREP(mask, value)``. This will define the values already
67 * shifted in place, so they can be directly OR'd together. For convenience,
68 * function-like macros may be used to define bit fields, but do note that the
69 * macros may be needed to read as well as write the register contents.
1aa920ea 70 *
09b434d4 71 * Define bits using ``REG_BIT(N)``. Do **not** add ``_BIT`` suffix to the name.
1aa920ea
JN
72 *
73 * Group the register and its contents together without blank lines, separate
74 * from other registers and their contents with one blank line.
75 *
76 * Indent macro values from macro names using TABs. Align values vertically. Use
77 * braces in macro values as needed to avoid unintended precedence after macro
78 * substitution. Use spaces in macro values according to kernel coding
79 * style. Use lower case in hexadecimal values.
80 *
81 * Naming
551bd336 82 * ~~~~~~
1aa920ea
JN
83 *
84 * Try to name registers according to the specs. If the register name changes in
85 * the specs from platform to another, stick to the original name.
86 *
87 * Try to re-use existing register macro definitions. Only add new macros for
88 * new register offsets, or when the register contents have changed enough to
89 * warrant a full redefinition.
90 *
91 * When a register macro changes for a new platform, prefix the new macro using
92 * the platform acronym or generation. For example, ``SKL_`` or ``GEN8_``. The
93 * prefix signifies the start platform/generation using the register.
94 *
95 * When a bit (field) macro changes or gets added for a new platform, while
96 * retaining the existing register macro, add a platform acronym or generation
97 * suffix to the name. For example, ``_SKL`` or ``_GEN8``.
98 *
99 * Examples
551bd336 100 * ~~~~~~~~
1aa920ea
JN
101 *
102 * (Note that the values in the example are indented using spaces instead of
103 * TABs to avoid misalignment in generated documentation. Use TABs in the
104 * definitions.)::
105 *
106 * #define _FOO_A 0xf000
107 * #define _FOO_B 0xf001
108 * #define FOO(pipe) _MMIO_PIPE(pipe, _FOO_A, _FOO_B)
09b434d4
JN
109 * #define FOO_ENABLE REG_BIT(31)
110 * #define FOO_MODE_MASK REG_GENMASK(19, 16)
baa09e7d
JN
111 * #define FOO_MODE_BAR REG_FIELD_PREP(FOO_MODE_MASK, 0)
112 * #define FOO_MODE_BAZ REG_FIELD_PREP(FOO_MODE_MASK, 1)
113 * #define FOO_MODE_QUX_SNB REG_FIELD_PREP(FOO_MODE_MASK, 2)
1aa920ea
JN
114 *
115 * #define BAR _MMIO(0xb000)
116 * #define GEN8_BAR _MMIO(0xb888)
117 */
118
09b434d4
JN
119/**
120 * REG_BIT() - Prepare a u32 bit value
121 * @__n: 0-based bit number
122 *
123 * Local wrapper for BIT() to force u32, with compile time checks.
124 *
125 * @return: Value with bit @__n set.
126 */
127#define REG_BIT(__n) \
128 ((u32)(BIT(__n) + \
591d4dc4 129 BUILD_BUG_ON_ZERO(__is_constexpr(__n) && \
09b434d4
JN
130 ((__n) < 0 || (__n) > 31))))
131
132/**
133 * REG_GENMASK() - Prepare a continuous u32 bitmask
134 * @__high: 0-based high bit
135 * @__low: 0-based low bit
136 *
137 * Local wrapper for GENMASK() to force u32, with compile time checks.
138 *
139 * @return: Continuous bitmask from @__high to @__low, inclusive.
140 */
141#define REG_GENMASK(__high, __low) \
142 ((u32)(GENMASK(__high, __low) + \
591d4dc4
JN
143 BUILD_BUG_ON_ZERO(__is_constexpr(__high) && \
144 __is_constexpr(__low) && \
09b434d4
JN
145 ((__low) < 0 || (__high) > 31 || (__low) > (__high)))))
146
baa09e7d
JN
147/*
148 * Local integer constant expression version of is_power_of_2().
149 */
150#define IS_POWER_OF_2(__x) ((__x) && (((__x) & ((__x) - 1)) == 0))
151
78b36b10
JN
152/**
153 * REG_FIELD_PREP() - Prepare a u32 bitfield value
154 * @__mask: shifted mask defining the field's length and position
155 * @__val: value to put in the field
affa22b5 156 *
baa09e7d
JN
157 * Local copy of FIELD_PREP() to generate an integer constant expression, force
158 * u32 and for consistency with REG_FIELD_GET(), REG_BIT() and REG_GENMASK().
78b36b10
JN
159 *
160 * @return: @__val masked and shifted into the field defined by @__mask.
161 */
baa09e7d
JN
162#define REG_FIELD_PREP(__mask, __val) \
163 ((u32)((((typeof(__mask))(__val) << __bf_shf(__mask)) & (__mask)) + \
ab7529f2 164 BUILD_BUG_ON_ZERO(!__is_constexpr(__mask)) + \
baa09e7d
JN
165 BUILD_BUG_ON_ZERO((__mask) == 0 || (__mask) > U32_MAX) + \
166 BUILD_BUG_ON_ZERO(!IS_POWER_OF_2((__mask) + (1ULL << __bf_shf(__mask)))) + \
ab7529f2 167 BUILD_BUG_ON_ZERO(__builtin_choose_expr(__is_constexpr(__val), (~((__mask) >> __bf_shf(__mask)) & (__val)), 0))))
78b36b10
JN
168
169/**
170 * REG_FIELD_GET() - Extract a u32 bitfield value
171 * @__mask: shifted mask defining the field's length and position
172 * @__val: value to extract the bitfield value from
173 *
174 * Local wrapper for FIELD_GET() to force u32 and for consistency with
175 * REG_FIELD_PREP(), REG_BIT() and REG_GENMASK().
176 *
177 * @return: Masked and shifted value of the field defined by @__mask in @__val.
178 */
179#define REG_FIELD_GET(__mask, __val) ((u32)FIELD_GET(__mask, __val))
180
f0f59a00 181typedef struct {
739f3abd 182 u32 reg;
f0f59a00
VS
183} i915_reg_t;
184
185#define _MMIO(r) ((const i915_reg_t){ .reg = (r) })
186
187#define INVALID_MMIO_REG _MMIO(0)
188
739f3abd 189static inline u32 i915_mmio_reg_offset(i915_reg_t reg)
f0f59a00
VS
190{
191 return reg.reg;
192}
193
194static inline bool i915_mmio_reg_equal(i915_reg_t a, i915_reg_t b)
195{
196 return i915_mmio_reg_offset(a) == i915_mmio_reg_offset(b);
197}
198
199static inline bool i915_mmio_reg_valid(i915_reg_t reg)
200{
201 return !i915_mmio_reg_equal(reg, INVALID_MMIO_REG);
202}
203
ed5eb1b7
JN
204#define VLV_DISPLAY_BASE 0x180000
205#define VLV_MIPI_BASE VLV_DISPLAY_BASE
206#define BXT_MIPI_BASE 0x60000
207
208#define DISPLAY_MMIO_BASE(dev_priv) (INTEL_INFO(dev_priv)->display_mmio_offset)
209
e67005e5
JN
210/*
211 * Given the first two numbers __a and __b of arbitrarily many evenly spaced
212 * numbers, pick the 0-based __index'th value.
213 *
214 * Always prefer this over _PICK() if the numbers are evenly spaced.
215 */
216#define _PICK_EVEN(__index, __a, __b) ((__a) + (__index) * ((__b) - (__a)))
217
218/*
219 * Given the arbitrary numbers in varargs, pick the 0-based __index'th number.
220 *
221 * Always prefer _PICK_EVEN() over this if the numbers are evenly spaced.
222 */
ce64645d
JN
223#define _PICK(__index, ...) (((const u32 []){ __VA_ARGS__ })[__index])
224
e67005e5
JN
225/*
226 * Named helper wrappers around _PICK_EVEN() and _PICK().
227 */
8d97b4a9
JN
228#define _PIPE(pipe, a, b) _PICK_EVEN(pipe, a, b)
229#define _PLANE(plane, a, b) _PICK_EVEN(plane, a, b)
230#define _TRANS(tran, a, b) _PICK_EVEN(tran, a, b)
231#define _PORT(port, a, b) _PICK_EVEN(port, a, b)
232#define _PLL(pll, a, b) _PICK_EVEN(pll, a, b)
233
234#define _MMIO_PIPE(pipe, a, b) _MMIO(_PIPE(pipe, a, b))
235#define _MMIO_PLANE(plane, a, b) _MMIO(_PLANE(plane, a, b))
236#define _MMIO_TRANS(tran, a, b) _MMIO(_TRANS(tran, a, b))
237#define _MMIO_PORT(port, a, b) _MMIO(_PORT(port, a, b))
238#define _MMIO_PLL(pll, a, b) _MMIO(_PLL(pll, a, b))
239
240#define _PHY3(phy, ...) _PICK(phy, __VA_ARGS__)
241
242#define _MMIO_PIPE3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
243#define _MMIO_PORT3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
244#define _MMIO_PHY3(phy, a, b, c) _MMIO(_PHY3(phy, a, b, c))
36ca5335 245#define _MMIO_PLL3(pll, a, b, c) _MMIO(_PICK(pll, a, b, c))
2b139522 246
a7c0149f
JN
247/*
248 * Device info offset array based helpers for groups of registers with unevenly
249 * spaced base offsets.
250 */
a0f04cc2
JN
251#define _MMIO_PIPE2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->pipe_offsets[pipe] - \
252 INTEL_INFO(dev_priv)->pipe_offsets[PIPE_A] + (reg) + \
ed5eb1b7 253 DISPLAY_MMIO_BASE(dev_priv))
270b9991
JRS
254#define _TRANS2(tran, reg) (INTEL_INFO(dev_priv)->trans_offsets[(tran)] - \
255 INTEL_INFO(dev_priv)->trans_offsets[TRANSCODER_A] + (reg) + \
256 DISPLAY_MMIO_BASE(dev_priv))
257#define _MMIO_TRANS2(tran, reg) _MMIO(_TRANS2(tran, reg))
a0f04cc2
JN
258#define _CURSOR2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->cursor_offsets[(pipe)] - \
259 INTEL_INFO(dev_priv)->cursor_offsets[PIPE_A] + (reg) + \
ed5eb1b7 260 DISPLAY_MMIO_BASE(dev_priv))
a7c0149f 261
5ee4a7a6 262#define __MASKED_FIELD(mask, value) ((mask) << 16 | (value))
98533251
DL
263#define _MASKED_FIELD(mask, value) ({ \
264 if (__builtin_constant_p(mask)) \
265 BUILD_BUG_ON_MSG(((mask) & 0xffff0000), "Incorrect mask"); \
266 if (__builtin_constant_p(value)) \
267 BUILD_BUG_ON_MSG((value) & 0xffff0000, "Incorrect value"); \
268 if (__builtin_constant_p(mask) && __builtin_constant_p(value)) \
269 BUILD_BUG_ON_MSG((value) & ~(mask), \
270 "Incorrect value for mask"); \
5ee4a7a6 271 __MASKED_FIELD(mask, value); })
98533251
DL
272#define _MASKED_BIT_ENABLE(a) ({ typeof(a) _a = (a); _MASKED_FIELD(_a, _a); })
273#define _MASKED_BIT_DISABLE(a) (_MASKED_FIELD((a), 0))
274
585fb111
JB
275/* PCI config space */
276
e10fa551
JL
277#define MCHBAR_I915 0x44
278#define MCHBAR_I965 0x48
279#define MCHBAR_SIZE (4 * 4096)
280
281#define DEVEN 0x54
282#define DEVEN_MCHBAR_EN (1 << 28)
283
40006c43 284/* BSM in include/drm/i915_drm.h */
e10fa551 285
1b1d2716
VS
286#define HPLLCC 0xc0 /* 85x only */
287#define GC_CLOCK_CONTROL_MASK (0x7 << 0)
585fb111
JB
288#define GC_CLOCK_133_200 (0 << 0)
289#define GC_CLOCK_100_200 (1 << 0)
290#define GC_CLOCK_100_133 (2 << 0)
1b1d2716
VS
291#define GC_CLOCK_133_266 (3 << 0)
292#define GC_CLOCK_133_200_2 (4 << 0)
293#define GC_CLOCK_133_266_2 (5 << 0)
294#define GC_CLOCK_166_266 (6 << 0)
295#define GC_CLOCK_166_250 (7 << 0)
296
e10fa551
JL
297#define I915_GDRST 0xc0 /* PCI config register */
298#define GRDOM_FULL (0 << 2)
299#define GRDOM_RENDER (1 << 2)
300#define GRDOM_MEDIA (3 << 2)
301#define GRDOM_MASK (3 << 2)
302#define GRDOM_RESET_STATUS (1 << 1)
303#define GRDOM_RESET_ENABLE (1 << 0)
304
8fdded82
VS
305/* BSpec only has register offset, PCI device and bit found empirically */
306#define I830_CLOCK_GATE 0xc8 /* device 0 */
307#define I830_L2_CACHE_CLOCK_GATE_DISABLE (1 << 2)
308
e10fa551
JL
309#define GCDGMBUS 0xcc
310
f97108d1 311#define GCFGC2 0xda
585fb111
JB
312#define GCFGC 0xf0 /* 915+ only */
313#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
314#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
6248017a 315#define GC_DISPLAY_CLOCK_333_320_MHZ (4 << 4)
257a7ffc
DV
316#define GC_DISPLAY_CLOCK_267_MHZ_PNV (0 << 4)
317#define GC_DISPLAY_CLOCK_333_MHZ_PNV (1 << 4)
318#define GC_DISPLAY_CLOCK_444_MHZ_PNV (2 << 4)
319#define GC_DISPLAY_CLOCK_200_MHZ_PNV (5 << 4)
320#define GC_DISPLAY_CLOCK_133_MHZ_PNV (6 << 4)
321#define GC_DISPLAY_CLOCK_167_MHZ_PNV (7 << 4)
585fb111 322#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
323#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
324#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
325#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
326#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
327#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
328#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
329#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
330#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
331#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
332#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
333#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
334#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
335#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
336#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
337#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
338#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
339#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
340#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
341#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
7f1bdbcb 342
e10fa551
JL
343#define ASLE 0xe4
344#define ASLS 0xfc
345
346#define SWSCI 0xe8
347#define SWSCI_SCISEL (1 << 15)
348#define SWSCI_GSSCIE (1 << 0)
349
350#define LBPC 0xf4 /* legacy/combination backlight modes, also called LBB */
eeccdcac 351
585fb111 352
f0f59a00 353#define ILK_GDSR _MMIO(MCHBAR_MIRROR_BASE + 0x2ca4)
5ee8ee86
PZ
354#define ILK_GRDOM_FULL (0 << 1)
355#define ILK_GRDOM_RENDER (1 << 1)
356#define ILK_GRDOM_MEDIA (3 << 1)
357#define ILK_GRDOM_MASK (3 << 1)
358#define ILK_GRDOM_RESET_ENABLE (1 << 0)
b3a3f03d 359
f0f59a00 360#define GEN6_MBCUNIT_SNPCR _MMIO(0x900c) /* for LLC config */
07b7ddd9 361#define GEN6_MBC_SNPCR_SHIFT 21
5ee8ee86
PZ
362#define GEN6_MBC_SNPCR_MASK (3 << 21)
363#define GEN6_MBC_SNPCR_MAX (0 << 21)
364#define GEN6_MBC_SNPCR_MED (1 << 21)
365#define GEN6_MBC_SNPCR_LOW (2 << 21)
366#define GEN6_MBC_SNPCR_MIN (3 << 21) /* only 1/16th of the cache is shared */
07b7ddd9 367
f0f59a00
VS
368#define VLV_G3DCTL _MMIO(0x9024)
369#define VLV_GSCKGCTL _MMIO(0x9028)
9e72b46c 370
f0f59a00 371#define GEN6_MBCTL _MMIO(0x0907c)
5eb719cd
DV
372#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
373#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
374#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
375#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
376#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
377
f0f59a00 378#define GEN6_GDRST _MMIO(0x941c)
cff458c2
EA
379#define GEN6_GRDOM_FULL (1 << 0)
380#define GEN6_GRDOM_RENDER (1 << 1)
381#define GEN6_GRDOM_MEDIA (1 << 2)
382#define GEN6_GRDOM_BLT (1 << 3)
ee4b6faf 383#define GEN6_GRDOM_VECS (1 << 4)
6b332fa2 384#define GEN9_GRDOM_GUC (1 << 5)
ee4b6faf 385#define GEN8_GRDOM_MEDIA2 (1 << 7)
e34b0345
MT
386/* GEN11 changed all bit defs except for FULL & RENDER */
387#define GEN11_GRDOM_FULL GEN6_GRDOM_FULL
388#define GEN11_GRDOM_RENDER GEN6_GRDOM_RENDER
389#define GEN11_GRDOM_BLT (1 << 2)
390#define GEN11_GRDOM_GUC (1 << 3)
391#define GEN11_GRDOM_MEDIA (1 << 5)
392#define GEN11_GRDOM_MEDIA2 (1 << 6)
393#define GEN11_GRDOM_MEDIA3 (1 << 7)
394#define GEN11_GRDOM_MEDIA4 (1 << 8)
395#define GEN11_GRDOM_VECS (1 << 13)
396#define GEN11_GRDOM_VECS2 (1 << 14)
f513ac76
OM
397#define GEN11_GRDOM_SFC0 (1 << 17)
398#define GEN11_GRDOM_SFC1 (1 << 18)
399
400#define GEN11_VCS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << ((instance) >> 1))
401#define GEN11_VECS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << (instance))
402
403#define GEN11_VCS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x88C)
404#define GEN11_VCS_SFC_FORCED_LOCK_BIT (1 << 0)
405#define GEN11_VCS_SFC_LOCK_STATUS(engine) _MMIO((engine)->mmio_base + 0x890)
406#define GEN11_VCS_SFC_USAGE_BIT (1 << 0)
407#define GEN11_VCS_SFC_LOCK_ACK_BIT (1 << 1)
408
409#define GEN11_VECS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x201C)
410#define GEN11_VECS_SFC_FORCED_LOCK_BIT (1 << 0)
411#define GEN11_VECS_SFC_LOCK_ACK(engine) _MMIO((engine)->mmio_base + 0x2018)
412#define GEN11_VECS_SFC_LOCK_ACK_BIT (1 << 0)
413#define GEN11_VECS_SFC_USAGE(engine) _MMIO((engine)->mmio_base + 0x2014)
414#define GEN11_VECS_SFC_USAGE_BIT (1 << 0)
cff458c2 415
baba6e57
DCS
416#define RING_PP_DIR_BASE(base) _MMIO((base) + 0x228)
417#define RING_PP_DIR_BASE_READ(base) _MMIO((base) + 0x518)
418#define RING_PP_DIR_DCLV(base) _MMIO((base) + 0x220)
5eb719cd
DV
419#define PP_DIR_DCLV_2G 0xffffffff
420
6d425728
CW
421#define GEN8_RING_PDP_UDW(base, n) _MMIO((base) + 0x270 + (n) * 8 + 4)
422#define GEN8_RING_PDP_LDW(base, n) _MMIO((base) + 0x270 + (n) * 8)
94e409c1 423
f0f59a00 424#define GEN8_R_PWR_CLK_STATE _MMIO(0x20C8)
0cea6502
JM
425#define GEN8_RPCS_ENABLE (1 << 31)
426#define GEN8_RPCS_S_CNT_ENABLE (1 << 18)
427#define GEN8_RPCS_S_CNT_SHIFT 15
428#define GEN8_RPCS_S_CNT_MASK (0x7 << GEN8_RPCS_S_CNT_SHIFT)
b212f0a4
TU
429#define GEN11_RPCS_S_CNT_SHIFT 12
430#define GEN11_RPCS_S_CNT_MASK (0x3f << GEN11_RPCS_S_CNT_SHIFT)
0cea6502
JM
431#define GEN8_RPCS_SS_CNT_ENABLE (1 << 11)
432#define GEN8_RPCS_SS_CNT_SHIFT 8
433#define GEN8_RPCS_SS_CNT_MASK (0x7 << GEN8_RPCS_SS_CNT_SHIFT)
434#define GEN8_RPCS_EU_MAX_SHIFT 4
435#define GEN8_RPCS_EU_MAX_MASK (0xf << GEN8_RPCS_EU_MAX_SHIFT)
436#define GEN8_RPCS_EU_MIN_SHIFT 0
437#define GEN8_RPCS_EU_MIN_MASK (0xf << GEN8_RPCS_EU_MIN_SHIFT)
438
f89823c2
LL
439#define WAIT_FOR_RC6_EXIT _MMIO(0x20CC)
440/* HSW only */
441#define HSW_SELECTIVE_READ_ADDRESSING_SHIFT 2
442#define HSW_SELECTIVE_READ_ADDRESSING_MASK (0x3 << HSW_SLECTIVE_READ_ADDRESSING_SHIFT)
443#define HSW_SELECTIVE_WRITE_ADDRESS_SHIFT 4
444#define HSW_SELECTIVE_WRITE_ADDRESS_MASK (0x7 << HSW_SELECTIVE_WRITE_ADDRESS_SHIFT)
445/* HSW+ */
446#define HSW_WAIT_FOR_RC6_EXIT_ENABLE (1 << 0)
447#define HSW_RCS_CONTEXT_ENABLE (1 << 7)
448#define HSW_RCS_INHIBIT (1 << 8)
449/* Gen8 */
450#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
451#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
452#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
453#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
454#define GEN8_SELECTIVE_WRITE_ADDRESSING_ENABLE (1 << 6)
455#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT 9
456#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT)
457#define GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT 11
458#define GEN8_SELECTIVE_READ_SLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT)
459#define GEN8_SELECTIVE_READ_ADDRESSING_ENABLE (1 << 13)
460
f0f59a00 461#define GAM_ECOCHK _MMIO(0x4090)
5ee8ee86
PZ
462#define BDW_DISABLE_HDC_INVALIDATION (1 << 25)
463#define ECOCHK_SNB_BIT (1 << 10)
464#define ECOCHK_DIS_TLB (1 << 8)
465#define HSW_ECOCHK_ARB_PRIO_SOL (1 << 6)
466#define ECOCHK_PPGTT_CACHE64B (0x3 << 3)
467#define ECOCHK_PPGTT_CACHE4B (0x0 << 3)
468#define ECOCHK_PPGTT_GFDT_IVB (0x1 << 4)
469#define ECOCHK_PPGTT_LLC_IVB (0x1 << 3)
470#define ECOCHK_PPGTT_UC_HSW (0x1 << 3)
471#define ECOCHK_PPGTT_WT_HSW (0x2 << 3)
472#define ECOCHK_PPGTT_WB_HSW (0x3 << 3)
5eb719cd 473
f0f59a00 474#define GAC_ECO_BITS _MMIO(0x14090)
5ee8ee86
PZ
475#define ECOBITS_SNB_BIT (1 << 13)
476#define ECOBITS_PPGTT_CACHE64B (3 << 8)
477#define ECOBITS_PPGTT_CACHE4B (0 << 8)
48ecfa10 478
f0f59a00 479#define GAB_CTL _MMIO(0x24000)
5ee8ee86 480#define GAB_CTL_CONT_AFTER_PAGEFAULT (1 << 8)
be901a5a 481
f0f59a00 482#define GEN6_STOLEN_RESERVED _MMIO(0x1082C0)
3774eb50
PZ
483#define GEN6_STOLEN_RESERVED_ADDR_MASK (0xFFF << 20)
484#define GEN7_STOLEN_RESERVED_ADDR_MASK (0x3FFF << 18)
485#define GEN6_STOLEN_RESERVED_SIZE_MASK (3 << 4)
486#define GEN6_STOLEN_RESERVED_1M (0 << 4)
487#define GEN6_STOLEN_RESERVED_512K (1 << 4)
488#define GEN6_STOLEN_RESERVED_256K (2 << 4)
489#define GEN6_STOLEN_RESERVED_128K (3 << 4)
490#define GEN7_STOLEN_RESERVED_SIZE_MASK (1 << 5)
491#define GEN7_STOLEN_RESERVED_1M (0 << 5)
492#define GEN7_STOLEN_RESERVED_256K (1 << 5)
493#define GEN8_STOLEN_RESERVED_SIZE_MASK (3 << 7)
494#define GEN8_STOLEN_RESERVED_1M (0 << 7)
495#define GEN8_STOLEN_RESERVED_2M (1 << 7)
496#define GEN8_STOLEN_RESERVED_4M (2 << 7)
497#define GEN8_STOLEN_RESERVED_8M (3 << 7)
db7fb605 498#define GEN6_STOLEN_RESERVED_ENABLE (1 << 0)
185441e0 499#define GEN11_STOLEN_RESERVED_ADDR_MASK (0xFFFFFFFFFFFULL << 20)
40bae736 500
585fb111
JB
501/* VGA stuff */
502
503#define VGA_ST01_MDA 0x3ba
504#define VGA_ST01_CGA 0x3da
505
f0f59a00 506#define _VGA_MSR_WRITE _MMIO(0x3c2)
585fb111
JB
507#define VGA_MSR_WRITE 0x3c2
508#define VGA_MSR_READ 0x3cc
5ee8ee86
PZ
509#define VGA_MSR_MEM_EN (1 << 1)
510#define VGA_MSR_CGA_MODE (1 << 0)
585fb111 511
5434fd92 512#define VGA_SR_INDEX 0x3c4
f930ddd0 513#define SR01 1
5434fd92 514#define VGA_SR_DATA 0x3c5
585fb111
JB
515
516#define VGA_AR_INDEX 0x3c0
5ee8ee86 517#define VGA_AR_VID_EN (1 << 5)
585fb111
JB
518#define VGA_AR_DATA_WRITE 0x3c0
519#define VGA_AR_DATA_READ 0x3c1
520
521#define VGA_GR_INDEX 0x3ce
522#define VGA_GR_DATA 0x3cf
523/* GR05 */
524#define VGA_GR_MEM_READ_MODE_SHIFT 3
525#define VGA_GR_MEM_READ_MODE_PLANE 1
526/* GR06 */
527#define VGA_GR_MEM_MODE_MASK 0xc
528#define VGA_GR_MEM_MODE_SHIFT 2
529#define VGA_GR_MEM_A0000_AFFFF 0
530#define VGA_GR_MEM_A0000_BFFFF 1
531#define VGA_GR_MEM_B0000_B7FFF 2
532#define VGA_GR_MEM_B0000_BFFFF 3
533
534#define VGA_DACMASK 0x3c6
535#define VGA_DACRX 0x3c7
536#define VGA_DACWX 0x3c8
537#define VGA_DACDATA 0x3c9
538
539#define VGA_CR_INDEX_MDA 0x3b4
540#define VGA_CR_DATA_MDA 0x3b5
541#define VGA_CR_INDEX_CGA 0x3d4
542#define VGA_CR_DATA_CGA 0x3d5
543
f0f59a00
VS
544#define MI_PREDICATE_SRC0 _MMIO(0x2400)
545#define MI_PREDICATE_SRC0_UDW _MMIO(0x2400 + 4)
546#define MI_PREDICATE_SRC1 _MMIO(0x2408)
547#define MI_PREDICATE_SRC1_UDW _MMIO(0x2408 + 4)
9435373e 548
f0f59a00 549#define MI_PREDICATE_RESULT_2 _MMIO(0x2214)
5ee8ee86
PZ
550#define LOWER_SLICE_ENABLED (1 << 0)
551#define LOWER_SLICE_DISABLED (0 << 0)
9435373e 552
5947de9b
BV
553/*
554 * Registers used only by the command parser
555 */
f0f59a00
VS
556#define BCS_SWCTRL _MMIO(0x22200)
557
558#define GPGPU_THREADS_DISPATCHED _MMIO(0x2290)
559#define GPGPU_THREADS_DISPATCHED_UDW _MMIO(0x2290 + 4)
560#define HS_INVOCATION_COUNT _MMIO(0x2300)
561#define HS_INVOCATION_COUNT_UDW _MMIO(0x2300 + 4)
562#define DS_INVOCATION_COUNT _MMIO(0x2308)
563#define DS_INVOCATION_COUNT_UDW _MMIO(0x2308 + 4)
564#define IA_VERTICES_COUNT _MMIO(0x2310)
565#define IA_VERTICES_COUNT_UDW _MMIO(0x2310 + 4)
566#define IA_PRIMITIVES_COUNT _MMIO(0x2318)
567#define IA_PRIMITIVES_COUNT_UDW _MMIO(0x2318 + 4)
568#define VS_INVOCATION_COUNT _MMIO(0x2320)
569#define VS_INVOCATION_COUNT_UDW _MMIO(0x2320 + 4)
570#define GS_INVOCATION_COUNT _MMIO(0x2328)
571#define GS_INVOCATION_COUNT_UDW _MMIO(0x2328 + 4)
572#define GS_PRIMITIVES_COUNT _MMIO(0x2330)
573#define GS_PRIMITIVES_COUNT_UDW _MMIO(0x2330 + 4)
574#define CL_INVOCATION_COUNT _MMIO(0x2338)
575#define CL_INVOCATION_COUNT_UDW _MMIO(0x2338 + 4)
576#define CL_PRIMITIVES_COUNT _MMIO(0x2340)
577#define CL_PRIMITIVES_COUNT_UDW _MMIO(0x2340 + 4)
578#define PS_INVOCATION_COUNT _MMIO(0x2348)
579#define PS_INVOCATION_COUNT_UDW _MMIO(0x2348 + 4)
580#define PS_DEPTH_COUNT _MMIO(0x2350)
581#define PS_DEPTH_COUNT_UDW _MMIO(0x2350 + 4)
5947de9b
BV
582
583/* There are the 4 64-bit counter registers, one for each stream output */
f0f59a00
VS
584#define GEN7_SO_NUM_PRIMS_WRITTEN(n) _MMIO(0x5200 + (n) * 8)
585#define GEN7_SO_NUM_PRIMS_WRITTEN_UDW(n) _MMIO(0x5200 + (n) * 8 + 4)
5947de9b 586
f0f59a00
VS
587#define GEN7_SO_PRIM_STORAGE_NEEDED(n) _MMIO(0x5240 + (n) * 8)
588#define GEN7_SO_PRIM_STORAGE_NEEDED_UDW(n) _MMIO(0x5240 + (n) * 8 + 4)
113a0476 589
f0f59a00
VS
590#define GEN7_3DPRIM_END_OFFSET _MMIO(0x2420)
591#define GEN7_3DPRIM_START_VERTEX _MMIO(0x2430)
592#define GEN7_3DPRIM_VERTEX_COUNT _MMIO(0x2434)
593#define GEN7_3DPRIM_INSTANCE_COUNT _MMIO(0x2438)
594#define GEN7_3DPRIM_START_INSTANCE _MMIO(0x243C)
595#define GEN7_3DPRIM_BASE_VERTEX _MMIO(0x2440)
113a0476 596
f0f59a00
VS
597#define GEN7_GPGPU_DISPATCHDIMX _MMIO(0x2500)
598#define GEN7_GPGPU_DISPATCHDIMY _MMIO(0x2504)
599#define GEN7_GPGPU_DISPATCHDIMZ _MMIO(0x2508)
7b9748cb 600
1b85066b
JJ
601/* There are the 16 64-bit CS General Purpose Registers */
602#define HSW_CS_GPR(n) _MMIO(0x2600 + (n) * 8)
603#define HSW_CS_GPR_UDW(n) _MMIO(0x2600 + (n) * 8 + 4)
604
a941795a 605#define GEN7_OACONTROL _MMIO(0x2360)
d7965152
RB
606#define GEN7_OACONTROL_CTX_MASK 0xFFFFF000
607#define GEN7_OACONTROL_TIMER_PERIOD_MASK 0x3F
608#define GEN7_OACONTROL_TIMER_PERIOD_SHIFT 6
5ee8ee86
PZ
609#define GEN7_OACONTROL_TIMER_ENABLE (1 << 5)
610#define GEN7_OACONTROL_FORMAT_A13 (0 << 2)
611#define GEN7_OACONTROL_FORMAT_A29 (1 << 2)
612#define GEN7_OACONTROL_FORMAT_A13_B8_C8 (2 << 2)
613#define GEN7_OACONTROL_FORMAT_A29_B8_C8 (3 << 2)
614#define GEN7_OACONTROL_FORMAT_B4_C8 (4 << 2)
615#define GEN7_OACONTROL_FORMAT_A45_B8_C8 (5 << 2)
616#define GEN7_OACONTROL_FORMAT_B4_C8_A16 (6 << 2)
617#define GEN7_OACONTROL_FORMAT_C4_B8 (7 << 2)
d7965152 618#define GEN7_OACONTROL_FORMAT_SHIFT 2
5ee8ee86
PZ
619#define GEN7_OACONTROL_PER_CTX_ENABLE (1 << 1)
620#define GEN7_OACONTROL_ENABLE (1 << 0)
d7965152
RB
621
622#define GEN8_OACTXID _MMIO(0x2364)
623
19f81df2 624#define GEN8_OA_DEBUG _MMIO(0x2B04)
5ee8ee86
PZ
625#define GEN9_OA_DEBUG_DISABLE_CLK_RATIO_REPORTS (1 << 5)
626#define GEN9_OA_DEBUG_INCLUDE_CLK_RATIO (1 << 6)
627#define GEN9_OA_DEBUG_DISABLE_GO_1_0_REPORTS (1 << 2)
628#define GEN9_OA_DEBUG_DISABLE_CTX_SWITCH_REPORTS (1 << 1)
19f81df2 629
d7965152 630#define GEN8_OACONTROL _MMIO(0x2B00)
5ee8ee86
PZ
631#define GEN8_OA_REPORT_FORMAT_A12 (0 << 2)
632#define GEN8_OA_REPORT_FORMAT_A12_B8_C8 (2 << 2)
633#define GEN8_OA_REPORT_FORMAT_A36_B8_C8 (5 << 2)
634#define GEN8_OA_REPORT_FORMAT_C4_B8 (7 << 2)
d7965152 635#define GEN8_OA_REPORT_FORMAT_SHIFT 2
5ee8ee86
PZ
636#define GEN8_OA_SPECIFIC_CONTEXT_ENABLE (1 << 1)
637#define GEN8_OA_COUNTER_ENABLE (1 << 0)
d7965152
RB
638
639#define GEN8_OACTXCONTROL _MMIO(0x2360)
640#define GEN8_OA_TIMER_PERIOD_MASK 0x3F
641#define GEN8_OA_TIMER_PERIOD_SHIFT 2
5ee8ee86
PZ
642#define GEN8_OA_TIMER_ENABLE (1 << 1)
643#define GEN8_OA_COUNTER_RESUME (1 << 0)
d7965152
RB
644
645#define GEN7_OABUFFER _MMIO(0x23B0) /* R/W */
5ee8ee86
PZ
646#define GEN7_OABUFFER_OVERRUN_DISABLE (1 << 3)
647#define GEN7_OABUFFER_EDGE_TRIGGER (1 << 2)
648#define GEN7_OABUFFER_STOP_RESUME_ENABLE (1 << 1)
649#define GEN7_OABUFFER_RESUME (1 << 0)
d7965152 650
19f81df2 651#define GEN8_OABUFFER_UDW _MMIO(0x23b4)
d7965152 652#define GEN8_OABUFFER _MMIO(0x2b14)
b82ed43d 653#define GEN8_OABUFFER_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
654
655#define GEN7_OASTATUS1 _MMIO(0x2364)
656#define GEN7_OASTATUS1_TAIL_MASK 0xffffffc0
5ee8ee86
PZ
657#define GEN7_OASTATUS1_COUNTER_OVERFLOW (1 << 2)
658#define GEN7_OASTATUS1_OABUFFER_OVERFLOW (1 << 1)
659#define GEN7_OASTATUS1_REPORT_LOST (1 << 0)
d7965152
RB
660
661#define GEN7_OASTATUS2 _MMIO(0x2368)
b82ed43d
LL
662#define GEN7_OASTATUS2_HEAD_MASK 0xffffffc0
663#define GEN7_OASTATUS2_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
664
665#define GEN8_OASTATUS _MMIO(0x2b08)
5ee8ee86
PZ
666#define GEN8_OASTATUS_OVERRUN_STATUS (1 << 3)
667#define GEN8_OASTATUS_COUNTER_OVERFLOW (1 << 2)
668#define GEN8_OASTATUS_OABUFFER_OVERFLOW (1 << 1)
669#define GEN8_OASTATUS_REPORT_LOST (1 << 0)
d7965152
RB
670
671#define GEN8_OAHEADPTR _MMIO(0x2B0C)
19f81df2 672#define GEN8_OAHEADPTR_MASK 0xffffffc0
d7965152 673#define GEN8_OATAILPTR _MMIO(0x2B10)
19f81df2 674#define GEN8_OATAILPTR_MASK 0xffffffc0
d7965152 675
5ee8ee86
PZ
676#define OABUFFER_SIZE_128K (0 << 3)
677#define OABUFFER_SIZE_256K (1 << 3)
678#define OABUFFER_SIZE_512K (2 << 3)
679#define OABUFFER_SIZE_1M (3 << 3)
680#define OABUFFER_SIZE_2M (4 << 3)
681#define OABUFFER_SIZE_4M (5 << 3)
682#define OABUFFER_SIZE_8M (6 << 3)
683#define OABUFFER_SIZE_16M (7 << 3)
d7965152 684
19f81df2
RB
685/*
686 * Flexible, Aggregate EU Counter Registers.
687 * Note: these aren't contiguous
688 */
d7965152 689#define EU_PERF_CNTL0 _MMIO(0xe458)
19f81df2
RB
690#define EU_PERF_CNTL1 _MMIO(0xe558)
691#define EU_PERF_CNTL2 _MMIO(0xe658)
692#define EU_PERF_CNTL3 _MMIO(0xe758)
693#define EU_PERF_CNTL4 _MMIO(0xe45c)
694#define EU_PERF_CNTL5 _MMIO(0xe55c)
695#define EU_PERF_CNTL6 _MMIO(0xe65c)
d7965152 696
d7965152
RB
697/*
698 * OA Boolean state
699 */
700
d7965152
RB
701#define OASTARTTRIG1 _MMIO(0x2710)
702#define OASTARTTRIG1_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
703#define OASTARTTRIG1_THRESHOLD_MASK 0xffff
704
705#define OASTARTTRIG2 _MMIO(0x2714)
5ee8ee86
PZ
706#define OASTARTTRIG2_INVERT_A_0 (1 << 0)
707#define OASTARTTRIG2_INVERT_A_1 (1 << 1)
708#define OASTARTTRIG2_INVERT_A_2 (1 << 2)
709#define OASTARTTRIG2_INVERT_A_3 (1 << 3)
710#define OASTARTTRIG2_INVERT_A_4 (1 << 4)
711#define OASTARTTRIG2_INVERT_A_5 (1 << 5)
712#define OASTARTTRIG2_INVERT_A_6 (1 << 6)
713#define OASTARTTRIG2_INVERT_A_7 (1 << 7)
714#define OASTARTTRIG2_INVERT_A_8 (1 << 8)
715#define OASTARTTRIG2_INVERT_A_9 (1 << 9)
716#define OASTARTTRIG2_INVERT_A_10 (1 << 10)
717#define OASTARTTRIG2_INVERT_A_11 (1 << 11)
718#define OASTARTTRIG2_INVERT_A_12 (1 << 12)
719#define OASTARTTRIG2_INVERT_A_13 (1 << 13)
720#define OASTARTTRIG2_INVERT_A_14 (1 << 14)
721#define OASTARTTRIG2_INVERT_A_15 (1 << 15)
722#define OASTARTTRIG2_INVERT_B_0 (1 << 16)
723#define OASTARTTRIG2_INVERT_B_1 (1 << 17)
724#define OASTARTTRIG2_INVERT_B_2 (1 << 18)
725#define OASTARTTRIG2_INVERT_B_3 (1 << 19)
726#define OASTARTTRIG2_INVERT_C_0 (1 << 20)
727#define OASTARTTRIG2_INVERT_C_1 (1 << 21)
728#define OASTARTTRIG2_INVERT_D_0 (1 << 22)
729#define OASTARTTRIG2_THRESHOLD_ENABLE (1 << 23)
730#define OASTARTTRIG2_START_TRIG_FLAG_MBZ (1 << 24)
731#define OASTARTTRIG2_EVENT_SELECT_0 (1 << 28)
732#define OASTARTTRIG2_EVENT_SELECT_1 (1 << 29)
733#define OASTARTTRIG2_EVENT_SELECT_2 (1 << 30)
734#define OASTARTTRIG2_EVENT_SELECT_3 (1 << 31)
d7965152
RB
735
736#define OASTARTTRIG3 _MMIO(0x2718)
737#define OASTARTTRIG3_NOA_SELECT_MASK 0xf
738#define OASTARTTRIG3_NOA_SELECT_8_SHIFT 0
739#define OASTARTTRIG3_NOA_SELECT_9_SHIFT 4
740#define OASTARTTRIG3_NOA_SELECT_10_SHIFT 8
741#define OASTARTTRIG3_NOA_SELECT_11_SHIFT 12
742#define OASTARTTRIG3_NOA_SELECT_12_SHIFT 16
743#define OASTARTTRIG3_NOA_SELECT_13_SHIFT 20
744#define OASTARTTRIG3_NOA_SELECT_14_SHIFT 24
745#define OASTARTTRIG3_NOA_SELECT_15_SHIFT 28
746
747#define OASTARTTRIG4 _MMIO(0x271c)
748#define OASTARTTRIG4_NOA_SELECT_MASK 0xf
749#define OASTARTTRIG4_NOA_SELECT_0_SHIFT 0
750#define OASTARTTRIG4_NOA_SELECT_1_SHIFT 4
751#define OASTARTTRIG4_NOA_SELECT_2_SHIFT 8
752#define OASTARTTRIG4_NOA_SELECT_3_SHIFT 12
753#define OASTARTTRIG4_NOA_SELECT_4_SHIFT 16
754#define OASTARTTRIG4_NOA_SELECT_5_SHIFT 20
755#define OASTARTTRIG4_NOA_SELECT_6_SHIFT 24
756#define OASTARTTRIG4_NOA_SELECT_7_SHIFT 28
757
758#define OASTARTTRIG5 _MMIO(0x2720)
759#define OASTARTTRIG5_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
760#define OASTARTTRIG5_THRESHOLD_MASK 0xffff
761
762#define OASTARTTRIG6 _MMIO(0x2724)
5ee8ee86
PZ
763#define OASTARTTRIG6_INVERT_A_0 (1 << 0)
764#define OASTARTTRIG6_INVERT_A_1 (1 << 1)
765#define OASTARTTRIG6_INVERT_A_2 (1 << 2)
766#define OASTARTTRIG6_INVERT_A_3 (1 << 3)
767#define OASTARTTRIG6_INVERT_A_4 (1 << 4)
768#define OASTARTTRIG6_INVERT_A_5 (1 << 5)
769#define OASTARTTRIG6_INVERT_A_6 (1 << 6)
770#define OASTARTTRIG6_INVERT_A_7 (1 << 7)
771#define OASTARTTRIG6_INVERT_A_8 (1 << 8)
772#define OASTARTTRIG6_INVERT_A_9 (1 << 9)
773#define OASTARTTRIG6_INVERT_A_10 (1 << 10)
774#define OASTARTTRIG6_INVERT_A_11 (1 << 11)
775#define OASTARTTRIG6_INVERT_A_12 (1 << 12)
776#define OASTARTTRIG6_INVERT_A_13 (1 << 13)
777#define OASTARTTRIG6_INVERT_A_14 (1 << 14)
778#define OASTARTTRIG6_INVERT_A_15 (1 << 15)
779#define OASTARTTRIG6_INVERT_B_0 (1 << 16)
780#define OASTARTTRIG6_INVERT_B_1 (1 << 17)
781#define OASTARTTRIG6_INVERT_B_2 (1 << 18)
782#define OASTARTTRIG6_INVERT_B_3 (1 << 19)
783#define OASTARTTRIG6_INVERT_C_0 (1 << 20)
784#define OASTARTTRIG6_INVERT_C_1 (1 << 21)
785#define OASTARTTRIG6_INVERT_D_0 (1 << 22)
786#define OASTARTTRIG6_THRESHOLD_ENABLE (1 << 23)
787#define OASTARTTRIG6_START_TRIG_FLAG_MBZ (1 << 24)
788#define OASTARTTRIG6_EVENT_SELECT_4 (1 << 28)
789#define OASTARTTRIG6_EVENT_SELECT_5 (1 << 29)
790#define OASTARTTRIG6_EVENT_SELECT_6 (1 << 30)
791#define OASTARTTRIG6_EVENT_SELECT_7 (1 << 31)
d7965152
RB
792
793#define OASTARTTRIG7 _MMIO(0x2728)
794#define OASTARTTRIG7_NOA_SELECT_MASK 0xf
795#define OASTARTTRIG7_NOA_SELECT_8_SHIFT 0
796#define OASTARTTRIG7_NOA_SELECT_9_SHIFT 4
797#define OASTARTTRIG7_NOA_SELECT_10_SHIFT 8
798#define OASTARTTRIG7_NOA_SELECT_11_SHIFT 12
799#define OASTARTTRIG7_NOA_SELECT_12_SHIFT 16
800#define OASTARTTRIG7_NOA_SELECT_13_SHIFT 20
801#define OASTARTTRIG7_NOA_SELECT_14_SHIFT 24
802#define OASTARTTRIG7_NOA_SELECT_15_SHIFT 28
803
804#define OASTARTTRIG8 _MMIO(0x272c)
805#define OASTARTTRIG8_NOA_SELECT_MASK 0xf
806#define OASTARTTRIG8_NOA_SELECT_0_SHIFT 0
807#define OASTARTTRIG8_NOA_SELECT_1_SHIFT 4
808#define OASTARTTRIG8_NOA_SELECT_2_SHIFT 8
809#define OASTARTTRIG8_NOA_SELECT_3_SHIFT 12
810#define OASTARTTRIG8_NOA_SELECT_4_SHIFT 16
811#define OASTARTTRIG8_NOA_SELECT_5_SHIFT 20
812#define OASTARTTRIG8_NOA_SELECT_6_SHIFT 24
813#define OASTARTTRIG8_NOA_SELECT_7_SHIFT 28
814
7853d92e
LL
815#define OAREPORTTRIG1 _MMIO(0x2740)
816#define OAREPORTTRIG1_THRESHOLD_MASK 0xffff
817#define OAREPORTTRIG1_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
818
819#define OAREPORTTRIG2 _MMIO(0x2744)
5ee8ee86
PZ
820#define OAREPORTTRIG2_INVERT_A_0 (1 << 0)
821#define OAREPORTTRIG2_INVERT_A_1 (1 << 1)
822#define OAREPORTTRIG2_INVERT_A_2 (1 << 2)
823#define OAREPORTTRIG2_INVERT_A_3 (1 << 3)
824#define OAREPORTTRIG2_INVERT_A_4 (1 << 4)
825#define OAREPORTTRIG2_INVERT_A_5 (1 << 5)
826#define OAREPORTTRIG2_INVERT_A_6 (1 << 6)
827#define OAREPORTTRIG2_INVERT_A_7 (1 << 7)
828#define OAREPORTTRIG2_INVERT_A_8 (1 << 8)
829#define OAREPORTTRIG2_INVERT_A_9 (1 << 9)
830#define OAREPORTTRIG2_INVERT_A_10 (1 << 10)
831#define OAREPORTTRIG2_INVERT_A_11 (1 << 11)
832#define OAREPORTTRIG2_INVERT_A_12 (1 << 12)
833#define OAREPORTTRIG2_INVERT_A_13 (1 << 13)
834#define OAREPORTTRIG2_INVERT_A_14 (1 << 14)
835#define OAREPORTTRIG2_INVERT_A_15 (1 << 15)
836#define OAREPORTTRIG2_INVERT_B_0 (1 << 16)
837#define OAREPORTTRIG2_INVERT_B_1 (1 << 17)
838#define OAREPORTTRIG2_INVERT_B_2 (1 << 18)
839#define OAREPORTTRIG2_INVERT_B_3 (1 << 19)
840#define OAREPORTTRIG2_INVERT_C_0 (1 << 20)
841#define OAREPORTTRIG2_INVERT_C_1 (1 << 21)
842#define OAREPORTTRIG2_INVERT_D_0 (1 << 22)
843#define OAREPORTTRIG2_THRESHOLD_ENABLE (1 << 23)
844#define OAREPORTTRIG2_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
845
846#define OAREPORTTRIG3 _MMIO(0x2748)
847#define OAREPORTTRIG3_NOA_SELECT_MASK 0xf
848#define OAREPORTTRIG3_NOA_SELECT_8_SHIFT 0
849#define OAREPORTTRIG3_NOA_SELECT_9_SHIFT 4
850#define OAREPORTTRIG3_NOA_SELECT_10_SHIFT 8
851#define OAREPORTTRIG3_NOA_SELECT_11_SHIFT 12
852#define OAREPORTTRIG3_NOA_SELECT_12_SHIFT 16
853#define OAREPORTTRIG3_NOA_SELECT_13_SHIFT 20
854#define OAREPORTTRIG3_NOA_SELECT_14_SHIFT 24
855#define OAREPORTTRIG3_NOA_SELECT_15_SHIFT 28
856
857#define OAREPORTTRIG4 _MMIO(0x274c)
858#define OAREPORTTRIG4_NOA_SELECT_MASK 0xf
859#define OAREPORTTRIG4_NOA_SELECT_0_SHIFT 0
860#define OAREPORTTRIG4_NOA_SELECT_1_SHIFT 4
861#define OAREPORTTRIG4_NOA_SELECT_2_SHIFT 8
862#define OAREPORTTRIG4_NOA_SELECT_3_SHIFT 12
863#define OAREPORTTRIG4_NOA_SELECT_4_SHIFT 16
864#define OAREPORTTRIG4_NOA_SELECT_5_SHIFT 20
865#define OAREPORTTRIG4_NOA_SELECT_6_SHIFT 24
866#define OAREPORTTRIG4_NOA_SELECT_7_SHIFT 28
867
868#define OAREPORTTRIG5 _MMIO(0x2750)
869#define OAREPORTTRIG5_THRESHOLD_MASK 0xffff
870#define OAREPORTTRIG5_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
871
872#define OAREPORTTRIG6 _MMIO(0x2754)
5ee8ee86
PZ
873#define OAREPORTTRIG6_INVERT_A_0 (1 << 0)
874#define OAREPORTTRIG6_INVERT_A_1 (1 << 1)
875#define OAREPORTTRIG6_INVERT_A_2 (1 << 2)
876#define OAREPORTTRIG6_INVERT_A_3 (1 << 3)
877#define OAREPORTTRIG6_INVERT_A_4 (1 << 4)
878#define OAREPORTTRIG6_INVERT_A_5 (1 << 5)
879#define OAREPORTTRIG6_INVERT_A_6 (1 << 6)
880#define OAREPORTTRIG6_INVERT_A_7 (1 << 7)
881#define OAREPORTTRIG6_INVERT_A_8 (1 << 8)
882#define OAREPORTTRIG6_INVERT_A_9 (1 << 9)
883#define OAREPORTTRIG6_INVERT_A_10 (1 << 10)
884#define OAREPORTTRIG6_INVERT_A_11 (1 << 11)
885#define OAREPORTTRIG6_INVERT_A_12 (1 << 12)
886#define OAREPORTTRIG6_INVERT_A_13 (1 << 13)
887#define OAREPORTTRIG6_INVERT_A_14 (1 << 14)
888#define OAREPORTTRIG6_INVERT_A_15 (1 << 15)
889#define OAREPORTTRIG6_INVERT_B_0 (1 << 16)
890#define OAREPORTTRIG6_INVERT_B_1 (1 << 17)
891#define OAREPORTTRIG6_INVERT_B_2 (1 << 18)
892#define OAREPORTTRIG6_INVERT_B_3 (1 << 19)
893#define OAREPORTTRIG6_INVERT_C_0 (1 << 20)
894#define OAREPORTTRIG6_INVERT_C_1 (1 << 21)
895#define OAREPORTTRIG6_INVERT_D_0 (1 << 22)
896#define OAREPORTTRIG6_THRESHOLD_ENABLE (1 << 23)
897#define OAREPORTTRIG6_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
898
899#define OAREPORTTRIG7 _MMIO(0x2758)
900#define OAREPORTTRIG7_NOA_SELECT_MASK 0xf
901#define OAREPORTTRIG7_NOA_SELECT_8_SHIFT 0
902#define OAREPORTTRIG7_NOA_SELECT_9_SHIFT 4
903#define OAREPORTTRIG7_NOA_SELECT_10_SHIFT 8
904#define OAREPORTTRIG7_NOA_SELECT_11_SHIFT 12
905#define OAREPORTTRIG7_NOA_SELECT_12_SHIFT 16
906#define OAREPORTTRIG7_NOA_SELECT_13_SHIFT 20
907#define OAREPORTTRIG7_NOA_SELECT_14_SHIFT 24
908#define OAREPORTTRIG7_NOA_SELECT_15_SHIFT 28
909
910#define OAREPORTTRIG8 _MMIO(0x275c)
911#define OAREPORTTRIG8_NOA_SELECT_MASK 0xf
912#define OAREPORTTRIG8_NOA_SELECT_0_SHIFT 0
913#define OAREPORTTRIG8_NOA_SELECT_1_SHIFT 4
914#define OAREPORTTRIG8_NOA_SELECT_2_SHIFT 8
915#define OAREPORTTRIG8_NOA_SELECT_3_SHIFT 12
916#define OAREPORTTRIG8_NOA_SELECT_4_SHIFT 16
917#define OAREPORTTRIG8_NOA_SELECT_5_SHIFT 20
918#define OAREPORTTRIG8_NOA_SELECT_6_SHIFT 24
919#define OAREPORTTRIG8_NOA_SELECT_7_SHIFT 28
920
d7965152
RB
921/* CECX_0 */
922#define OACEC_COMPARE_LESS_OR_EQUAL 6
923#define OACEC_COMPARE_NOT_EQUAL 5
924#define OACEC_COMPARE_LESS_THAN 4
925#define OACEC_COMPARE_GREATER_OR_EQUAL 3
926#define OACEC_COMPARE_EQUAL 2
927#define OACEC_COMPARE_GREATER_THAN 1
928#define OACEC_COMPARE_ANY_EQUAL 0
929
930#define OACEC_COMPARE_VALUE_MASK 0xffff
931#define OACEC_COMPARE_VALUE_SHIFT 3
932
5ee8ee86
PZ
933#define OACEC_SELECT_NOA (0 << 19)
934#define OACEC_SELECT_PREV (1 << 19)
935#define OACEC_SELECT_BOOLEAN (2 << 19)
d7965152
RB
936
937/* CECX_1 */
938#define OACEC_MASK_MASK 0xffff
939#define OACEC_CONSIDERATIONS_MASK 0xffff
940#define OACEC_CONSIDERATIONS_SHIFT 16
941
942#define OACEC0_0 _MMIO(0x2770)
943#define OACEC0_1 _MMIO(0x2774)
944#define OACEC1_0 _MMIO(0x2778)
945#define OACEC1_1 _MMIO(0x277c)
946#define OACEC2_0 _MMIO(0x2780)
947#define OACEC2_1 _MMIO(0x2784)
948#define OACEC3_0 _MMIO(0x2788)
949#define OACEC3_1 _MMIO(0x278c)
950#define OACEC4_0 _MMIO(0x2790)
951#define OACEC4_1 _MMIO(0x2794)
952#define OACEC5_0 _MMIO(0x2798)
953#define OACEC5_1 _MMIO(0x279c)
954#define OACEC6_0 _MMIO(0x27a0)
955#define OACEC6_1 _MMIO(0x27a4)
956#define OACEC7_0 _MMIO(0x27a8)
957#define OACEC7_1 _MMIO(0x27ac)
958
f89823c2
LL
959/* OA perf counters */
960#define OA_PERFCNT1_LO _MMIO(0x91B8)
961#define OA_PERFCNT1_HI _MMIO(0x91BC)
962#define OA_PERFCNT2_LO _MMIO(0x91C0)
963#define OA_PERFCNT2_HI _MMIO(0x91C4)
95690a02
LL
964#define OA_PERFCNT3_LO _MMIO(0x91C8)
965#define OA_PERFCNT3_HI _MMIO(0x91CC)
966#define OA_PERFCNT4_LO _MMIO(0x91D8)
967#define OA_PERFCNT4_HI _MMIO(0x91DC)
f89823c2
LL
968
969#define OA_PERFMATRIX_LO _MMIO(0x91C8)
970#define OA_PERFMATRIX_HI _MMIO(0x91CC)
971
972/* RPM unit config (Gen8+) */
973#define RPM_CONFIG0 _MMIO(0x0D00)
dab91783
LL
974#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
975#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (1 << GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
976#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 0
977#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 1
d775a7b1
PZ
978#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
979#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (0x7 << GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
980#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 0
981#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 1
982#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_38_4_MHZ 2
983#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ 3
dab91783
LL
984#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT 1
985#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_MASK (0x3 << GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT)
986
f89823c2 987#define RPM_CONFIG1 _MMIO(0x0D04)
95690a02 988#define GEN10_GT_NOA_ENABLE (1 << 9)
f89823c2 989
dab91783
LL
990/* GPM unit config (Gen9+) */
991#define CTC_MODE _MMIO(0xA26C)
992#define CTC_SOURCE_PARAMETER_MASK 1
993#define CTC_SOURCE_CRYSTAL_CLOCK 0
994#define CTC_SOURCE_DIVIDE_LOGIC 1
995#define CTC_SHIFT_PARAMETER_SHIFT 1
996#define CTC_SHIFT_PARAMETER_MASK (0x3 << CTC_SHIFT_PARAMETER_SHIFT)
997
5888576b
LL
998/* RCP unit config (Gen8+) */
999#define RCP_CONFIG _MMIO(0x0D08)
f89823c2 1000
a54b19f1
LL
1001/* NOA (HSW) */
1002#define HSW_MBVID2_NOA0 _MMIO(0x9E80)
1003#define HSW_MBVID2_NOA1 _MMIO(0x9E84)
1004#define HSW_MBVID2_NOA2 _MMIO(0x9E88)
1005#define HSW_MBVID2_NOA3 _MMIO(0x9E8C)
1006#define HSW_MBVID2_NOA4 _MMIO(0x9E90)
1007#define HSW_MBVID2_NOA5 _MMIO(0x9E94)
1008#define HSW_MBVID2_NOA6 _MMIO(0x9E98)
1009#define HSW_MBVID2_NOA7 _MMIO(0x9E9C)
1010#define HSW_MBVID2_NOA8 _MMIO(0x9EA0)
1011#define HSW_MBVID2_NOA9 _MMIO(0x9EA4)
1012
1013#define HSW_MBVID2_MISR0 _MMIO(0x9EC0)
1014
f89823c2
LL
1015/* NOA (Gen8+) */
1016#define NOA_CONFIG(i) _MMIO(0x0D0C + (i) * 4)
1017
1018#define MICRO_BP0_0 _MMIO(0x9800)
1019#define MICRO_BP0_2 _MMIO(0x9804)
1020#define MICRO_BP0_1 _MMIO(0x9808)
1021
1022#define MICRO_BP1_0 _MMIO(0x980C)
1023#define MICRO_BP1_2 _MMIO(0x9810)
1024#define MICRO_BP1_1 _MMIO(0x9814)
1025
1026#define MICRO_BP2_0 _MMIO(0x9818)
1027#define MICRO_BP2_2 _MMIO(0x981C)
1028#define MICRO_BP2_1 _MMIO(0x9820)
1029
1030#define MICRO_BP3_0 _MMIO(0x9824)
1031#define MICRO_BP3_2 _MMIO(0x9828)
1032#define MICRO_BP3_1 _MMIO(0x982C)
1033
1034#define MICRO_BP_TRIGGER _MMIO(0x9830)
1035#define MICRO_BP3_COUNT_STATUS01 _MMIO(0x9834)
1036#define MICRO_BP3_COUNT_STATUS23 _MMIO(0x9838)
1037#define MICRO_BP_FIRED_ARMED _MMIO(0x983C)
1038
1039#define GDT_CHICKEN_BITS _MMIO(0x9840)
1040#define GT_NOA_ENABLE 0x00000080
1041
1042#define NOA_DATA _MMIO(0x986C)
1043#define NOA_WRITE _MMIO(0x9888)
bf210f6c 1044#define GEN10_NOA_WRITE_HIGH _MMIO(0x9884)
180b813c 1045
220375aa
BV
1046#define _GEN7_PIPEA_DE_LOAD_SL 0x70068
1047#define _GEN7_PIPEB_DE_LOAD_SL 0x71068
f0f59a00 1048#define GEN7_PIPE_DE_LOAD_SL(pipe) _MMIO_PIPE(pipe, _GEN7_PIPEA_DE_LOAD_SL, _GEN7_PIPEB_DE_LOAD_SL)
220375aa 1049
dc96e9b8
CW
1050/*
1051 * Reset registers
1052 */
f0f59a00 1053#define DEBUG_RESET_I830 _MMIO(0x6070)
5ee8ee86
PZ
1054#define DEBUG_RESET_FULL (1 << 7)
1055#define DEBUG_RESET_RENDER (1 << 8)
1056#define DEBUG_RESET_DISPLAY (1 << 9)
dc96e9b8 1057
57f350b6 1058/*
5a09ae9f
JN
1059 * IOSF sideband
1060 */
f0f59a00 1061#define VLV_IOSF_DOORBELL_REQ _MMIO(VLV_DISPLAY_BASE + 0x2100)
5a09ae9f
JN
1062#define IOSF_DEVFN_SHIFT 24
1063#define IOSF_OPCODE_SHIFT 16
1064#define IOSF_PORT_SHIFT 8
1065#define IOSF_BYTE_ENABLES_SHIFT 4
1066#define IOSF_BAR_SHIFT 1
5ee8ee86 1067#define IOSF_SB_BUSY (1 << 0)
4688d45f
JN
1068#define IOSF_PORT_BUNIT 0x03
1069#define IOSF_PORT_PUNIT 0x04
5a09ae9f
JN
1070#define IOSF_PORT_NC 0x11
1071#define IOSF_PORT_DPIO 0x12
e9f882a3
JN
1072#define IOSF_PORT_GPIO_NC 0x13
1073#define IOSF_PORT_CCK 0x14
4688d45f
JN
1074#define IOSF_PORT_DPIO_2 0x1a
1075#define IOSF_PORT_FLISDSI 0x1b
dfb19ed2
D
1076#define IOSF_PORT_GPIO_SC 0x48
1077#define IOSF_PORT_GPIO_SUS 0xa8
4688d45f 1078#define IOSF_PORT_CCU 0xa9
7071af97
JN
1079#define CHV_IOSF_PORT_GPIO_N 0x13
1080#define CHV_IOSF_PORT_GPIO_SE 0x48
1081#define CHV_IOSF_PORT_GPIO_E 0xa8
1082#define CHV_IOSF_PORT_GPIO_SW 0xb2
f0f59a00
VS
1083#define VLV_IOSF_DATA _MMIO(VLV_DISPLAY_BASE + 0x2104)
1084#define VLV_IOSF_ADDR _MMIO(VLV_DISPLAY_BASE + 0x2108)
5a09ae9f 1085
30a970c6
JB
1086/* See configdb bunit SB addr map */
1087#define BUNIT_REG_BISOC 0x11
1088
5e0b6697
VS
1089/* PUNIT_REG_*SSPM0 */
1090#define _SSPM0_SSC(val) ((val) << 0)
1091#define SSPM0_SSC_MASK _SSPM0_SSC(0x3)
1092#define SSPM0_SSC_PWR_ON _SSPM0_SSC(0x0)
1093#define SSPM0_SSC_CLK_GATE _SSPM0_SSC(0x1)
1094#define SSPM0_SSC_RESET _SSPM0_SSC(0x2)
1095#define SSPM0_SSC_PWR_GATE _SSPM0_SSC(0x3)
1096#define _SSPM0_SSS(val) ((val) << 24)
1097#define SSPM0_SSS_MASK _SSPM0_SSS(0x3)
1098#define SSPM0_SSS_PWR_ON _SSPM0_SSS(0x0)
1099#define SSPM0_SSS_CLK_GATE _SSPM0_SSS(0x1)
1100#define SSPM0_SSS_RESET _SSPM0_SSS(0x2)
1101#define SSPM0_SSS_PWR_GATE _SSPM0_SSS(0x3)
1102
1103/* PUNIT_REG_*SSPM1 */
1104#define SSPM1_FREQSTAT_SHIFT 24
1105#define SSPM1_FREQSTAT_MASK (0x1f << SSPM1_FREQSTAT_SHIFT)
1106#define SSPM1_FREQGUAR_SHIFT 8
1107#define SSPM1_FREQGUAR_MASK (0x1f << SSPM1_FREQGUAR_SHIFT)
1108#define SSPM1_FREQ_SHIFT 0
1109#define SSPM1_FREQ_MASK (0x1f << SSPM1_FREQ_SHIFT)
1110
1111#define PUNIT_REG_VEDSSPM0 0x32
1112#define PUNIT_REG_VEDSSPM1 0x33
1113
c11b813f 1114#define PUNIT_REG_DSPSSPM 0x36
383c5a6a
VS
1115#define DSPFREQSTAT_SHIFT_CHV 24
1116#define DSPFREQSTAT_MASK_CHV (0x1f << DSPFREQSTAT_SHIFT_CHV)
1117#define DSPFREQGUAR_SHIFT_CHV 8
1118#define DSPFREQGUAR_MASK_CHV (0x1f << DSPFREQGUAR_SHIFT_CHV)
30a970c6
JB
1119#define DSPFREQSTAT_SHIFT 30
1120#define DSPFREQSTAT_MASK (0x3 << DSPFREQSTAT_SHIFT)
1121#define DSPFREQGUAR_SHIFT 14
1122#define DSPFREQGUAR_MASK (0x3 << DSPFREQGUAR_SHIFT)
cfb41411
VS
1123#define DSP_MAXFIFO_PM5_STATUS (1 << 22) /* chv */
1124#define DSP_AUTO_CDCLK_GATE_DISABLE (1 << 7) /* chv */
1125#define DSP_MAXFIFO_PM5_ENABLE (1 << 6) /* chv */
26972b0a
VS
1126#define _DP_SSC(val, pipe) ((val) << (2 * (pipe)))
1127#define DP_SSC_MASK(pipe) _DP_SSC(0x3, (pipe))
1128#define DP_SSC_PWR_ON(pipe) _DP_SSC(0x0, (pipe))
1129#define DP_SSC_CLK_GATE(pipe) _DP_SSC(0x1, (pipe))
1130#define DP_SSC_RESET(pipe) _DP_SSC(0x2, (pipe))
1131#define DP_SSC_PWR_GATE(pipe) _DP_SSC(0x3, (pipe))
1132#define _DP_SSS(val, pipe) ((val) << (2 * (pipe) + 16))
1133#define DP_SSS_MASK(pipe) _DP_SSS(0x3, (pipe))
1134#define DP_SSS_PWR_ON(pipe) _DP_SSS(0x0, (pipe))
1135#define DP_SSS_CLK_GATE(pipe) _DP_SSS(0x1, (pipe))
1136#define DP_SSS_RESET(pipe) _DP_SSS(0x2, (pipe))
1137#define DP_SSS_PWR_GATE(pipe) _DP_SSS(0x3, (pipe))
a30180a5 1138
5e0b6697
VS
1139#define PUNIT_REG_ISPSSPM0 0x39
1140#define PUNIT_REG_ISPSSPM1 0x3a
1141
02f4c9e0
CML
1142#define PUNIT_REG_PWRGT_CTRL 0x60
1143#define PUNIT_REG_PWRGT_STATUS 0x61
d13dd05a
ID
1144#define PUNIT_PWRGT_MASK(pw_idx) (3 << ((pw_idx) * 2))
1145#define PUNIT_PWRGT_PWR_ON(pw_idx) (0 << ((pw_idx) * 2))
1146#define PUNIT_PWRGT_CLK_GATE(pw_idx) (1 << ((pw_idx) * 2))
1147#define PUNIT_PWRGT_RESET(pw_idx) (2 << ((pw_idx) * 2))
1148#define PUNIT_PWRGT_PWR_GATE(pw_idx) (3 << ((pw_idx) * 2))
1149
1150#define PUNIT_PWGT_IDX_RENDER 0
1151#define PUNIT_PWGT_IDX_MEDIA 1
1152#define PUNIT_PWGT_IDX_DISP2D 3
1153#define PUNIT_PWGT_IDX_DPIO_CMN_BC 5
1154#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_01 6
1155#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_23 7
1156#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_01 8
1157#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_23 9
1158#define PUNIT_PWGT_IDX_DPIO_RX0 10
1159#define PUNIT_PWGT_IDX_DPIO_RX1 11
1160#define PUNIT_PWGT_IDX_DPIO_CMN_D 12
02f4c9e0 1161
5a09ae9f
JN
1162#define PUNIT_REG_GPU_LFM 0xd3
1163#define PUNIT_REG_GPU_FREQ_REQ 0xd4
1164#define PUNIT_REG_GPU_FREQ_STS 0xd8
5ee8ee86
PZ
1165#define GPLLENABLE (1 << 4)
1166#define GENFREQSTATUS (1 << 0)
5a09ae9f 1167#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
31685c25 1168#define PUNIT_REG_CZ_TIMESTAMP 0xce
5a09ae9f
JN
1169
1170#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
1171#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
1172
095acd5f
D
1173#define FB_GFX_FMAX_AT_VMAX_FUSE 0x136
1174#define FB_GFX_FREQ_FUSE_MASK 0xff
1175#define FB_GFX_FMAX_AT_VMAX_2SS4EU_FUSE_SHIFT 24
1176#define FB_GFX_FMAX_AT_VMAX_2SS6EU_FUSE_SHIFT 16
1177#define FB_GFX_FMAX_AT_VMAX_2SS8EU_FUSE_SHIFT 8
1178
1179#define FB_GFX_FMIN_AT_VMIN_FUSE 0x137
1180#define FB_GFX_FMIN_AT_VMIN_FUSE_SHIFT 8
1181
fc1ac8de
VS
1182#define PUNIT_REG_DDR_SETUP2 0x139
1183#define FORCE_DDR_FREQ_REQ_ACK (1 << 8)
1184#define FORCE_DDR_LOW_FREQ (1 << 1)
1185#define FORCE_DDR_HIGH_FREQ (1 << 0)
1186
2b6b3a09
D
1187#define PUNIT_GPU_STATUS_REG 0xdb
1188#define PUNIT_GPU_STATUS_MAX_FREQ_SHIFT 16
1189#define PUNIT_GPU_STATUS_MAX_FREQ_MASK 0xff
1190#define PUNIT_GPU_STATIS_GFX_MIN_FREQ_SHIFT 8
1191#define PUNIT_GPU_STATUS_GFX_MIN_FREQ_MASK 0xff
1192
1193#define PUNIT_GPU_DUTYCYCLE_REG 0xdf
1194#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_SHIFT 8
1195#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_MASK 0xff
1196
5a09ae9f
JN
1197#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
1198#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
1199#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
1200#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
1201#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
1202#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
1203#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
1204#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
1205#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
1206#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
1207
af7187b7
PZ
1208#define VLV_TURBO_SOC_OVERRIDE 0x04
1209#define VLV_OVERRIDE_EN 1
1210#define VLV_SOC_TDP_EN (1 << 1)
1211#define VLV_BIAS_CPU_125_SOC_875 (6 << 2)
1212#define CHV_BIAS_CPU_50_SOC_50 (3 << 2)
3ef62342 1213
be4fc046 1214/* vlv2 north clock has */
24eb2d59
CML
1215#define CCK_FUSE_REG 0x8
1216#define CCK_FUSE_HPLL_FREQ_MASK 0x3
be4fc046 1217#define CCK_REG_DSI_PLL_FUSE 0x44
1218#define CCK_REG_DSI_PLL_CONTROL 0x48
1219#define DSI_PLL_VCO_EN (1 << 31)
1220#define DSI_PLL_LDO_GATE (1 << 30)
1221#define DSI_PLL_P1_POST_DIV_SHIFT 17
1222#define DSI_PLL_P1_POST_DIV_MASK (0x1ff << 17)
1223#define DSI_PLL_P2_MUX_DSI0_DIV2 (1 << 13)
1224#define DSI_PLL_P3_MUX_DSI1_DIV2 (1 << 12)
1225#define DSI_PLL_MUX_MASK (3 << 9)
1226#define DSI_PLL_MUX_DSI0_DSIPLL (0 << 10)
1227#define DSI_PLL_MUX_DSI0_CCK (1 << 10)
1228#define DSI_PLL_MUX_DSI1_DSIPLL (0 << 9)
1229#define DSI_PLL_MUX_DSI1_CCK (1 << 9)
1230#define DSI_PLL_CLK_GATE_MASK (0xf << 5)
1231#define DSI_PLL_CLK_GATE_DSI0_DSIPLL (1 << 8)
1232#define DSI_PLL_CLK_GATE_DSI1_DSIPLL (1 << 7)
1233#define DSI_PLL_CLK_GATE_DSI0_CCK (1 << 6)
1234#define DSI_PLL_CLK_GATE_DSI1_CCK (1 << 5)
1235#define DSI_PLL_LOCK (1 << 0)
1236#define CCK_REG_DSI_PLL_DIVIDER 0x4c
1237#define DSI_PLL_LFSR (1 << 31)
1238#define DSI_PLL_FRACTION_EN (1 << 30)
1239#define DSI_PLL_FRAC_COUNTER_SHIFT 27
1240#define DSI_PLL_FRAC_COUNTER_MASK (7 << 27)
1241#define DSI_PLL_USYNC_CNT_SHIFT 18
1242#define DSI_PLL_USYNC_CNT_MASK (0x1ff << 18)
1243#define DSI_PLL_N1_DIV_SHIFT 16
1244#define DSI_PLL_N1_DIV_MASK (3 << 16)
1245#define DSI_PLL_M1_DIV_SHIFT 0
1246#define DSI_PLL_M1_DIV_MASK (0x1ff << 0)
bfa7df01 1247#define CCK_CZ_CLOCK_CONTROL 0x62
c30fec65 1248#define CCK_GPLL_CLOCK_CONTROL 0x67
30a970c6 1249#define CCK_DISPLAY_CLOCK_CONTROL 0x6b
35d38d1f 1250#define CCK_DISPLAY_REF_CLOCK_CONTROL 0x6c
87d5d259
VK
1251#define CCK_TRUNK_FORCE_ON (1 << 17)
1252#define CCK_TRUNK_FORCE_OFF (1 << 16)
1253#define CCK_FREQUENCY_STATUS (0x1f << 8)
1254#define CCK_FREQUENCY_STATUS_SHIFT 8
1255#define CCK_FREQUENCY_VALUES (0x1f << 0)
be4fc046 1256
f38861b8 1257/* DPIO registers */
5a09ae9f 1258#define DPIO_DEVFN 0
5a09ae9f 1259
f0f59a00 1260#define DPIO_CTL _MMIO(VLV_DISPLAY_BASE + 0x2110)
5ee8ee86
PZ
1261#define DPIO_MODSEL1 (1 << 3) /* if ref clk b == 27 */
1262#define DPIO_MODSEL0 (1 << 2) /* if ref clk a == 27 */
1263#define DPIO_SFR_BYPASS (1 << 1)
1264#define DPIO_CMNRST (1 << 0)
57f350b6 1265
e4607fcf
CML
1266#define DPIO_PHY(pipe) ((pipe) >> 1)
1267#define DPIO_PHY_IOSF_PORT(phy) (dev_priv->dpio_phy_iosf_port[phy])
1268
598fac6b
DV
1269/*
1270 * Per pipe/PLL DPIO regs
1271 */
ab3c759a 1272#define _VLV_PLL_DW3_CH0 0x800c
57f350b6 1273#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
1274#define DPIO_POST_DIV_DAC 0
1275#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
1276#define DPIO_POST_DIV_LVDS1 2
1277#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
1278#define DPIO_K_SHIFT (24) /* 4 bits */
1279#define DPIO_P1_SHIFT (21) /* 3 bits */
1280#define DPIO_P2_SHIFT (16) /* 5 bits */
1281#define DPIO_N_SHIFT (12) /* 4 bits */
5ee8ee86 1282#define DPIO_ENABLE_CALIBRATION (1 << 11)
57f350b6
JB
1283#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
1284#define DPIO_M2DIV_MASK 0xff
ab3c759a
CML
1285#define _VLV_PLL_DW3_CH1 0x802c
1286#define VLV_PLL_DW3(ch) _PIPE(ch, _VLV_PLL_DW3_CH0, _VLV_PLL_DW3_CH1)
57f350b6 1287
ab3c759a 1288#define _VLV_PLL_DW5_CH0 0x8014
57f350b6
JB
1289#define DPIO_REFSEL_OVERRIDE 27
1290#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
1291#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
1292#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 1293#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
1294#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
1295#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
ab3c759a
CML
1296#define _VLV_PLL_DW5_CH1 0x8034
1297#define VLV_PLL_DW5(ch) _PIPE(ch, _VLV_PLL_DW5_CH0, _VLV_PLL_DW5_CH1)
57f350b6 1298
ab3c759a
CML
1299#define _VLV_PLL_DW7_CH0 0x801c
1300#define _VLV_PLL_DW7_CH1 0x803c
1301#define VLV_PLL_DW7(ch) _PIPE(ch, _VLV_PLL_DW7_CH0, _VLV_PLL_DW7_CH1)
57f350b6 1302
ab3c759a
CML
1303#define _VLV_PLL_DW8_CH0 0x8040
1304#define _VLV_PLL_DW8_CH1 0x8060
1305#define VLV_PLL_DW8(ch) _PIPE(ch, _VLV_PLL_DW8_CH0, _VLV_PLL_DW8_CH1)
598fac6b 1306
ab3c759a
CML
1307#define VLV_PLL_DW9_BCAST 0xc044
1308#define _VLV_PLL_DW9_CH0 0x8044
1309#define _VLV_PLL_DW9_CH1 0x8064
1310#define VLV_PLL_DW9(ch) _PIPE(ch, _VLV_PLL_DW9_CH0, _VLV_PLL_DW9_CH1)
598fac6b 1311
ab3c759a
CML
1312#define _VLV_PLL_DW10_CH0 0x8048
1313#define _VLV_PLL_DW10_CH1 0x8068
1314#define VLV_PLL_DW10(ch) _PIPE(ch, _VLV_PLL_DW10_CH0, _VLV_PLL_DW10_CH1)
598fac6b 1315
ab3c759a
CML
1316#define _VLV_PLL_DW11_CH0 0x804c
1317#define _VLV_PLL_DW11_CH1 0x806c
1318#define VLV_PLL_DW11(ch) _PIPE(ch, _VLV_PLL_DW11_CH0, _VLV_PLL_DW11_CH1)
57f350b6 1319
ab3c759a
CML
1320/* Spec for ref block start counts at DW10 */
1321#define VLV_REF_DW13 0x80ac
598fac6b 1322
ab3c759a 1323#define VLV_CMN_DW0 0x8100
dc96e9b8 1324
598fac6b
DV
1325/*
1326 * Per DDI channel DPIO regs
1327 */
1328
ab3c759a
CML
1329#define _VLV_PCS_DW0_CH0 0x8200
1330#define _VLV_PCS_DW0_CH1 0x8400
5ee8ee86
PZ
1331#define DPIO_PCS_TX_LANE2_RESET (1 << 16)
1332#define DPIO_PCS_TX_LANE1_RESET (1 << 7)
1333#define DPIO_LEFT_TXFIFO_RST_MASTER2 (1 << 4)
1334#define DPIO_RIGHT_TXFIFO_RST_MASTER2 (1 << 3)
ab3c759a 1335#define VLV_PCS_DW0(ch) _PORT(ch, _VLV_PCS_DW0_CH0, _VLV_PCS_DW0_CH1)
598fac6b 1336
97fd4d5c
VS
1337#define _VLV_PCS01_DW0_CH0 0x200
1338#define _VLV_PCS23_DW0_CH0 0x400
1339#define _VLV_PCS01_DW0_CH1 0x2600
1340#define _VLV_PCS23_DW0_CH1 0x2800
1341#define VLV_PCS01_DW0(ch) _PORT(ch, _VLV_PCS01_DW0_CH0, _VLV_PCS01_DW0_CH1)
1342#define VLV_PCS23_DW0(ch) _PORT(ch, _VLV_PCS23_DW0_CH0, _VLV_PCS23_DW0_CH1)
1343
ab3c759a
CML
1344#define _VLV_PCS_DW1_CH0 0x8204
1345#define _VLV_PCS_DW1_CH1 0x8404
5ee8ee86
PZ
1346#define CHV_PCS_REQ_SOFTRESET_EN (1 << 23)
1347#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1 << 22)
1348#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1 << 21)
598fac6b 1349#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
5ee8ee86 1350#define DPIO_PCS_CLK_SOFT_RESET (1 << 5)
ab3c759a
CML
1351#define VLV_PCS_DW1(ch) _PORT(ch, _VLV_PCS_DW1_CH0, _VLV_PCS_DW1_CH1)
1352
97fd4d5c
VS
1353#define _VLV_PCS01_DW1_CH0 0x204
1354#define _VLV_PCS23_DW1_CH0 0x404
1355#define _VLV_PCS01_DW1_CH1 0x2604
1356#define _VLV_PCS23_DW1_CH1 0x2804
1357#define VLV_PCS01_DW1(ch) _PORT(ch, _VLV_PCS01_DW1_CH0, _VLV_PCS01_DW1_CH1)
1358#define VLV_PCS23_DW1(ch) _PORT(ch, _VLV_PCS23_DW1_CH0, _VLV_PCS23_DW1_CH1)
1359
ab3c759a
CML
1360#define _VLV_PCS_DW8_CH0 0x8220
1361#define _VLV_PCS_DW8_CH1 0x8420
9197c88b
VS
1362#define CHV_PCS_USEDCLKCHANNEL_OVRRIDE (1 << 20)
1363#define CHV_PCS_USEDCLKCHANNEL (1 << 21)
ab3c759a
CML
1364#define VLV_PCS_DW8(ch) _PORT(ch, _VLV_PCS_DW8_CH0, _VLV_PCS_DW8_CH1)
1365
1366#define _VLV_PCS01_DW8_CH0 0x0220
1367#define _VLV_PCS23_DW8_CH0 0x0420
1368#define _VLV_PCS01_DW8_CH1 0x2620
1369#define _VLV_PCS23_DW8_CH1 0x2820
1370#define VLV_PCS01_DW8(port) _PORT(port, _VLV_PCS01_DW8_CH0, _VLV_PCS01_DW8_CH1)
1371#define VLV_PCS23_DW8(port) _PORT(port, _VLV_PCS23_DW8_CH0, _VLV_PCS23_DW8_CH1)
1372
1373#define _VLV_PCS_DW9_CH0 0x8224
1374#define _VLV_PCS_DW9_CH1 0x8424
5ee8ee86
PZ
1375#define DPIO_PCS_TX2MARGIN_MASK (0x7 << 13)
1376#define DPIO_PCS_TX2MARGIN_000 (0 << 13)
1377#define DPIO_PCS_TX2MARGIN_101 (1 << 13)
1378#define DPIO_PCS_TX1MARGIN_MASK (0x7 << 10)
1379#define DPIO_PCS_TX1MARGIN_000 (0 << 10)
1380#define DPIO_PCS_TX1MARGIN_101 (1 << 10)
ab3c759a
CML
1381#define VLV_PCS_DW9(ch) _PORT(ch, _VLV_PCS_DW9_CH0, _VLV_PCS_DW9_CH1)
1382
a02ef3c7
VS
1383#define _VLV_PCS01_DW9_CH0 0x224
1384#define _VLV_PCS23_DW9_CH0 0x424
1385#define _VLV_PCS01_DW9_CH1 0x2624
1386#define _VLV_PCS23_DW9_CH1 0x2824
1387#define VLV_PCS01_DW9(ch) _PORT(ch, _VLV_PCS01_DW9_CH0, _VLV_PCS01_DW9_CH1)
1388#define VLV_PCS23_DW9(ch) _PORT(ch, _VLV_PCS23_DW9_CH0, _VLV_PCS23_DW9_CH1)
1389
9d556c99
CML
1390#define _CHV_PCS_DW10_CH0 0x8228
1391#define _CHV_PCS_DW10_CH1 0x8428
5ee8ee86
PZ
1392#define DPIO_PCS_SWING_CALC_TX0_TX2 (1 << 30)
1393#define DPIO_PCS_SWING_CALC_TX1_TX3 (1 << 31)
1394#define DPIO_PCS_TX2DEEMP_MASK (0xf << 24)
1395#define DPIO_PCS_TX2DEEMP_9P5 (0 << 24)
1396#define DPIO_PCS_TX2DEEMP_6P0 (2 << 24)
1397#define DPIO_PCS_TX1DEEMP_MASK (0xf << 16)
1398#define DPIO_PCS_TX1DEEMP_9P5 (0 << 16)
1399#define DPIO_PCS_TX1DEEMP_6P0 (2 << 16)
9d556c99
CML
1400#define CHV_PCS_DW10(ch) _PORT(ch, _CHV_PCS_DW10_CH0, _CHV_PCS_DW10_CH1)
1401
1966e59e
VS
1402#define _VLV_PCS01_DW10_CH0 0x0228
1403#define _VLV_PCS23_DW10_CH0 0x0428
1404#define _VLV_PCS01_DW10_CH1 0x2628
1405#define _VLV_PCS23_DW10_CH1 0x2828
1406#define VLV_PCS01_DW10(port) _PORT(port, _VLV_PCS01_DW10_CH0, _VLV_PCS01_DW10_CH1)
1407#define VLV_PCS23_DW10(port) _PORT(port, _VLV_PCS23_DW10_CH0, _VLV_PCS23_DW10_CH1)
1408
ab3c759a
CML
1409#define _VLV_PCS_DW11_CH0 0x822c
1410#define _VLV_PCS_DW11_CH1 0x842c
5ee8ee86
PZ
1411#define DPIO_TX2_STAGGER_MASK(x) ((x) << 24)
1412#define DPIO_LANEDESKEW_STRAP_OVRD (1 << 3)
1413#define DPIO_LEFT_TXFIFO_RST_MASTER (1 << 1)
1414#define DPIO_RIGHT_TXFIFO_RST_MASTER (1 << 0)
ab3c759a
CML
1415#define VLV_PCS_DW11(ch) _PORT(ch, _VLV_PCS_DW11_CH0, _VLV_PCS_DW11_CH1)
1416
570e2a74
VS
1417#define _VLV_PCS01_DW11_CH0 0x022c
1418#define _VLV_PCS23_DW11_CH0 0x042c
1419#define _VLV_PCS01_DW11_CH1 0x262c
1420#define _VLV_PCS23_DW11_CH1 0x282c
142d2eca
VS
1421#define VLV_PCS01_DW11(ch) _PORT(ch, _VLV_PCS01_DW11_CH0, _VLV_PCS01_DW11_CH1)
1422#define VLV_PCS23_DW11(ch) _PORT(ch, _VLV_PCS23_DW11_CH0, _VLV_PCS23_DW11_CH1)
570e2a74 1423
2e523e98
VS
1424#define _VLV_PCS01_DW12_CH0 0x0230
1425#define _VLV_PCS23_DW12_CH0 0x0430
1426#define _VLV_PCS01_DW12_CH1 0x2630
1427#define _VLV_PCS23_DW12_CH1 0x2830
1428#define VLV_PCS01_DW12(ch) _PORT(ch, _VLV_PCS01_DW12_CH0, _VLV_PCS01_DW12_CH1)
1429#define VLV_PCS23_DW12(ch) _PORT(ch, _VLV_PCS23_DW12_CH0, _VLV_PCS23_DW12_CH1)
1430
ab3c759a
CML
1431#define _VLV_PCS_DW12_CH0 0x8230
1432#define _VLV_PCS_DW12_CH1 0x8430
5ee8ee86
PZ
1433#define DPIO_TX2_STAGGER_MULT(x) ((x) << 20)
1434#define DPIO_TX1_STAGGER_MULT(x) ((x) << 16)
1435#define DPIO_TX1_STAGGER_MASK(x) ((x) << 8)
1436#define DPIO_LANESTAGGER_STRAP_OVRD (1 << 6)
1437#define DPIO_LANESTAGGER_STRAP(x) ((x) << 0)
ab3c759a
CML
1438#define VLV_PCS_DW12(ch) _PORT(ch, _VLV_PCS_DW12_CH0, _VLV_PCS_DW12_CH1)
1439
1440#define _VLV_PCS_DW14_CH0 0x8238
1441#define _VLV_PCS_DW14_CH1 0x8438
1442#define VLV_PCS_DW14(ch) _PORT(ch, _VLV_PCS_DW14_CH0, _VLV_PCS_DW14_CH1)
1443
1444#define _VLV_PCS_DW23_CH0 0x825c
1445#define _VLV_PCS_DW23_CH1 0x845c
1446#define VLV_PCS_DW23(ch) _PORT(ch, _VLV_PCS_DW23_CH0, _VLV_PCS_DW23_CH1)
1447
1448#define _VLV_TX_DW2_CH0 0x8288
1449#define _VLV_TX_DW2_CH1 0x8488
1fb44505
VS
1450#define DPIO_SWING_MARGIN000_SHIFT 16
1451#define DPIO_SWING_MARGIN000_MASK (0xff << DPIO_SWING_MARGIN000_SHIFT)
9d556c99 1452#define DPIO_UNIQ_TRANS_SCALE_SHIFT 8
ab3c759a
CML
1453#define VLV_TX_DW2(ch) _PORT(ch, _VLV_TX_DW2_CH0, _VLV_TX_DW2_CH1)
1454
1455#define _VLV_TX_DW3_CH0 0x828c
1456#define _VLV_TX_DW3_CH1 0x848c
9d556c99 1457/* The following bit for CHV phy */
5ee8ee86 1458#define DPIO_TX_UNIQ_TRANS_SCALE_EN (1 << 27)
1fb44505
VS
1459#define DPIO_SWING_MARGIN101_SHIFT 16
1460#define DPIO_SWING_MARGIN101_MASK (0xff << DPIO_SWING_MARGIN101_SHIFT)
ab3c759a
CML
1461#define VLV_TX_DW3(ch) _PORT(ch, _VLV_TX_DW3_CH0, _VLV_TX_DW3_CH1)
1462
1463#define _VLV_TX_DW4_CH0 0x8290
1464#define _VLV_TX_DW4_CH1 0x8490
9d556c99
CML
1465#define DPIO_SWING_DEEMPH9P5_SHIFT 24
1466#define DPIO_SWING_DEEMPH9P5_MASK (0xff << DPIO_SWING_DEEMPH9P5_SHIFT)
1fb44505
VS
1467#define DPIO_SWING_DEEMPH6P0_SHIFT 16
1468#define DPIO_SWING_DEEMPH6P0_MASK (0xff << DPIO_SWING_DEEMPH6P0_SHIFT)
ab3c759a
CML
1469#define VLV_TX_DW4(ch) _PORT(ch, _VLV_TX_DW4_CH0, _VLV_TX_DW4_CH1)
1470
1471#define _VLV_TX3_DW4_CH0 0x690
1472#define _VLV_TX3_DW4_CH1 0x2a90
1473#define VLV_TX3_DW4(ch) _PORT(ch, _VLV_TX3_DW4_CH0, _VLV_TX3_DW4_CH1)
1474
1475#define _VLV_TX_DW5_CH0 0x8294
1476#define _VLV_TX_DW5_CH1 0x8494
5ee8ee86 1477#define DPIO_TX_OCALINIT_EN (1 << 31)
ab3c759a
CML
1478#define VLV_TX_DW5(ch) _PORT(ch, _VLV_TX_DW5_CH0, _VLV_TX_DW5_CH1)
1479
1480#define _VLV_TX_DW11_CH0 0x82ac
1481#define _VLV_TX_DW11_CH1 0x84ac
1482#define VLV_TX_DW11(ch) _PORT(ch, _VLV_TX_DW11_CH0, _VLV_TX_DW11_CH1)
1483
1484#define _VLV_TX_DW14_CH0 0x82b8
1485#define _VLV_TX_DW14_CH1 0x84b8
1486#define VLV_TX_DW14(ch) _PORT(ch, _VLV_TX_DW14_CH0, _VLV_TX_DW14_CH1)
b56747aa 1487
9d556c99
CML
1488/* CHV dpPhy registers */
1489#define _CHV_PLL_DW0_CH0 0x8000
1490#define _CHV_PLL_DW0_CH1 0x8180
1491#define CHV_PLL_DW0(ch) _PIPE(ch, _CHV_PLL_DW0_CH0, _CHV_PLL_DW0_CH1)
1492
1493#define _CHV_PLL_DW1_CH0 0x8004
1494#define _CHV_PLL_DW1_CH1 0x8184
1495#define DPIO_CHV_N_DIV_SHIFT 8
1496#define DPIO_CHV_M1_DIV_BY_2 (0 << 0)
1497#define CHV_PLL_DW1(ch) _PIPE(ch, _CHV_PLL_DW1_CH0, _CHV_PLL_DW1_CH1)
1498
1499#define _CHV_PLL_DW2_CH0 0x8008
1500#define _CHV_PLL_DW2_CH1 0x8188
1501#define CHV_PLL_DW2(ch) _PIPE(ch, _CHV_PLL_DW2_CH0, _CHV_PLL_DW2_CH1)
1502
1503#define _CHV_PLL_DW3_CH0 0x800c
1504#define _CHV_PLL_DW3_CH1 0x818c
1505#define DPIO_CHV_FRAC_DIV_EN (1 << 16)
1506#define DPIO_CHV_FIRST_MOD (0 << 8)
1507#define DPIO_CHV_SECOND_MOD (1 << 8)
1508#define DPIO_CHV_FEEDFWD_GAIN_SHIFT 0
a945ce7e 1509#define DPIO_CHV_FEEDFWD_GAIN_MASK (0xF << 0)
9d556c99
CML
1510#define CHV_PLL_DW3(ch) _PIPE(ch, _CHV_PLL_DW3_CH0, _CHV_PLL_DW3_CH1)
1511
1512#define _CHV_PLL_DW6_CH0 0x8018
1513#define _CHV_PLL_DW6_CH1 0x8198
1514#define DPIO_CHV_GAIN_CTRL_SHIFT 16
1515#define DPIO_CHV_INT_COEFF_SHIFT 8
1516#define DPIO_CHV_PROP_COEFF_SHIFT 0
1517#define CHV_PLL_DW6(ch) _PIPE(ch, _CHV_PLL_DW6_CH0, _CHV_PLL_DW6_CH1)
1518
d3eee4ba
VP
1519#define _CHV_PLL_DW8_CH0 0x8020
1520#define _CHV_PLL_DW8_CH1 0x81A0
9cbe40c1
VP
1521#define DPIO_CHV_TDC_TARGET_CNT_SHIFT 0
1522#define DPIO_CHV_TDC_TARGET_CNT_MASK (0x3FF << 0)
d3eee4ba
VP
1523#define CHV_PLL_DW8(ch) _PIPE(ch, _CHV_PLL_DW8_CH0, _CHV_PLL_DW8_CH1)
1524
1525#define _CHV_PLL_DW9_CH0 0x8024
1526#define _CHV_PLL_DW9_CH1 0x81A4
1527#define DPIO_CHV_INT_LOCK_THRESHOLD_SHIFT 1 /* 3 bits */
de3a0fde 1528#define DPIO_CHV_INT_LOCK_THRESHOLD_MASK (7 << 1)
d3eee4ba
VP
1529#define DPIO_CHV_INT_LOCK_THRESHOLD_SEL_COARSE 1 /* 1: coarse & 0 : fine */
1530#define CHV_PLL_DW9(ch) _PIPE(ch, _CHV_PLL_DW9_CH0, _CHV_PLL_DW9_CH1)
1531
6669e39f
VS
1532#define _CHV_CMN_DW0_CH0 0x8100
1533#define DPIO_ALLDL_POWERDOWN_SHIFT_CH0 19
1534#define DPIO_ANYDL_POWERDOWN_SHIFT_CH0 18
1535#define DPIO_ALLDL_POWERDOWN (1 << 1)
1536#define DPIO_ANYDL_POWERDOWN (1 << 0)
1537
b9e5ac3c
VS
1538#define _CHV_CMN_DW5_CH0 0x8114
1539#define CHV_BUFRIGHTENA1_DISABLE (0 << 20)
1540#define CHV_BUFRIGHTENA1_NORMAL (1 << 20)
1541#define CHV_BUFRIGHTENA1_FORCE (3 << 20)
1542#define CHV_BUFRIGHTENA1_MASK (3 << 20)
1543#define CHV_BUFLEFTENA1_DISABLE (0 << 22)
1544#define CHV_BUFLEFTENA1_NORMAL (1 << 22)
1545#define CHV_BUFLEFTENA1_FORCE (3 << 22)
1546#define CHV_BUFLEFTENA1_MASK (3 << 22)
1547
9d556c99
CML
1548#define _CHV_CMN_DW13_CH0 0x8134
1549#define _CHV_CMN_DW0_CH1 0x8080
1550#define DPIO_CHV_S1_DIV_SHIFT 21
1551#define DPIO_CHV_P1_DIV_SHIFT 13 /* 3 bits */
1552#define DPIO_CHV_P2_DIV_SHIFT 8 /* 5 bits */
1553#define DPIO_CHV_K_DIV_SHIFT 4
1554#define DPIO_PLL_FREQLOCK (1 << 1)
1555#define DPIO_PLL_LOCK (1 << 0)
1556#define CHV_CMN_DW13(ch) _PIPE(ch, _CHV_CMN_DW13_CH0, _CHV_CMN_DW0_CH1)
1557
1558#define _CHV_CMN_DW14_CH0 0x8138
1559#define _CHV_CMN_DW1_CH1 0x8084
1560#define DPIO_AFC_RECAL (1 << 14)
1561#define DPIO_DCLKP_EN (1 << 13)
b9e5ac3c
VS
1562#define CHV_BUFLEFTENA2_DISABLE (0 << 17) /* CL2 DW1 only */
1563#define CHV_BUFLEFTENA2_NORMAL (1 << 17) /* CL2 DW1 only */
1564#define CHV_BUFLEFTENA2_FORCE (3 << 17) /* CL2 DW1 only */
1565#define CHV_BUFLEFTENA2_MASK (3 << 17) /* CL2 DW1 only */
1566#define CHV_BUFRIGHTENA2_DISABLE (0 << 19) /* CL2 DW1 only */
1567#define CHV_BUFRIGHTENA2_NORMAL (1 << 19) /* CL2 DW1 only */
1568#define CHV_BUFRIGHTENA2_FORCE (3 << 19) /* CL2 DW1 only */
1569#define CHV_BUFRIGHTENA2_MASK (3 << 19) /* CL2 DW1 only */
9d556c99
CML
1570#define CHV_CMN_DW14(ch) _PIPE(ch, _CHV_CMN_DW14_CH0, _CHV_CMN_DW1_CH1)
1571
9197c88b
VS
1572#define _CHV_CMN_DW19_CH0 0x814c
1573#define _CHV_CMN_DW6_CH1 0x8098
6669e39f
VS
1574#define DPIO_ALLDL_POWERDOWN_SHIFT_CH1 30 /* CL2 DW6 only */
1575#define DPIO_ANYDL_POWERDOWN_SHIFT_CH1 29 /* CL2 DW6 only */
e0fce78f 1576#define DPIO_DYNPWRDOWNEN_CH1 (1 << 28) /* CL2 DW6 only */
9197c88b 1577#define CHV_CMN_USEDCLKCHANNEL (1 << 13)
e0fce78f 1578
9197c88b
VS
1579#define CHV_CMN_DW19(ch) _PIPE(ch, _CHV_CMN_DW19_CH0, _CHV_CMN_DW6_CH1)
1580
e0fce78f
VS
1581#define CHV_CMN_DW28 0x8170
1582#define DPIO_CL1POWERDOWNEN (1 << 23)
1583#define DPIO_DYNPWRDOWNEN_CH0 (1 << 22)
ee279218
VS
1584#define DPIO_SUS_CLK_CONFIG_ON (0 << 0)
1585#define DPIO_SUS_CLK_CONFIG_CLKREQ (1 << 0)
1586#define DPIO_SUS_CLK_CONFIG_GATE (2 << 0)
1587#define DPIO_SUS_CLK_CONFIG_GATE_CLKREQ (3 << 0)
e0fce78f 1588
9d556c99 1589#define CHV_CMN_DW30 0x8178
3e288786 1590#define DPIO_CL2_LDOFUSE_PWRENB (1 << 6)
9d556c99
CML
1591#define DPIO_LRC_BYPASS (1 << 3)
1592
1593#define _TXLANE(ch, lane, offset) ((ch ? 0x2400 : 0) + \
1594 (lane) * 0x200 + (offset))
1595
f72df8db
VS
1596#define CHV_TX_DW0(ch, lane) _TXLANE(ch, lane, 0x80)
1597#define CHV_TX_DW1(ch, lane) _TXLANE(ch, lane, 0x84)
1598#define CHV_TX_DW2(ch, lane) _TXLANE(ch, lane, 0x88)
1599#define CHV_TX_DW3(ch, lane) _TXLANE(ch, lane, 0x8c)
1600#define CHV_TX_DW4(ch, lane) _TXLANE(ch, lane, 0x90)
1601#define CHV_TX_DW5(ch, lane) _TXLANE(ch, lane, 0x94)
1602#define CHV_TX_DW6(ch, lane) _TXLANE(ch, lane, 0x98)
1603#define CHV_TX_DW7(ch, lane) _TXLANE(ch, lane, 0x9c)
1604#define CHV_TX_DW8(ch, lane) _TXLANE(ch, lane, 0xa0)
1605#define CHV_TX_DW9(ch, lane) _TXLANE(ch, lane, 0xa4)
1606#define CHV_TX_DW10(ch, lane) _TXLANE(ch, lane, 0xa8)
9d556c99
CML
1607#define CHV_TX_DW11(ch, lane) _TXLANE(ch, lane, 0xac)
1608#define DPIO_FRC_LATENCY_SHFIT 8
1609#define CHV_TX_DW14(ch, lane) _TXLANE(ch, lane, 0xb8)
1610#define DPIO_UPAR_SHIFT 30
5c6706e5
VK
1611
1612/* BXT PHY registers */
ed37892e
ACO
1613#define _BXT_PHY0_BASE 0x6C000
1614#define _BXT_PHY1_BASE 0x162000
0a116ce8
ACO
1615#define _BXT_PHY2_BASE 0x163000
1616#define BXT_PHY_BASE(phy) _PHY3((phy), _BXT_PHY0_BASE, \
1617 _BXT_PHY1_BASE, \
1618 _BXT_PHY2_BASE)
ed37892e
ACO
1619
1620#define _BXT_PHY(phy, reg) \
1621 _MMIO(BXT_PHY_BASE(phy) - _BXT_PHY0_BASE + (reg))
1622
1623#define _BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1624 (BXT_PHY_BASE(phy) + _PIPE((ch), (reg_ch0) - _BXT_PHY0_BASE, \
1625 (reg_ch1) - _BXT_PHY0_BASE))
1626#define _MMIO_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1627 _MMIO(_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1))
5c6706e5 1628
f0f59a00 1629#define BXT_P_CR_GT_DISP_PWRON _MMIO(0x138090)
1881a423 1630#define MIPIO_RST_CTRL (1 << 2)
5c6706e5 1631
e93da0a0
ID
1632#define _BXT_PHY_CTL_DDI_A 0x64C00
1633#define _BXT_PHY_CTL_DDI_B 0x64C10
1634#define _BXT_PHY_CTL_DDI_C 0x64C20
1635#define BXT_PHY_CMNLANE_POWERDOWN_ACK (1 << 10)
1636#define BXT_PHY_LANE_POWERDOWN_ACK (1 << 9)
1637#define BXT_PHY_LANE_ENABLED (1 << 8)
1638#define BXT_PHY_CTL(port) _MMIO_PORT(port, _BXT_PHY_CTL_DDI_A, \
1639 _BXT_PHY_CTL_DDI_B)
1640
5c6706e5
VK
1641#define _PHY_CTL_FAMILY_EDP 0x64C80
1642#define _PHY_CTL_FAMILY_DDI 0x64C90
0a116ce8 1643#define _PHY_CTL_FAMILY_DDI_C 0x64CA0
5c6706e5 1644#define COMMON_RESET_DIS (1 << 31)
0a116ce8
ACO
1645#define BXT_PHY_CTL_FAMILY(phy) _MMIO_PHY3((phy), _PHY_CTL_FAMILY_DDI, \
1646 _PHY_CTL_FAMILY_EDP, \
1647 _PHY_CTL_FAMILY_DDI_C)
5c6706e5 1648
dfb82408
S
1649/* BXT PHY PLL registers */
1650#define _PORT_PLL_A 0x46074
1651#define _PORT_PLL_B 0x46078
1652#define _PORT_PLL_C 0x4607c
1653#define PORT_PLL_ENABLE (1 << 31)
1654#define PORT_PLL_LOCK (1 << 30)
1655#define PORT_PLL_REF_SEL (1 << 27)
f7044dd9
MC
1656#define PORT_PLL_POWER_ENABLE (1 << 26)
1657#define PORT_PLL_POWER_STATE (1 << 25)
f0f59a00 1658#define BXT_PORT_PLL_ENABLE(port) _MMIO_PORT(port, _PORT_PLL_A, _PORT_PLL_B)
dfb82408
S
1659
1660#define _PORT_PLL_EBB_0_A 0x162034
1661#define _PORT_PLL_EBB_0_B 0x6C034
1662#define _PORT_PLL_EBB_0_C 0x6C340
aa610dcb
ID
1663#define PORT_PLL_P1_SHIFT 13
1664#define PORT_PLL_P1_MASK (0x07 << PORT_PLL_P1_SHIFT)
1665#define PORT_PLL_P1(x) ((x) << PORT_PLL_P1_SHIFT)
1666#define PORT_PLL_P2_SHIFT 8
1667#define PORT_PLL_P2_MASK (0x1f << PORT_PLL_P2_SHIFT)
1668#define PORT_PLL_P2(x) ((x) << PORT_PLL_P2_SHIFT)
ed37892e
ACO
1669#define BXT_PORT_PLL_EBB_0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1670 _PORT_PLL_EBB_0_B, \
1671 _PORT_PLL_EBB_0_C)
dfb82408
S
1672
1673#define _PORT_PLL_EBB_4_A 0x162038
1674#define _PORT_PLL_EBB_4_B 0x6C038
1675#define _PORT_PLL_EBB_4_C 0x6C344
1676#define PORT_PLL_10BIT_CLK_ENABLE (1 << 13)
1677#define PORT_PLL_RECALIBRATE (1 << 14)
ed37892e
ACO
1678#define BXT_PORT_PLL_EBB_4(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1679 _PORT_PLL_EBB_4_B, \
1680 _PORT_PLL_EBB_4_C)
dfb82408
S
1681
1682#define _PORT_PLL_0_A 0x162100
1683#define _PORT_PLL_0_B 0x6C100
1684#define _PORT_PLL_0_C 0x6C380
1685/* PORT_PLL_0_A */
1686#define PORT_PLL_M2_MASK 0xFF
1687/* PORT_PLL_1_A */
aa610dcb
ID
1688#define PORT_PLL_N_SHIFT 8
1689#define PORT_PLL_N_MASK (0x0F << PORT_PLL_N_SHIFT)
1690#define PORT_PLL_N(x) ((x) << PORT_PLL_N_SHIFT)
dfb82408
S
1691/* PORT_PLL_2_A */
1692#define PORT_PLL_M2_FRAC_MASK 0x3FFFFF
1693/* PORT_PLL_3_A */
1694#define PORT_PLL_M2_FRAC_ENABLE (1 << 16)
1695/* PORT_PLL_6_A */
1696#define PORT_PLL_PROP_COEFF_MASK 0xF
1697#define PORT_PLL_INT_COEFF_MASK (0x1F << 8)
1698#define PORT_PLL_INT_COEFF(x) ((x) << 8)
1699#define PORT_PLL_GAIN_CTL_MASK (0x07 << 16)
1700#define PORT_PLL_GAIN_CTL(x) ((x) << 16)
1701/* PORT_PLL_8_A */
1702#define PORT_PLL_TARGET_CNT_MASK 0x3FF
b6dc71f3 1703/* PORT_PLL_9_A */
05712c15
ID
1704#define PORT_PLL_LOCK_THRESHOLD_SHIFT 1
1705#define PORT_PLL_LOCK_THRESHOLD_MASK (0x7 << PORT_PLL_LOCK_THRESHOLD_SHIFT)
b6dc71f3 1706/* PORT_PLL_10_A */
5ee8ee86 1707#define PORT_PLL_DCO_AMP_OVR_EN_H (1 << 27)
e6292556 1708#define PORT_PLL_DCO_AMP_DEFAULT 15
b6dc71f3 1709#define PORT_PLL_DCO_AMP_MASK 0x3c00
5ee8ee86 1710#define PORT_PLL_DCO_AMP(x) ((x) << 10)
ed37892e
ACO
1711#define _PORT_PLL_BASE(phy, ch) _BXT_PHY_CH(phy, ch, \
1712 _PORT_PLL_0_B, \
1713 _PORT_PLL_0_C)
1714#define BXT_PORT_PLL(phy, ch, idx) _MMIO(_PORT_PLL_BASE(phy, ch) + \
1715 (idx) * 4)
dfb82408 1716
5c6706e5
VK
1717/* BXT PHY common lane registers */
1718#define _PORT_CL1CM_DW0_A 0x162000
1719#define _PORT_CL1CM_DW0_BC 0x6C000
1720#define PHY_POWER_GOOD (1 << 16)
b61e7996 1721#define PHY_RESERVED (1 << 7)
ed37892e 1722#define BXT_PORT_CL1CM_DW0(phy) _BXT_PHY((phy), _PORT_CL1CM_DW0_BC)
5c6706e5 1723
d72e84cc
MK
1724#define _PORT_CL1CM_DW9_A 0x162024
1725#define _PORT_CL1CM_DW9_BC 0x6C024
1726#define IREF0RC_OFFSET_SHIFT 8
1727#define IREF0RC_OFFSET_MASK (0xFF << IREF0RC_OFFSET_SHIFT)
1728#define BXT_PORT_CL1CM_DW9(phy) _BXT_PHY((phy), _PORT_CL1CM_DW9_BC)
d8d4a512 1729
d72e84cc
MK
1730#define _PORT_CL1CM_DW10_A 0x162028
1731#define _PORT_CL1CM_DW10_BC 0x6C028
1732#define IREF1RC_OFFSET_SHIFT 8
1733#define IREF1RC_OFFSET_MASK (0xFF << IREF1RC_OFFSET_SHIFT)
1734#define BXT_PORT_CL1CM_DW10(phy) _BXT_PHY((phy), _PORT_CL1CM_DW10_BC)
1735
1736#define _PORT_CL1CM_DW28_A 0x162070
1737#define _PORT_CL1CM_DW28_BC 0x6C070
1738#define OCL1_POWER_DOWN_EN (1 << 23)
1739#define DW28_OLDO_DYN_PWR_DOWN_EN (1 << 22)
1740#define SUS_CLK_CONFIG 0x3
1741#define BXT_PORT_CL1CM_DW28(phy) _BXT_PHY((phy), _PORT_CL1CM_DW28_BC)
1742
1743#define _PORT_CL1CM_DW30_A 0x162078
1744#define _PORT_CL1CM_DW30_BC 0x6C078
1745#define OCL2_LDOFUSE_PWR_DIS (1 << 6)
1746#define BXT_PORT_CL1CM_DW30(phy) _BXT_PHY((phy), _PORT_CL1CM_DW30_BC)
1747
1748/*
1749 * CNL/ICL Port/COMBO-PHY Registers
1750 */
4e53840f
LDM
1751#define _ICL_COMBOPHY_A 0x162000
1752#define _ICL_COMBOPHY_B 0x6C000
0e933162 1753#define _EHL_COMBOPHY_C 0x160000
dc867bc7 1754#define _ICL_COMBOPHY(phy) _PICK(phy, _ICL_COMBOPHY_A, \
0e933162
MR
1755 _ICL_COMBOPHY_B, \
1756 _EHL_COMBOPHY_C)
4e53840f 1757
d72e84cc 1758/* CNL/ICL Port CL_DW registers */
dc867bc7 1759#define _ICL_PORT_CL_DW(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1760 4 * (dw))
1761
1762#define CNL_PORT_CL1CM_DW5 _MMIO(0x162014)
dc867bc7 1763#define ICL_PORT_CL_DW5(phy) _MMIO(_ICL_PORT_CL_DW(5, phy))
d72e84cc
MK
1764#define CL_POWER_DOWN_ENABLE (1 << 4)
1765#define SUS_CLOCK_CONFIG (3 << 0)
ad186f3f 1766
dc867bc7 1767#define ICL_PORT_CL_DW10(phy) _MMIO(_ICL_PORT_CL_DW(10, phy))
166869b3
MC
1768#define PG_SEQ_DELAY_OVERRIDE_MASK (3 << 25)
1769#define PG_SEQ_DELAY_OVERRIDE_SHIFT 25
1770#define PG_SEQ_DELAY_OVERRIDE_ENABLE (1 << 24)
1771#define PWR_UP_ALL_LANES (0x0 << 4)
1772#define PWR_DOWN_LN_3_2_1 (0xe << 4)
1773#define PWR_DOWN_LN_3_2 (0xc << 4)
1774#define PWR_DOWN_LN_3 (0x8 << 4)
1775#define PWR_DOWN_LN_2_1_0 (0x7 << 4)
1776#define PWR_DOWN_LN_1_0 (0x3 << 4)
166869b3
MC
1777#define PWR_DOWN_LN_3_1 (0xa << 4)
1778#define PWR_DOWN_LN_3_1_0 (0xb << 4)
1779#define PWR_DOWN_LN_MASK (0xf << 4)
1780#define PWR_DOWN_LN_SHIFT 4
1781
dc867bc7 1782#define ICL_PORT_CL_DW12(phy) _MMIO(_ICL_PORT_CL_DW(12, phy))
67ca07e7 1783#define ICL_LANE_ENABLE_AUX (1 << 0)
67ca07e7 1784
d72e84cc 1785/* CNL/ICL Port COMP_DW registers */
4e53840f 1786#define _ICL_PORT_COMP 0x100
dc867bc7 1787#define _ICL_PORT_COMP_DW(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1788 _ICL_PORT_COMP + 4 * (dw))
1789
d72e84cc 1790#define CNL_PORT_COMP_DW0 _MMIO(0x162100)
dc867bc7 1791#define ICL_PORT_COMP_DW0(phy) _MMIO(_ICL_PORT_COMP_DW(0, phy))
d72e84cc 1792#define COMP_INIT (1 << 31)
5c6706e5 1793
d72e84cc 1794#define CNL_PORT_COMP_DW1 _MMIO(0x162104)
dc867bc7 1795#define ICL_PORT_COMP_DW1(phy) _MMIO(_ICL_PORT_COMP_DW(1, phy))
4e53840f 1796
d72e84cc 1797#define CNL_PORT_COMP_DW3 _MMIO(0x16210c)
dc867bc7 1798#define ICL_PORT_COMP_DW3(phy) _MMIO(_ICL_PORT_COMP_DW(3, phy))
d72e84cc
MK
1799#define PROCESS_INFO_DOT_0 (0 << 26)
1800#define PROCESS_INFO_DOT_1 (1 << 26)
1801#define PROCESS_INFO_DOT_4 (2 << 26)
1802#define PROCESS_INFO_MASK (7 << 26)
1803#define PROCESS_INFO_SHIFT 26
1804#define VOLTAGE_INFO_0_85V (0 << 24)
1805#define VOLTAGE_INFO_0_95V (1 << 24)
1806#define VOLTAGE_INFO_1_05V (2 << 24)
1807#define VOLTAGE_INFO_MASK (3 << 24)
1808#define VOLTAGE_INFO_SHIFT 24
1809
dc867bc7 1810#define ICL_PORT_COMP_DW8(phy) _MMIO(_ICL_PORT_COMP_DW(8, phy))
4361ccac
ID
1811#define IREFGEN (1 << 24)
1812
d72e84cc 1813#define CNL_PORT_COMP_DW9 _MMIO(0x162124)
dc867bc7 1814#define ICL_PORT_COMP_DW9(phy) _MMIO(_ICL_PORT_COMP_DW(9, phy))
d72e84cc
MK
1815
1816#define CNL_PORT_COMP_DW10 _MMIO(0x162128)
dc867bc7 1817#define ICL_PORT_COMP_DW10(phy) _MMIO(_ICL_PORT_COMP_DW(10, phy))
5c6706e5 1818
d72e84cc 1819/* CNL/ICL Port PCS registers */
04416108
RV
1820#define _CNL_PORT_PCS_DW1_GRP_AE 0x162304
1821#define _CNL_PORT_PCS_DW1_GRP_B 0x162384
1822#define _CNL_PORT_PCS_DW1_GRP_C 0x162B04
1823#define _CNL_PORT_PCS_DW1_GRP_D 0x162B84
1824#define _CNL_PORT_PCS_DW1_GRP_F 0x162A04
1825#define _CNL_PORT_PCS_DW1_LN0_AE 0x162404
1826#define _CNL_PORT_PCS_DW1_LN0_B 0x162604
1827#define _CNL_PORT_PCS_DW1_LN0_C 0x162C04
1828#define _CNL_PORT_PCS_DW1_LN0_D 0x162E04
1829#define _CNL_PORT_PCS_DW1_LN0_F 0x162804
dc867bc7 1830#define CNL_PORT_PCS_DW1_GRP(phy) _MMIO(_PICK(phy, \
04416108
RV
1831 _CNL_PORT_PCS_DW1_GRP_AE, \
1832 _CNL_PORT_PCS_DW1_GRP_B, \
1833 _CNL_PORT_PCS_DW1_GRP_C, \
1834 _CNL_PORT_PCS_DW1_GRP_D, \
1835 _CNL_PORT_PCS_DW1_GRP_AE, \
da9cb11f 1836 _CNL_PORT_PCS_DW1_GRP_F))
dc867bc7 1837#define CNL_PORT_PCS_DW1_LN0(phy) _MMIO(_PICK(phy, \
04416108
RV
1838 _CNL_PORT_PCS_DW1_LN0_AE, \
1839 _CNL_PORT_PCS_DW1_LN0_B, \
1840 _CNL_PORT_PCS_DW1_LN0_C, \
1841 _CNL_PORT_PCS_DW1_LN0_D, \
1842 _CNL_PORT_PCS_DW1_LN0_AE, \
da9cb11f 1843 _CNL_PORT_PCS_DW1_LN0_F))
d61d1b3b 1844
4e53840f
LDM
1845#define _ICL_PORT_PCS_AUX 0x300
1846#define _ICL_PORT_PCS_GRP 0x600
1847#define _ICL_PORT_PCS_LN(ln) (0x800 + (ln) * 0x100)
dc867bc7 1848#define _ICL_PORT_PCS_DW_AUX(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1849 _ICL_PORT_PCS_AUX + 4 * (dw))
dc867bc7 1850#define _ICL_PORT_PCS_DW_GRP(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1851 _ICL_PORT_PCS_GRP + 4 * (dw))
dc867bc7 1852#define _ICL_PORT_PCS_DW_LN(dw, ln, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1853 _ICL_PORT_PCS_LN(ln) + 4 * (dw))
dc867bc7
MR
1854#define ICL_PORT_PCS_DW1_AUX(phy) _MMIO(_ICL_PORT_PCS_DW_AUX(1, phy))
1855#define ICL_PORT_PCS_DW1_GRP(phy) _MMIO(_ICL_PORT_PCS_DW_GRP(1, phy))
1856#define ICL_PORT_PCS_DW1_LN0(phy) _MMIO(_ICL_PORT_PCS_DW_LN(1, 0, phy))
04416108 1857#define COMMON_KEEPER_EN (1 << 26)
6a7bafe8
VK
1858#define LATENCY_OPTIM_MASK (0x3 << 2)
1859#define LATENCY_OPTIM_VAL(x) ((x) << 2)
04416108 1860
d72e84cc 1861/* CNL/ICL Port TX registers */
4635b573
MK
1862#define _CNL_PORT_TX_AE_GRP_OFFSET 0x162340
1863#define _CNL_PORT_TX_B_GRP_OFFSET 0x1623C0
1864#define _CNL_PORT_TX_C_GRP_OFFSET 0x162B40
1865#define _CNL_PORT_TX_D_GRP_OFFSET 0x162BC0
1866#define _CNL_PORT_TX_F_GRP_OFFSET 0x162A40
1867#define _CNL_PORT_TX_AE_LN0_OFFSET 0x162440
1868#define _CNL_PORT_TX_B_LN0_OFFSET 0x162640
1869#define _CNL_PORT_TX_C_LN0_OFFSET 0x162C40
1870#define _CNL_PORT_TX_D_LN0_OFFSET 0x162E40
1871#define _CNL_PORT_TX_F_LN0_OFFSET 0x162840
b14c06ec 1872#define _CNL_PORT_TX_DW_GRP(dw, port) (_PICK((port), \
4635b573
MK
1873 _CNL_PORT_TX_AE_GRP_OFFSET, \
1874 _CNL_PORT_TX_B_GRP_OFFSET, \
1875 _CNL_PORT_TX_B_GRP_OFFSET, \
1876 _CNL_PORT_TX_D_GRP_OFFSET, \
1877 _CNL_PORT_TX_AE_GRP_OFFSET, \
1878 _CNL_PORT_TX_F_GRP_OFFSET) + \
5ee8ee86 1879 4 * (dw))
b14c06ec 1880#define _CNL_PORT_TX_DW_LN0(dw, port) (_PICK((port), \
4635b573
MK
1881 _CNL_PORT_TX_AE_LN0_OFFSET, \
1882 _CNL_PORT_TX_B_LN0_OFFSET, \
1883 _CNL_PORT_TX_B_LN0_OFFSET, \
1884 _CNL_PORT_TX_D_LN0_OFFSET, \
1885 _CNL_PORT_TX_AE_LN0_OFFSET, \
1886 _CNL_PORT_TX_F_LN0_OFFSET) + \
5ee8ee86 1887 4 * (dw))
4635b573 1888
4e53840f
LDM
1889#define _ICL_PORT_TX_AUX 0x380
1890#define _ICL_PORT_TX_GRP 0x680
1891#define _ICL_PORT_TX_LN(ln) (0x880 + (ln) * 0x100)
1892
dc867bc7 1893#define _ICL_PORT_TX_DW_AUX(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1894 _ICL_PORT_TX_AUX + 4 * (dw))
dc867bc7 1895#define _ICL_PORT_TX_DW_GRP(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1896 _ICL_PORT_TX_GRP + 4 * (dw))
dc867bc7 1897#define _ICL_PORT_TX_DW_LN(dw, ln, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1898 _ICL_PORT_TX_LN(ln) + 4 * (dw))
1899
1900#define CNL_PORT_TX_DW2_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(2, port))
1901#define CNL_PORT_TX_DW2_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(2, port))
dc867bc7
MR
1902#define ICL_PORT_TX_DW2_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(2, phy))
1903#define ICL_PORT_TX_DW2_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(2, phy))
1904#define ICL_PORT_TX_DW2_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(2, 0, phy))
7487508e 1905#define SWING_SEL_UPPER(x) (((x) >> 3) << 15)
1f588aeb 1906#define SWING_SEL_UPPER_MASK (1 << 15)
7487508e 1907#define SWING_SEL_LOWER(x) (((x) & 0x7) << 11)
1f588aeb 1908#define SWING_SEL_LOWER_MASK (0x7 << 11)
d61d1b3b
MC
1909#define FRC_LATENCY_OPTIM_MASK (0x7 << 8)
1910#define FRC_LATENCY_OPTIM_VAL(x) ((x) << 8)
04416108 1911#define RCOMP_SCALAR(x) ((x) << 0)
1f588aeb 1912#define RCOMP_SCALAR_MASK (0xFF << 0)
04416108 1913
04416108
RV
1914#define _CNL_PORT_TX_DW4_LN0_AE 0x162450
1915#define _CNL_PORT_TX_DW4_LN1_AE 0x1624D0
b14c06ec
AS
1916#define CNL_PORT_TX_DW4_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(4, (port)))
1917#define CNL_PORT_TX_DW4_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)))
9194e42a 1918#define CNL_PORT_TX_DW4_LN(ln, port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)) + \
9e8789ec 1919 ((ln) * (_CNL_PORT_TX_DW4_LN1_AE - \
4635b573 1920 _CNL_PORT_TX_DW4_LN0_AE)))
dc867bc7
MR
1921#define ICL_PORT_TX_DW4_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(4, phy))
1922#define ICL_PORT_TX_DW4_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(4, phy))
1923#define ICL_PORT_TX_DW4_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(4, 0, phy))
1924#define ICL_PORT_TX_DW4_LN(ln, phy) _MMIO(_ICL_PORT_TX_DW_LN(4, ln, phy))
04416108
RV
1925#define LOADGEN_SELECT (1 << 31)
1926#define POST_CURSOR_1(x) ((x) << 12)
1f588aeb 1927#define POST_CURSOR_1_MASK (0x3F << 12)
04416108 1928#define POST_CURSOR_2(x) ((x) << 6)
1f588aeb 1929#define POST_CURSOR_2_MASK (0x3F << 6)
04416108 1930#define CURSOR_COEFF(x) ((x) << 0)
fcace3b9 1931#define CURSOR_COEFF_MASK (0x3F << 0)
04416108 1932
4e53840f
LDM
1933#define CNL_PORT_TX_DW5_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(5, port))
1934#define CNL_PORT_TX_DW5_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(5, port))
dc867bc7
MR
1935#define ICL_PORT_TX_DW5_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(5, phy))
1936#define ICL_PORT_TX_DW5_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(5, phy))
1937#define ICL_PORT_TX_DW5_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(5, 0, phy))
04416108 1938#define TX_TRAINING_EN (1 << 31)
5bb975de 1939#define TAP2_DISABLE (1 << 30)
04416108
RV
1940#define TAP3_DISABLE (1 << 29)
1941#define SCALING_MODE_SEL(x) ((x) << 18)
1f588aeb 1942#define SCALING_MODE_SEL_MASK (0x7 << 18)
04416108 1943#define RTERM_SELECT(x) ((x) << 3)
1f588aeb 1944#define RTERM_SELECT_MASK (0x7 << 3)
04416108 1945
b14c06ec
AS
1946#define CNL_PORT_TX_DW7_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(7, (port)))
1947#define CNL_PORT_TX_DW7_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(7, (port)))
dc867bc7
MR
1948#define ICL_PORT_TX_DW7_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(7, phy))
1949#define ICL_PORT_TX_DW7_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(7, phy))
1950#define ICL_PORT_TX_DW7_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(7, 0, phy))
1951#define ICL_PORT_TX_DW7_LN(ln, phy) _MMIO(_ICL_PORT_TX_DW_LN(7, ln, phy))
04416108 1952#define N_SCALAR(x) ((x) << 24)
1f588aeb 1953#define N_SCALAR_MASK (0x7F << 24)
04416108 1954
683d672c
JRS
1955#define _ICL_DPHY_CHKN_REG 0x194
1956#define ICL_DPHY_CHKN(port) _MMIO(_ICL_COMBOPHY(port) + _ICL_DPHY_CHKN_REG)
1957#define ICL_DPHY_CHKN_AFE_OVER_PPI_STRAP REG_BIT(7)
1958
58106b7d 1959#define MG_PHY_PORT_LN(ln, port, ln0p1, ln0p2, ln1p1) \
c92f47b5
MN
1960 _MMIO(_PORT((port) - PORT_C, ln0p1, ln0p2) + (ln) * ((ln1p1) - (ln0p1)))
1961
a38bb309
MN
1962#define MG_TX_LINK_PARAMS_TX1LN0_PORT1 0x16812C
1963#define MG_TX_LINK_PARAMS_TX1LN1_PORT1 0x16852C
1964#define MG_TX_LINK_PARAMS_TX1LN0_PORT2 0x16912C
1965#define MG_TX_LINK_PARAMS_TX1LN1_PORT2 0x16952C
1966#define MG_TX_LINK_PARAMS_TX1LN0_PORT3 0x16A12C
1967#define MG_TX_LINK_PARAMS_TX1LN1_PORT3 0x16A52C
1968#define MG_TX_LINK_PARAMS_TX1LN0_PORT4 0x16B12C
1969#define MG_TX_LINK_PARAMS_TX1LN1_PORT4 0x16B52C
58106b7d
AS
1970#define MG_TX1_LINK_PARAMS(ln, port) \
1971 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX1LN0_PORT1, \
a38bb309
MN
1972 MG_TX_LINK_PARAMS_TX1LN0_PORT2, \
1973 MG_TX_LINK_PARAMS_TX1LN1_PORT1)
1974
1975#define MG_TX_LINK_PARAMS_TX2LN0_PORT1 0x1680AC
1976#define MG_TX_LINK_PARAMS_TX2LN1_PORT1 0x1684AC
1977#define MG_TX_LINK_PARAMS_TX2LN0_PORT2 0x1690AC
1978#define MG_TX_LINK_PARAMS_TX2LN1_PORT2 0x1694AC
1979#define MG_TX_LINK_PARAMS_TX2LN0_PORT3 0x16A0AC
1980#define MG_TX_LINK_PARAMS_TX2LN1_PORT3 0x16A4AC
1981#define MG_TX_LINK_PARAMS_TX2LN0_PORT4 0x16B0AC
1982#define MG_TX_LINK_PARAMS_TX2LN1_PORT4 0x16B4AC
58106b7d
AS
1983#define MG_TX2_LINK_PARAMS(ln, port) \
1984 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX2LN0_PORT1, \
a38bb309
MN
1985 MG_TX_LINK_PARAMS_TX2LN0_PORT2, \
1986 MG_TX_LINK_PARAMS_TX2LN1_PORT1)
1987#define CRI_USE_FS32 (1 << 5)
1988
1989#define MG_TX_PISO_READLOAD_TX1LN0_PORT1 0x16814C
1990#define MG_TX_PISO_READLOAD_TX1LN1_PORT1 0x16854C
1991#define MG_TX_PISO_READLOAD_TX1LN0_PORT2 0x16914C
1992#define MG_TX_PISO_READLOAD_TX1LN1_PORT2 0x16954C
1993#define MG_TX_PISO_READLOAD_TX1LN0_PORT3 0x16A14C
1994#define MG_TX_PISO_READLOAD_TX1LN1_PORT3 0x16A54C
1995#define MG_TX_PISO_READLOAD_TX1LN0_PORT4 0x16B14C
1996#define MG_TX_PISO_READLOAD_TX1LN1_PORT4 0x16B54C
58106b7d
AS
1997#define MG_TX1_PISO_READLOAD(ln, port) \
1998 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX1LN0_PORT1, \
a38bb309
MN
1999 MG_TX_PISO_READLOAD_TX1LN0_PORT2, \
2000 MG_TX_PISO_READLOAD_TX1LN1_PORT1)
2001
2002#define MG_TX_PISO_READLOAD_TX2LN0_PORT1 0x1680CC
2003#define MG_TX_PISO_READLOAD_TX2LN1_PORT1 0x1684CC
2004#define MG_TX_PISO_READLOAD_TX2LN0_PORT2 0x1690CC
2005#define MG_TX_PISO_READLOAD_TX2LN1_PORT2 0x1694CC
2006#define MG_TX_PISO_READLOAD_TX2LN0_PORT3 0x16A0CC
2007#define MG_TX_PISO_READLOAD_TX2LN1_PORT3 0x16A4CC
2008#define MG_TX_PISO_READLOAD_TX2LN0_PORT4 0x16B0CC
2009#define MG_TX_PISO_READLOAD_TX2LN1_PORT4 0x16B4CC
58106b7d
AS
2010#define MG_TX2_PISO_READLOAD(ln, port) \
2011 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX2LN0_PORT1, \
a38bb309
MN
2012 MG_TX_PISO_READLOAD_TX2LN0_PORT2, \
2013 MG_TX_PISO_READLOAD_TX2LN1_PORT1)
2014#define CRI_CALCINIT (1 << 1)
2015
2016#define MG_TX_SWINGCTRL_TX1LN0_PORT1 0x168148
2017#define MG_TX_SWINGCTRL_TX1LN1_PORT1 0x168548
2018#define MG_TX_SWINGCTRL_TX1LN0_PORT2 0x169148
2019#define MG_TX_SWINGCTRL_TX1LN1_PORT2 0x169548
2020#define MG_TX_SWINGCTRL_TX1LN0_PORT3 0x16A148
2021#define MG_TX_SWINGCTRL_TX1LN1_PORT3 0x16A548
2022#define MG_TX_SWINGCTRL_TX1LN0_PORT4 0x16B148
2023#define MG_TX_SWINGCTRL_TX1LN1_PORT4 0x16B548
58106b7d
AS
2024#define MG_TX1_SWINGCTRL(ln, port) \
2025 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX1LN0_PORT1, \
a38bb309
MN
2026 MG_TX_SWINGCTRL_TX1LN0_PORT2, \
2027 MG_TX_SWINGCTRL_TX1LN1_PORT1)
2028
2029#define MG_TX_SWINGCTRL_TX2LN0_PORT1 0x1680C8
2030#define MG_TX_SWINGCTRL_TX2LN1_PORT1 0x1684C8
2031#define MG_TX_SWINGCTRL_TX2LN0_PORT2 0x1690C8
2032#define MG_TX_SWINGCTRL_TX2LN1_PORT2 0x1694C8
2033#define MG_TX_SWINGCTRL_TX2LN0_PORT3 0x16A0C8
2034#define MG_TX_SWINGCTRL_TX2LN1_PORT3 0x16A4C8
2035#define MG_TX_SWINGCTRL_TX2LN0_PORT4 0x16B0C8
2036#define MG_TX_SWINGCTRL_TX2LN1_PORT4 0x16B4C8
58106b7d
AS
2037#define MG_TX2_SWINGCTRL(ln, port) \
2038 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX2LN0_PORT1, \
a38bb309
MN
2039 MG_TX_SWINGCTRL_TX2LN0_PORT2, \
2040 MG_TX_SWINGCTRL_TX2LN1_PORT1)
2041#define CRI_TXDEEMPH_OVERRIDE_17_12(x) ((x) << 0)
2042#define CRI_TXDEEMPH_OVERRIDE_17_12_MASK (0x3F << 0)
2043
2044#define MG_TX_DRVCTRL_TX1LN0_TXPORT1 0x168144
2045#define MG_TX_DRVCTRL_TX1LN1_TXPORT1 0x168544
2046#define MG_TX_DRVCTRL_TX1LN0_TXPORT2 0x169144
2047#define MG_TX_DRVCTRL_TX1LN1_TXPORT2 0x169544
2048#define MG_TX_DRVCTRL_TX1LN0_TXPORT3 0x16A144
2049#define MG_TX_DRVCTRL_TX1LN1_TXPORT3 0x16A544
2050#define MG_TX_DRVCTRL_TX1LN0_TXPORT4 0x16B144
2051#define MG_TX_DRVCTRL_TX1LN1_TXPORT4 0x16B544
58106b7d
AS
2052#define MG_TX1_DRVCTRL(ln, port) \
2053 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX1LN0_TXPORT1, \
a38bb309
MN
2054 MG_TX_DRVCTRL_TX1LN0_TXPORT2, \
2055 MG_TX_DRVCTRL_TX1LN1_TXPORT1)
2056
2057#define MG_TX_DRVCTRL_TX2LN0_PORT1 0x1680C4
2058#define MG_TX_DRVCTRL_TX2LN1_PORT1 0x1684C4
2059#define MG_TX_DRVCTRL_TX2LN0_PORT2 0x1690C4
2060#define MG_TX_DRVCTRL_TX2LN1_PORT2 0x1694C4
2061#define MG_TX_DRVCTRL_TX2LN0_PORT3 0x16A0C4
2062#define MG_TX_DRVCTRL_TX2LN1_PORT3 0x16A4C4
2063#define MG_TX_DRVCTRL_TX2LN0_PORT4 0x16B0C4
2064#define MG_TX_DRVCTRL_TX2LN1_PORT4 0x16B4C4
58106b7d
AS
2065#define MG_TX2_DRVCTRL(ln, port) \
2066 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX2LN0_PORT1, \
a38bb309
MN
2067 MG_TX_DRVCTRL_TX2LN0_PORT2, \
2068 MG_TX_DRVCTRL_TX2LN1_PORT1)
2069#define CRI_TXDEEMPH_OVERRIDE_11_6(x) ((x) << 24)
2070#define CRI_TXDEEMPH_OVERRIDE_11_6_MASK (0x3F << 24)
2071#define CRI_TXDEEMPH_OVERRIDE_EN (1 << 22)
2072#define CRI_TXDEEMPH_OVERRIDE_5_0(x) ((x) << 16)
2073#define CRI_TXDEEMPH_OVERRIDE_5_0_MASK (0x3F << 16)
2074#define CRI_LOADGEN_SEL(x) ((x) << 12)
2075#define CRI_LOADGEN_SEL_MASK (0x3 << 12)
2076
2077#define MG_CLKHUB_LN0_PORT1 0x16839C
2078#define MG_CLKHUB_LN1_PORT1 0x16879C
2079#define MG_CLKHUB_LN0_PORT2 0x16939C
2080#define MG_CLKHUB_LN1_PORT2 0x16979C
2081#define MG_CLKHUB_LN0_PORT3 0x16A39C
2082#define MG_CLKHUB_LN1_PORT3 0x16A79C
2083#define MG_CLKHUB_LN0_PORT4 0x16B39C
2084#define MG_CLKHUB_LN1_PORT4 0x16B79C
58106b7d
AS
2085#define MG_CLKHUB(ln, port) \
2086 MG_PHY_PORT_LN(ln, port, MG_CLKHUB_LN0_PORT1, \
a38bb309
MN
2087 MG_CLKHUB_LN0_PORT2, \
2088 MG_CLKHUB_LN1_PORT1)
2089#define CFG_LOW_RATE_LKREN_EN (1 << 11)
2090
2091#define MG_TX_DCC_TX1LN0_PORT1 0x168110
2092#define MG_TX_DCC_TX1LN1_PORT1 0x168510
2093#define MG_TX_DCC_TX1LN0_PORT2 0x169110
2094#define MG_TX_DCC_TX1LN1_PORT2 0x169510
2095#define MG_TX_DCC_TX1LN0_PORT3 0x16A110
2096#define MG_TX_DCC_TX1LN1_PORT3 0x16A510
2097#define MG_TX_DCC_TX1LN0_PORT4 0x16B110
2098#define MG_TX_DCC_TX1LN1_PORT4 0x16B510
58106b7d
AS
2099#define MG_TX1_DCC(ln, port) \
2100 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX1LN0_PORT1, \
a38bb309
MN
2101 MG_TX_DCC_TX1LN0_PORT2, \
2102 MG_TX_DCC_TX1LN1_PORT1)
2103#define MG_TX_DCC_TX2LN0_PORT1 0x168090
2104#define MG_TX_DCC_TX2LN1_PORT1 0x168490
2105#define MG_TX_DCC_TX2LN0_PORT2 0x169090
2106#define MG_TX_DCC_TX2LN1_PORT2 0x169490
2107#define MG_TX_DCC_TX2LN0_PORT3 0x16A090
2108#define MG_TX_DCC_TX2LN1_PORT3 0x16A490
2109#define MG_TX_DCC_TX2LN0_PORT4 0x16B090
2110#define MG_TX_DCC_TX2LN1_PORT4 0x16B490
58106b7d
AS
2111#define MG_TX2_DCC(ln, port) \
2112 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX2LN0_PORT1, \
a38bb309
MN
2113 MG_TX_DCC_TX2LN0_PORT2, \
2114 MG_TX_DCC_TX2LN1_PORT1)
2115#define CFG_AMI_CK_DIV_OVERRIDE_VAL(x) ((x) << 25)
2116#define CFG_AMI_CK_DIV_OVERRIDE_VAL_MASK (0x3 << 25)
2117#define CFG_AMI_CK_DIV_OVERRIDE_EN (1 << 24)
c92f47b5 2118
340a44be
PZ
2119#define MG_DP_MODE_LN0_ACU_PORT1 0x1683A0
2120#define MG_DP_MODE_LN1_ACU_PORT1 0x1687A0
2121#define MG_DP_MODE_LN0_ACU_PORT2 0x1693A0
2122#define MG_DP_MODE_LN1_ACU_PORT2 0x1697A0
2123#define MG_DP_MODE_LN0_ACU_PORT3 0x16A3A0
2124#define MG_DP_MODE_LN1_ACU_PORT3 0x16A7A0
2125#define MG_DP_MODE_LN0_ACU_PORT4 0x16B3A0
2126#define MG_DP_MODE_LN1_ACU_PORT4 0x16B7A0
58106b7d
AS
2127#define MG_DP_MODE(ln, port) \
2128 MG_PHY_PORT_LN(ln, port, MG_DP_MODE_LN0_ACU_PORT1, \
340a44be
PZ
2129 MG_DP_MODE_LN0_ACU_PORT2, \
2130 MG_DP_MODE_LN1_ACU_PORT1)
2131#define MG_DP_MODE_CFG_DP_X2_MODE (1 << 7)
2132#define MG_DP_MODE_CFG_DP_X1_MODE (1 << 6)
bc334d91
PZ
2133#define MG_DP_MODE_CFG_TR2PWR_GATING (1 << 5)
2134#define MG_DP_MODE_CFG_TRPWR_GATING (1 << 4)
2135#define MG_DP_MODE_CFG_CLNPWR_GATING (1 << 3)
2136#define MG_DP_MODE_CFG_DIGPWR_GATING (1 << 2)
2137#define MG_DP_MODE_CFG_GAONPWR_GATING (1 << 1)
2138
2139#define MG_MISC_SUS0_PORT1 0x168814
2140#define MG_MISC_SUS0_PORT2 0x169814
2141#define MG_MISC_SUS0_PORT3 0x16A814
2142#define MG_MISC_SUS0_PORT4 0x16B814
2143#define MG_MISC_SUS0(tc_port) \
2144 _MMIO(_PORT(tc_port, MG_MISC_SUS0_PORT1, MG_MISC_SUS0_PORT2))
2145#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE_MASK (3 << 14)
2146#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE(x) ((x) << 14)
2147#define MG_MISC_SUS0_CFG_TR2PWR_GATING (1 << 12)
2148#define MG_MISC_SUS0_CFG_CL2PWR_GATING (1 << 11)
2149#define MG_MISC_SUS0_CFG_GAONPWR_GATING (1 << 10)
2150#define MG_MISC_SUS0_CFG_TRPWR_GATING (1 << 7)
2151#define MG_MISC_SUS0_CFG_CL1PWR_GATING (1 << 6)
2152#define MG_MISC_SUS0_CFG_DGPWR_GATING (1 << 5)
340a44be 2153
842d4166
ACO
2154/* The spec defines this only for BXT PHY0, but lets assume that this
2155 * would exist for PHY1 too if it had a second channel.
2156 */
2157#define _PORT_CL2CM_DW6_A 0x162358
2158#define _PORT_CL2CM_DW6_BC 0x6C358
ed37892e 2159#define BXT_PORT_CL2CM_DW6(phy) _BXT_PHY((phy), _PORT_CL2CM_DW6_BC)
5c6706e5
VK
2160#define DW6_OLDO_DYN_PWR_DOWN_EN (1 << 28)
2161
a6576a8d 2162#define FIA1_BASE 0x163000
0caf6257
AS
2163#define FIA2_BASE 0x16E000
2164#define FIA3_BASE 0x16F000
2165#define _FIA(fia) _PICK((fia), FIA1_BASE, FIA2_BASE, FIA3_BASE)
2166#define _MMIO_FIA(fia, off) _MMIO(_FIA(fia) + (off))
a6576a8d 2167
a2bc69a1 2168/* ICL PHY DFLEX registers */
0caf6257 2169#define PORT_TX_DFLEXDPMLE1(fia) _MMIO_FIA((fia), 0x008C0)
b4335ec0
MN
2170#define DFLEXDPMLE1_DPMLETC_MASK(tc_port) (0xf << (4 * (tc_port)))
2171#define DFLEXDPMLE1_DPMLETC_ML0(tc_port) (1 << (4 * (tc_port)))
2172#define DFLEXDPMLE1_DPMLETC_ML1_0(tc_port) (3 << (4 * (tc_port)))
2173#define DFLEXDPMLE1_DPMLETC_ML3(tc_port) (8 << (4 * (tc_port)))
2174#define DFLEXDPMLE1_DPMLETC_ML3_2(tc_port) (12 << (4 * (tc_port)))
2175#define DFLEXDPMLE1_DPMLETC_ML3_0(tc_port) (15 << (4 * (tc_port)))
a2bc69a1 2176
5c6706e5
VK
2177/* BXT PHY Ref registers */
2178#define _PORT_REF_DW3_A 0x16218C
2179#define _PORT_REF_DW3_BC 0x6C18C
2180#define GRC_DONE (1 << 22)
ed37892e 2181#define BXT_PORT_REF_DW3(phy) _BXT_PHY((phy), _PORT_REF_DW3_BC)
5c6706e5
VK
2182
2183#define _PORT_REF_DW6_A 0x162198
2184#define _PORT_REF_DW6_BC 0x6C198
d1e082ff
ID
2185#define GRC_CODE_SHIFT 24
2186#define GRC_CODE_MASK (0xFF << GRC_CODE_SHIFT)
5c6706e5 2187#define GRC_CODE_FAST_SHIFT 16
d1e082ff 2188#define GRC_CODE_FAST_MASK (0xFF << GRC_CODE_FAST_SHIFT)
5c6706e5
VK
2189#define GRC_CODE_SLOW_SHIFT 8
2190#define GRC_CODE_SLOW_MASK (0xFF << GRC_CODE_SLOW_SHIFT)
2191#define GRC_CODE_NOM_MASK 0xFF
ed37892e 2192#define BXT_PORT_REF_DW6(phy) _BXT_PHY((phy), _PORT_REF_DW6_BC)
5c6706e5
VK
2193
2194#define _PORT_REF_DW8_A 0x1621A0
2195#define _PORT_REF_DW8_BC 0x6C1A0
2196#define GRC_DIS (1 << 15)
2197#define GRC_RDY_OVRD (1 << 1)
ed37892e 2198#define BXT_PORT_REF_DW8(phy) _BXT_PHY((phy), _PORT_REF_DW8_BC)
5c6706e5 2199
dfb82408 2200/* BXT PHY PCS registers */
96fb9f9b
VK
2201#define _PORT_PCS_DW10_LN01_A 0x162428
2202#define _PORT_PCS_DW10_LN01_B 0x6C428
2203#define _PORT_PCS_DW10_LN01_C 0x6C828
2204#define _PORT_PCS_DW10_GRP_A 0x162C28
2205#define _PORT_PCS_DW10_GRP_B 0x6CC28
2206#define _PORT_PCS_DW10_GRP_C 0x6CE28
ed37892e
ACO
2207#define BXT_PORT_PCS_DW10_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2208 _PORT_PCS_DW10_LN01_B, \
2209 _PORT_PCS_DW10_LN01_C)
2210#define BXT_PORT_PCS_DW10_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2211 _PORT_PCS_DW10_GRP_B, \
2212 _PORT_PCS_DW10_GRP_C)
2213
96fb9f9b
VK
2214#define TX2_SWING_CALC_INIT (1 << 31)
2215#define TX1_SWING_CALC_INIT (1 << 30)
2216
dfb82408
S
2217#define _PORT_PCS_DW12_LN01_A 0x162430
2218#define _PORT_PCS_DW12_LN01_B 0x6C430
2219#define _PORT_PCS_DW12_LN01_C 0x6C830
2220#define _PORT_PCS_DW12_LN23_A 0x162630
2221#define _PORT_PCS_DW12_LN23_B 0x6C630
2222#define _PORT_PCS_DW12_LN23_C 0x6CA30
2223#define _PORT_PCS_DW12_GRP_A 0x162c30
2224#define _PORT_PCS_DW12_GRP_B 0x6CC30
2225#define _PORT_PCS_DW12_GRP_C 0x6CE30
2226#define LANESTAGGER_STRAP_OVRD (1 << 6)
2227#define LANE_STAGGER_MASK 0x1F
ed37892e
ACO
2228#define BXT_PORT_PCS_DW12_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2229 _PORT_PCS_DW12_LN01_B, \
2230 _PORT_PCS_DW12_LN01_C)
2231#define BXT_PORT_PCS_DW12_LN23(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2232 _PORT_PCS_DW12_LN23_B, \
2233 _PORT_PCS_DW12_LN23_C)
2234#define BXT_PORT_PCS_DW12_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2235 _PORT_PCS_DW12_GRP_B, \
2236 _PORT_PCS_DW12_GRP_C)
dfb82408 2237
5c6706e5
VK
2238/* BXT PHY TX registers */
2239#define _BXT_LANE_OFFSET(lane) (((lane) >> 1) * 0x200 + \
2240 ((lane) & 1) * 0x80)
2241
96fb9f9b
VK
2242#define _PORT_TX_DW2_LN0_A 0x162508
2243#define _PORT_TX_DW2_LN0_B 0x6C508
2244#define _PORT_TX_DW2_LN0_C 0x6C908
2245#define _PORT_TX_DW2_GRP_A 0x162D08
2246#define _PORT_TX_DW2_GRP_B 0x6CD08
2247#define _PORT_TX_DW2_GRP_C 0x6CF08
ed37892e
ACO
2248#define BXT_PORT_TX_DW2_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2249 _PORT_TX_DW2_LN0_B, \
2250 _PORT_TX_DW2_LN0_C)
2251#define BXT_PORT_TX_DW2_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2252 _PORT_TX_DW2_GRP_B, \
2253 _PORT_TX_DW2_GRP_C)
96fb9f9b
VK
2254#define MARGIN_000_SHIFT 16
2255#define MARGIN_000 (0xFF << MARGIN_000_SHIFT)
2256#define UNIQ_TRANS_SCALE_SHIFT 8
2257#define UNIQ_TRANS_SCALE (0xFF << UNIQ_TRANS_SCALE_SHIFT)
2258
2259#define _PORT_TX_DW3_LN0_A 0x16250C
2260#define _PORT_TX_DW3_LN0_B 0x6C50C
2261#define _PORT_TX_DW3_LN0_C 0x6C90C
2262#define _PORT_TX_DW3_GRP_A 0x162D0C
2263#define _PORT_TX_DW3_GRP_B 0x6CD0C
2264#define _PORT_TX_DW3_GRP_C 0x6CF0C
ed37892e
ACO
2265#define BXT_PORT_TX_DW3_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2266 _PORT_TX_DW3_LN0_B, \
2267 _PORT_TX_DW3_LN0_C)
2268#define BXT_PORT_TX_DW3_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2269 _PORT_TX_DW3_GRP_B, \
2270 _PORT_TX_DW3_GRP_C)
9c58a049
SJ
2271#define SCALE_DCOMP_METHOD (1 << 26)
2272#define UNIQUE_TRANGE_EN_METHOD (1 << 27)
96fb9f9b
VK
2273
2274#define _PORT_TX_DW4_LN0_A 0x162510
2275#define _PORT_TX_DW4_LN0_B 0x6C510
2276#define _PORT_TX_DW4_LN0_C 0x6C910
2277#define _PORT_TX_DW4_GRP_A 0x162D10
2278#define _PORT_TX_DW4_GRP_B 0x6CD10
2279#define _PORT_TX_DW4_GRP_C 0x6CF10
ed37892e
ACO
2280#define BXT_PORT_TX_DW4_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2281 _PORT_TX_DW4_LN0_B, \
2282 _PORT_TX_DW4_LN0_C)
2283#define BXT_PORT_TX_DW4_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2284 _PORT_TX_DW4_GRP_B, \
2285 _PORT_TX_DW4_GRP_C)
96fb9f9b
VK
2286#define DEEMPH_SHIFT 24
2287#define DE_EMPHASIS (0xFF << DEEMPH_SHIFT)
2288
51b3ee35
ACO
2289#define _PORT_TX_DW5_LN0_A 0x162514
2290#define _PORT_TX_DW5_LN0_B 0x6C514
2291#define _PORT_TX_DW5_LN0_C 0x6C914
2292#define _PORT_TX_DW5_GRP_A 0x162D14
2293#define _PORT_TX_DW5_GRP_B 0x6CD14
2294#define _PORT_TX_DW5_GRP_C 0x6CF14
2295#define BXT_PORT_TX_DW5_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2296 _PORT_TX_DW5_LN0_B, \
2297 _PORT_TX_DW5_LN0_C)
2298#define BXT_PORT_TX_DW5_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2299 _PORT_TX_DW5_GRP_B, \
2300 _PORT_TX_DW5_GRP_C)
2301#define DCC_DELAY_RANGE_1 (1 << 9)
2302#define DCC_DELAY_RANGE_2 (1 << 8)
2303
5c6706e5
VK
2304#define _PORT_TX_DW14_LN0_A 0x162538
2305#define _PORT_TX_DW14_LN0_B 0x6C538
2306#define _PORT_TX_DW14_LN0_C 0x6C938
2307#define LATENCY_OPTIM_SHIFT 30
2308#define LATENCY_OPTIM (1 << LATENCY_OPTIM_SHIFT)
ed37892e
ACO
2309#define BXT_PORT_TX_DW14_LN(phy, ch, lane) \
2310 _MMIO(_BXT_PHY_CH(phy, ch, _PORT_TX_DW14_LN0_B, \
2311 _PORT_TX_DW14_LN0_C) + \
2312 _BXT_LANE_OFFSET(lane))
5c6706e5 2313
f8896f5d 2314/* UAIMI scratch pad register 1 */
f0f59a00 2315#define UAIMI_SPR1 _MMIO(0x4F074)
f8896f5d
DW
2316/* SKL VccIO mask */
2317#define SKL_VCCIO_MASK 0x1
2318/* SKL balance leg register */
f0f59a00 2319#define DISPIO_CR_TX_BMU_CR0 _MMIO(0x6C00C)
f8896f5d 2320/* I_boost values */
5ee8ee86
PZ
2321#define BALANCE_LEG_SHIFT(port) (8 + 3 * (port))
2322#define BALANCE_LEG_MASK(port) (7 << (8 + 3 * (port)))
f8896f5d
DW
2323/* Balance leg disable bits */
2324#define BALANCE_LEG_DISABLE_SHIFT 23
a7d8dbc0 2325#define BALANCE_LEG_DISABLE(port) (1 << (23 + (port)))
f8896f5d 2326
585fb111 2327/*
de151cf6 2328 * Fence registers
eecf613a
VS
2329 * [0-7] @ 0x2000 gen2,gen3
2330 * [8-15] @ 0x3000 945,g33,pnv
2331 *
2332 * [0-15] @ 0x3000 gen4,gen5
2333 *
2334 * [0-15] @ 0x100000 gen6,vlv,chv
2335 * [0-31] @ 0x100000 gen7+
585fb111 2336 */
f0f59a00 2337#define FENCE_REG(i) _MMIO(0x2000 + (((i) & 8) << 9) + ((i) & 7) * 4)
de151cf6
JB
2338#define I830_FENCE_START_MASK 0x07f80000
2339#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 2340#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6 2341#define I830_FENCE_PITCH_SHIFT 4
5ee8ee86 2342#define I830_FENCE_REG_VALID (1 << 0)
c36a2a6d 2343#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 2344#define I830_FENCE_MAX_PITCH_VAL 6
5ee8ee86 2345#define I830_FENCE_MAX_SIZE_VAL (1 << 8)
de151cf6
JB
2346
2347#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 2348#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 2349
f0f59a00
VS
2350#define FENCE_REG_965_LO(i) _MMIO(0x03000 + (i) * 8)
2351#define FENCE_REG_965_HI(i) _MMIO(0x03000 + (i) * 8 + 4)
de151cf6
JB
2352#define I965_FENCE_PITCH_SHIFT 2
2353#define I965_FENCE_TILING_Y_SHIFT 1
5ee8ee86 2354#define I965_FENCE_REG_VALID (1 << 0)
8d7773a3 2355#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 2356
f0f59a00
VS
2357#define FENCE_REG_GEN6_LO(i) _MMIO(0x100000 + (i) * 8)
2358#define FENCE_REG_GEN6_HI(i) _MMIO(0x100000 + (i) * 8 + 4)
eecf613a 2359#define GEN6_FENCE_PITCH_SHIFT 32
3a062478 2360#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 2361
2b6b3a09 2362
f691e2f4 2363/* control register for cpu gtt access */
f0f59a00 2364#define TILECTL _MMIO(0x101000)
f691e2f4 2365#define TILECTL_SWZCTL (1 << 0)
e3a29055 2366#define TILECTL_TLBPF (1 << 1)
f691e2f4
DV
2367#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
2368#define TILECTL_BACKSNOOP_DIS (1 << 3)
2369
de151cf6
JB
2370/*
2371 * Instruction and interrupt control regs
2372 */
f0f59a00 2373#define PGTBL_CTL _MMIO(0x02020)
f1e1c212
VS
2374#define PGTBL_ADDRESS_LO_MASK 0xfffff000 /* bits [31:12] */
2375#define PGTBL_ADDRESS_HI_MASK 0x000000f0 /* bits [35:32] (gen4) */
f0f59a00 2376#define PGTBL_ER _MMIO(0x02024)
5ee8ee86
PZ
2377#define PRB0_BASE (0x2030 - 0x30)
2378#define PRB1_BASE (0x2040 - 0x30) /* 830,gen3 */
2379#define PRB2_BASE (0x2050 - 0x30) /* gen3 */
2380#define SRB0_BASE (0x2100 - 0x30) /* gen2 */
2381#define SRB1_BASE (0x2110 - 0x30) /* gen2 */
2382#define SRB2_BASE (0x2120 - 0x30) /* 830 */
2383#define SRB3_BASE (0x2130 - 0x30) /* 830 */
333e9fe9
DV
2384#define RENDER_RING_BASE 0x02000
2385#define BSD_RING_BASE 0x04000
2386#define GEN6_BSD_RING_BASE 0x12000
845f74a7 2387#define GEN8_BSD2_RING_BASE 0x1c000
5f79e7c6
OM
2388#define GEN11_BSD_RING_BASE 0x1c0000
2389#define GEN11_BSD2_RING_BASE 0x1c4000
2390#define GEN11_BSD3_RING_BASE 0x1d0000
2391#define GEN11_BSD4_RING_BASE 0x1d4000
1950de14 2392#define VEBOX_RING_BASE 0x1a000
5f79e7c6
OM
2393#define GEN11_VEBOX_RING_BASE 0x1c8000
2394#define GEN11_VEBOX2_RING_BASE 0x1d8000
549f7365 2395#define BLT_RING_BASE 0x22000
5ee8ee86
PZ
2396#define RING_TAIL(base) _MMIO((base) + 0x30)
2397#define RING_HEAD(base) _MMIO((base) + 0x34)
2398#define RING_START(base) _MMIO((base) + 0x38)
2399#define RING_CTL(base) _MMIO((base) + 0x3c)
62ae14b1 2400#define RING_CTL_SIZE(size) ((size) - PAGE_SIZE) /* in bytes -> pages */
5ee8ee86
PZ
2401#define RING_SYNC_0(base) _MMIO((base) + 0x40)
2402#define RING_SYNC_1(base) _MMIO((base) + 0x44)
2403#define RING_SYNC_2(base) _MMIO((base) + 0x48)
1950de14
BW
2404#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
2405#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
2406#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
2407#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
2408#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
2409#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
2410#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
2411#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
2412#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
2413#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
2414#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
2415#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
f0f59a00 2416#define GEN6_NOSYNC INVALID_MMIO_REG
5ee8ee86
PZ
2417#define RING_PSMI_CTL(base) _MMIO((base) + 0x50)
2418#define RING_MAX_IDLE(base) _MMIO((base) + 0x54)
2419#define RING_HWS_PGA(base) _MMIO((base) + 0x80)
2420#define RING_HWS_PGA_GEN6(base) _MMIO((base) + 0x2080)
2421#define RING_RESET_CTL(base) _MMIO((base) + 0xd0)
5ce5f61b
MK
2422#define RESET_CTL_CAT_ERROR REG_BIT(2)
2423#define RESET_CTL_READY_TO_RESET REG_BIT(1)
2424#define RESET_CTL_REQUEST_RESET REG_BIT(0)
2425
39e78234 2426#define RING_SEMA_WAIT_POLL(base) _MMIO((base) + 0x24c)
9e72b46c 2427
f0f59a00 2428#define HSW_GTT_CACHE_EN _MMIO(0x4024)
6d50b065 2429#define GTT_CACHE_EN_ALL 0xF0007FFF
f0f59a00
VS
2430#define GEN7_WR_WATERMARK _MMIO(0x4028)
2431#define GEN7_GFX_PRIO_CTRL _MMIO(0x402C)
2432#define ARB_MODE _MMIO(0x4030)
5ee8ee86
PZ
2433#define ARB_MODE_SWIZZLE_SNB (1 << 4)
2434#define ARB_MODE_SWIZZLE_IVB (1 << 5)
f0f59a00
VS
2435#define GEN7_GFX_PEND_TLB0 _MMIO(0x4034)
2436#define GEN7_GFX_PEND_TLB1 _MMIO(0x4038)
9e72b46c 2437/* L3, CVS, ZTLB, RCC, CASC LRA min, max values */
f0f59a00 2438#define GEN7_LRA_LIMITS(i) _MMIO(0x403C + (i) * 4)
9e72b46c 2439#define GEN7_LRA_LIMITS_REG_NUM 13
f0f59a00
VS
2440#define GEN7_MEDIA_MAX_REQ_COUNT _MMIO(0x4070)
2441#define GEN7_GFX_MAX_REQ_COUNT _MMIO(0x4074)
9e72b46c 2442
f0f59a00 2443#define GAMTARBMODE _MMIO(0x04a08)
5ee8ee86
PZ
2444#define ARB_MODE_BWGTLB_DISABLE (1 << 9)
2445#define ARB_MODE_SWIZZLE_BDW (1 << 1)
f0f59a00 2446#define RENDER_HWS_PGA_GEN7 _MMIO(0x04080)
5ee8ee86 2447#define RING_FAULT_REG(engine) _MMIO(0x4094 + 0x100 * (engine)->hw_id)
b03ec3d6 2448#define GEN8_RING_FAULT_REG _MMIO(0x4094)
91b59cd9 2449#define GEN12_RING_FAULT_REG _MMIO(0xcec4)
b03ec3d6 2450#define GEN8_RING_FAULT_ENGINE_ID(x) (((x) >> 12) & 0x7)
5ee8ee86 2451#define RING_FAULT_GTTSEL_MASK (1 << 11)
68d97538
VS
2452#define RING_FAULT_SRCID(x) (((x) >> 3) & 0xff)
2453#define RING_FAULT_FAULT_TYPE(x) (((x) >> 1) & 0x3)
5ee8ee86 2454#define RING_FAULT_VALID (1 << 0)
f0f59a00
VS
2455#define DONE_REG _MMIO(0x40b0)
2456#define GEN8_PRIVATE_PAT_LO _MMIO(0x40e0)
2457#define GEN8_PRIVATE_PAT_HI _MMIO(0x40e0 + 4)
5ee8ee86 2458#define GEN10_PAT_INDEX(index) _MMIO(0x40e0 + (index) * 4)
b41e63d8 2459#define GEN12_PAT_INDEX(index) _MMIO(0x4800 + (index) * 4)
f0f59a00
VS
2460#define BSD_HWS_PGA_GEN7 _MMIO(0x04180)
2461#define BLT_HWS_PGA_GEN7 _MMIO(0x04280)
2462#define VEBOX_HWS_PGA_GEN7 _MMIO(0x04380)
5ee8ee86
PZ
2463#define RING_ACTHD(base) _MMIO((base) + 0x74)
2464#define RING_ACTHD_UDW(base) _MMIO((base) + 0x5c)
2465#define RING_NOPID(base) _MMIO((base) + 0x94)
2466#define RING_IMR(base) _MMIO((base) + 0xa8)
2467#define RING_HWSTAM(base) _MMIO((base) + 0x98)
2468#define RING_TIMESTAMP(base) _MMIO((base) + 0x358)
2469#define RING_TIMESTAMP_UDW(base) _MMIO((base) + 0x358 + 4)
585fb111
JB
2470#define TAIL_ADDR 0x001FFFF8
2471#define HEAD_WRAP_COUNT 0xFFE00000
2472#define HEAD_WRAP_ONE 0x00200000
2473#define HEAD_ADDR 0x001FFFFC
2474#define RING_NR_PAGES 0x001FF000
2475#define RING_REPORT_MASK 0x00000006
2476#define RING_REPORT_64K 0x00000002
2477#define RING_REPORT_128K 0x00000004
2478#define RING_NO_REPORT 0x00000000
2479#define RING_VALID_MASK 0x00000001
2480#define RING_VALID 0x00000001
2481#define RING_INVALID 0x00000000
5ee8ee86
PZ
2482#define RING_WAIT_I8XX (1 << 0) /* gen2, PRBx_HEAD */
2483#define RING_WAIT (1 << 11) /* gen3+, PRBx_CTL */
2484#define RING_WAIT_SEMAPHORE (1 << 10) /* gen6+ */
9e72b46c 2485
5ee8ee86 2486#define RING_FORCE_TO_NONPRIV(base, i) _MMIO(((base) + 0x4D0) + (i) * 4)
1e2b7f49
JH
2487#define RING_FORCE_TO_NONPRIV_ACCESS_RW (0 << 28) /* CFL+ & Gen11+ */
2488#define RING_FORCE_TO_NONPRIV_ACCESS_RD (1 << 28)
2489#define RING_FORCE_TO_NONPRIV_ACCESS_WR (2 << 28)
2490#define RING_FORCE_TO_NONPRIV_ACCESS_INVALID (3 << 28)
2491#define RING_FORCE_TO_NONPRIV_ACCESS_MASK (3 << 28)
5380d0b7
JH
2492#define RING_FORCE_TO_NONPRIV_RANGE_1 (0 << 0) /* CFL+ & Gen11+ */
2493#define RING_FORCE_TO_NONPRIV_RANGE_4 (1 << 0)
2494#define RING_FORCE_TO_NONPRIV_RANGE_16 (2 << 0)
2495#define RING_FORCE_TO_NONPRIV_RANGE_64 (3 << 0)
1e2b7f49
JH
2496#define RING_FORCE_TO_NONPRIV_RANGE_MASK (3 << 0)
2497#define RING_FORCE_TO_NONPRIV_MASK_VALID \
2498 (RING_FORCE_TO_NONPRIV_RANGE_MASK \
2499 | RING_FORCE_TO_NONPRIV_ACCESS_MASK)
33136b06
AS
2500#define RING_MAX_NONPRIV_SLOTS 12
2501
f0f59a00 2502#define GEN7_TLB_RD_ADDR _MMIO(0x4700)
9e72b46c 2503
4ba9c1f7 2504#define GEN9_GAMT_ECO_REG_RW_IA _MMIO(0x4ab0)
5ee8ee86 2505#define GAMT_ECO_ENABLE_IN_PLACE_DECOMPRESS (1 << 18)
4ba9c1f7 2506
9a6330cf
MA
2507#define GEN8_GAMW_ECO_DEV_RW_IA _MMIO(0x4080)
2508#define GAMW_ECO_ENABLE_64K_IPS_FIELD 0xF
85f04aa5 2509#define GAMW_ECO_DEV_CTX_RELOAD_DISABLE (1 << 7)
9a6330cf 2510
c0b730d5 2511#define GAMT_CHKN_BIT_REG _MMIO(0x4ab8)
4ece66b1
OM
2512#define GAMT_CHKN_DISABLE_L3_COH_PIPE (1 << 31)
2513#define GAMT_CHKN_DISABLE_DYNAMIC_CREDIT_SHARING (1 << 28)
2514#define GAMT_CHKN_DISABLE_I2M_CYCLE_ON_WR_PORT (1 << 24)
c0b730d5 2515
8168bd48 2516#if 0
f0f59a00
VS
2517#define PRB0_TAIL _MMIO(0x2030)
2518#define PRB0_HEAD _MMIO(0x2034)
2519#define PRB0_START _MMIO(0x2038)
2520#define PRB0_CTL _MMIO(0x203c)
2521#define PRB1_TAIL _MMIO(0x2040) /* 915+ only */
2522#define PRB1_HEAD _MMIO(0x2044) /* 915+ only */
2523#define PRB1_START _MMIO(0x2048) /* 915+ only */
2524#define PRB1_CTL _MMIO(0x204c) /* 915+ only */
8168bd48 2525#endif
f0f59a00
VS
2526#define IPEIR_I965 _MMIO(0x2064)
2527#define IPEHR_I965 _MMIO(0x2068)
2528#define GEN7_SC_INSTDONE _MMIO(0x7100)
2529#define GEN7_SAMPLER_INSTDONE _MMIO(0xe160)
2530#define GEN7_ROW_INSTDONE _MMIO(0xe164)
f9e61372
BW
2531#define GEN8_MCR_SELECTOR _MMIO(0xfdc)
2532#define GEN8_MCR_SLICE(slice) (((slice) & 3) << 26)
2533#define GEN8_MCR_SLICE_MASK GEN8_MCR_SLICE(3)
2534#define GEN8_MCR_SUBSLICE(subslice) (((subslice) & 3) << 24)
2535#define GEN8_MCR_SUBSLICE_MASK GEN8_MCR_SUBSLICE(3)
d3d57927
KG
2536#define GEN11_MCR_SLICE(slice) (((slice) & 0xf) << 27)
2537#define GEN11_MCR_SLICE_MASK GEN11_MCR_SLICE(0xf)
2538#define GEN11_MCR_SUBSLICE(subslice) (((subslice) & 0x7) << 24)
2539#define GEN11_MCR_SUBSLICE_MASK GEN11_MCR_SUBSLICE(0x7)
5ee8ee86
PZ
2540#define RING_IPEIR(base) _MMIO((base) + 0x64)
2541#define RING_IPEHR(base) _MMIO((base) + 0x68)
f1d54348
ID
2542/*
2543 * On GEN4, only the render ring INSTDONE exists and has a different
2544 * layout than the GEN7+ version.
bd93a50e 2545 * The GEN2 counterpart of this register is GEN2_INSTDONE.
f1d54348 2546 */
5ee8ee86
PZ
2547#define RING_INSTDONE(base) _MMIO((base) + 0x6c)
2548#define RING_INSTPS(base) _MMIO((base) + 0x70)
2549#define RING_DMA_FADD(base) _MMIO((base) + 0x78)
2550#define RING_DMA_FADD_UDW(base) _MMIO((base) + 0x60) /* gen8+ */
2551#define RING_INSTPM(base) _MMIO((base) + 0xc0)
2552#define RING_MI_MODE(base) _MMIO((base) + 0x9c)
f0f59a00
VS
2553#define INSTPS _MMIO(0x2070) /* 965+ only */
2554#define GEN4_INSTDONE1 _MMIO(0x207c) /* 965+ only, aka INSTDONE_2 on SNB */
2555#define ACTHD_I965 _MMIO(0x2074)
2556#define HWS_PGA _MMIO(0x2080)
585fb111
JB
2557#define HWS_ADDRESS_MASK 0xfffff000
2558#define HWS_START_ADDRESS_SHIFT 4
f0f59a00 2559#define PWRCTXA _MMIO(0x2088) /* 965GM+ only */
5ee8ee86 2560#define PWRCTX_EN (1 << 0)
baba6e57
DCS
2561#define IPEIR(base) _MMIO((base) + 0x88)
2562#define IPEHR(base) _MMIO((base) + 0x8c)
f0f59a00
VS
2563#define GEN2_INSTDONE _MMIO(0x2090)
2564#define NOPID _MMIO(0x2094)
2565#define HWSTAM _MMIO(0x2098)
baba6e57 2566#define DMA_FADD_I8XX(base) _MMIO((base) + 0xd0)
5ee8ee86 2567#define RING_BBSTATE(base) _MMIO((base) + 0x110)
35dc3f97 2568#define RING_BB_PPGTT (1 << 5)
5ee8ee86
PZ
2569#define RING_SBBADDR(base) _MMIO((base) + 0x114) /* hsw+ */
2570#define RING_SBBSTATE(base) _MMIO((base) + 0x118) /* hsw+ */
2571#define RING_SBBADDR_UDW(base) _MMIO((base) + 0x11c) /* gen8+ */
2572#define RING_BBADDR(base) _MMIO((base) + 0x140)
2573#define RING_BBADDR_UDW(base) _MMIO((base) + 0x168) /* gen8+ */
2574#define RING_BB_PER_CTX_PTR(base) _MMIO((base) + 0x1c0) /* gen8+ */
2575#define RING_INDIRECT_CTX(base) _MMIO((base) + 0x1c4) /* gen8+ */
2576#define RING_INDIRECT_CTX_OFFSET(base) _MMIO((base) + 0x1c8) /* gen8+ */
2577#define RING_CTX_TIMESTAMP(base) _MMIO((base) + 0x3a8) /* gen8+ */
f0f59a00
VS
2578
2579#define ERROR_GEN6 _MMIO(0x40a0)
2580#define GEN7_ERR_INT _MMIO(0x44040)
5ee8ee86
PZ
2581#define ERR_INT_POISON (1 << 31)
2582#define ERR_INT_MMIO_UNCLAIMED (1 << 13)
2583#define ERR_INT_PIPE_CRC_DONE_C (1 << 8)
2584#define ERR_INT_FIFO_UNDERRUN_C (1 << 6)
2585#define ERR_INT_PIPE_CRC_DONE_B (1 << 5)
2586#define ERR_INT_FIFO_UNDERRUN_B (1 << 3)
2587#define ERR_INT_PIPE_CRC_DONE_A (1 << 2)
2588#define ERR_INT_PIPE_CRC_DONE(pipe) (1 << (2 + (pipe) * 3))
2589#define ERR_INT_FIFO_UNDERRUN_A (1 << 0)
2590#define ERR_INT_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
f406839f 2591
f0f59a00
VS
2592#define GEN8_FAULT_TLB_DATA0 _MMIO(0x4b10)
2593#define GEN8_FAULT_TLB_DATA1 _MMIO(0x4b14)
91b59cd9
LDM
2594#define GEN12_FAULT_TLB_DATA0 _MMIO(0xceb8)
2595#define GEN12_FAULT_TLB_DATA1 _MMIO(0xcebc)
5a3f58df
OM
2596#define FAULT_VA_HIGH_BITS (0xf << 0)
2597#define FAULT_GTT_SEL (1 << 4)
6c826f34 2598
f0f59a00 2599#define FPGA_DBG _MMIO(0x42300)
5ee8ee86 2600#define FPGA_DBG_RM_NOCLAIM (1 << 31)
3f1e109a 2601
8ac3e1bb
MK
2602#define CLAIM_ER _MMIO(VLV_DISPLAY_BASE + 0x2028)
2603#define CLAIM_ER_CLR (1 << 31)
2604#define CLAIM_ER_OVERFLOW (1 << 16)
2605#define CLAIM_ER_CTR_MASK 0xffff
2606
f0f59a00 2607#define DERRMR _MMIO(0x44050)
4e0bbc31 2608/* Note that HBLANK events are reserved on bdw+ */
5ee8ee86
PZ
2609#define DERRMR_PIPEA_SCANLINE (1 << 0)
2610#define DERRMR_PIPEA_PRI_FLIP_DONE (1 << 1)
2611#define DERRMR_PIPEA_SPR_FLIP_DONE (1 << 2)
2612#define DERRMR_PIPEA_VBLANK (1 << 3)
2613#define DERRMR_PIPEA_HBLANK (1 << 5)
af7187b7 2614#define DERRMR_PIPEB_SCANLINE (1 << 8)
5ee8ee86
PZ
2615#define DERRMR_PIPEB_PRI_FLIP_DONE (1 << 9)
2616#define DERRMR_PIPEB_SPR_FLIP_DONE (1 << 10)
2617#define DERRMR_PIPEB_VBLANK (1 << 11)
2618#define DERRMR_PIPEB_HBLANK (1 << 13)
ffe74d75 2619/* Note that PIPEC is not a simple translation of PIPEA/PIPEB */
5ee8ee86
PZ
2620#define DERRMR_PIPEC_SCANLINE (1 << 14)
2621#define DERRMR_PIPEC_PRI_FLIP_DONE (1 << 15)
2622#define DERRMR_PIPEC_SPR_FLIP_DONE (1 << 20)
2623#define DERRMR_PIPEC_VBLANK (1 << 21)
2624#define DERRMR_PIPEC_HBLANK (1 << 22)
ffe74d75 2625
0f3b6849 2626
de6e2eaf
EA
2627/* GM45+ chicken bits -- debug workaround bits that may be required
2628 * for various sorts of correct behavior. The top 16 bits of each are
2629 * the enables for writing to the corresponding low bit.
2630 */
f0f59a00 2631#define _3D_CHICKEN _MMIO(0x2084)
4283908e 2632#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
f0f59a00 2633#define _3D_CHICKEN2 _MMIO(0x208c)
b77422f8
KG
2634
2635#define FF_SLICE_CHICKEN _MMIO(0x2088)
2636#define FF_SLICE_CHICKEN_CL_PROVOKING_VERTEX_FIX (1 << 1)
2637
de6e2eaf
EA
2638/* Disables pipelining of read flushes past the SF-WIZ interface.
2639 * Required on all Ironlake steppings according to the B-Spec, but the
2640 * particular danger of not doing so is not specified.
2641 */
2642# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
f0f59a00 2643#define _3D_CHICKEN3 _MMIO(0x2090)
b77422f8 2644#define _3D_CHICKEN_SF_PROVOKING_VERTEX_FIX (1 << 12)
87f8020e 2645#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
1a25db65 2646#define _3D_CHICKEN3_AA_LINE_QUALITY_FIX_ENABLE (1 << 5)
26b6e44a 2647#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
5ee8ee86 2648#define _3D_CHICKEN_SDE_LIMIT_FIFO_POLY_DEPTH(x) ((x) << 1) /* gen8+ */
e927ecde 2649#define _3D_CHICKEN3_SF_DISABLE_PIPELINED_ATTR_FETCH (1 << 1) /* gen6 */
de6e2eaf 2650
f0f59a00 2651#define MI_MODE _MMIO(0x209c)
71cf39b1 2652# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 2653# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 2654# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
e9fea574 2655# define MODE_IDLE (1 << 9)
9991ae78 2656# define STOP_RING (1 << 8)
71cf39b1 2657
f0f59a00
VS
2658#define GEN6_GT_MODE _MMIO(0x20d0)
2659#define GEN7_GT_MODE _MMIO(0x7008)
8d85d272
VS
2660#define GEN6_WIZ_HASHING(hi, lo) (((hi) << 9) | ((lo) << 7))
2661#define GEN6_WIZ_HASHING_8x8 GEN6_WIZ_HASHING(0, 0)
2662#define GEN6_WIZ_HASHING_8x4 GEN6_WIZ_HASHING(0, 1)
2663#define GEN6_WIZ_HASHING_16x4 GEN6_WIZ_HASHING(1, 0)
98533251 2664#define GEN6_WIZ_HASHING_MASK GEN6_WIZ_HASHING(1, 1)
6547fbdb 2665#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
68d97538
VS
2666#define GEN9_IZ_HASHING_MASK(slice) (0x3 << ((slice) * 2))
2667#define GEN9_IZ_HASHING(slice, val) ((val) << ((slice) * 2))
f8f2ac9a 2668
a8ab5ed5
TG
2669/* chicken reg for WaConextSwitchWithConcurrentTLBInvalidate */
2670#define GEN9_CSFE_CHICKEN1_RCS _MMIO(0x20D4)
2671#define GEN9_PREEMPT_GPGPU_SYNC_SWITCH_DISABLE (1 << 2)
622b3f68 2672#define GEN11_ENABLE_32_PLANE_MODE (1 << 7)
a8ab5ed5 2673
b1e429fe
TG
2674/* WaClearTdlStateAckDirtyBits */
2675#define GEN8_STATE_ACK _MMIO(0x20F0)
2676#define GEN9_STATE_ACK_SLICE1 _MMIO(0x20F8)
2677#define GEN9_STATE_ACK_SLICE2 _MMIO(0x2100)
2678#define GEN9_STATE_ACK_TDL0 (1 << 12)
2679#define GEN9_STATE_ACK_TDL1 (1 << 13)
2680#define GEN9_STATE_ACK_TDL2 (1 << 14)
2681#define GEN9_STATE_ACK_TDL3 (1 << 15)
2682#define GEN9_SUBSLICE_TDL_ACK_BITS \
2683 (GEN9_STATE_ACK_TDL3 | GEN9_STATE_ACK_TDL2 | \
2684 GEN9_STATE_ACK_TDL1 | GEN9_STATE_ACK_TDL0)
2685
f0f59a00
VS
2686#define GFX_MODE _MMIO(0x2520)
2687#define GFX_MODE_GEN7 _MMIO(0x229c)
dbc65183 2688#define RING_MODE_GEN7(base) _MMIO((base) + 0x29c)
5ee8ee86
PZ
2689#define GFX_RUN_LIST_ENABLE (1 << 15)
2690#define GFX_INTERRUPT_STEERING (1 << 14)
2691#define GFX_TLB_INVALIDATE_EXPLICIT (1 << 13)
2692#define GFX_SURFACE_FAULT_ENABLE (1 << 12)
2693#define GFX_REPLAY_MODE (1 << 11)
2694#define GFX_PSMI_GRANULARITY (1 << 10)
2695#define GFX_PPGTT_ENABLE (1 << 9)
2696#define GEN8_GFX_PPGTT_48B (1 << 7)
2697
2698#define GFX_FORWARD_VBLANK_MASK (3 << 5)
2699#define GFX_FORWARD_VBLANK_NEVER (0 << 5)
2700#define GFX_FORWARD_VBLANK_ALWAYS (1 << 5)
2701#define GFX_FORWARD_VBLANK_COND (2 << 5)
2702
2703#define GEN11_GFX_DISABLE_LEGACY_MODE (1 << 3)
225701fc 2704
f0f59a00
VS
2705#define VLV_GU_CTL0 _MMIO(VLV_DISPLAY_BASE + 0x2030)
2706#define VLV_GU_CTL1 _MMIO(VLV_DISPLAY_BASE + 0x2034)
2707#define SCPD0 _MMIO(0x209c) /* 915+ only */
9d9523d8
PZ
2708#define GEN2_IER _MMIO(0x20a0)
2709#define GEN2_IIR _MMIO(0x20a4)
2710#define GEN2_IMR _MMIO(0x20a8)
2711#define GEN2_ISR _MMIO(0x20ac)
f0f59a00 2712#define VLV_GUNIT_CLOCK_GATE _MMIO(VLV_DISPLAY_BASE + 0x2060)
5ee8ee86
PZ
2713#define GINT_DIS (1 << 22)
2714#define GCFG_DIS (1 << 8)
f0f59a00
VS
2715#define VLV_GUNIT_CLOCK_GATE2 _MMIO(VLV_DISPLAY_BASE + 0x2064)
2716#define VLV_IIR_RW _MMIO(VLV_DISPLAY_BASE + 0x2084)
2717#define VLV_IER _MMIO(VLV_DISPLAY_BASE + 0x20a0)
2718#define VLV_IIR _MMIO(VLV_DISPLAY_BASE + 0x20a4)
2719#define VLV_IMR _MMIO(VLV_DISPLAY_BASE + 0x20a8)
2720#define VLV_ISR _MMIO(VLV_DISPLAY_BASE + 0x20ac)
2721#define VLV_PCBR _MMIO(VLV_DISPLAY_BASE + 0x2120)
38807746
D
2722#define VLV_PCBR_ADDR_SHIFT 12
2723
5ee8ee86 2724#define DISPLAY_PLANE_FLIP_PENDING(plane) (1 << (11 - (plane))) /* A and B only */
f0f59a00
VS
2725#define EIR _MMIO(0x20b0)
2726#define EMR _MMIO(0x20b4)
2727#define ESR _MMIO(0x20b8)
5ee8ee86
PZ
2728#define GM45_ERROR_PAGE_TABLE (1 << 5)
2729#define GM45_ERROR_MEM_PRIV (1 << 4)
2730#define I915_ERROR_PAGE_TABLE (1 << 4)
2731#define GM45_ERROR_CP_PRIV (1 << 3)
2732#define I915_ERROR_MEMORY_REFRESH (1 << 1)
2733#define I915_ERROR_INSTRUCTION (1 << 0)
f0f59a00 2734#define INSTPM _MMIO(0x20c0)
5ee8ee86
PZ
2735#define INSTPM_SELF_EN (1 << 12) /* 915GM only */
2736#define INSTPM_AGPBUSY_INT_EN (1 << 11) /* gen3: when disabled, pending interrupts
8692d00e
CW
2737 will not assert AGPBUSY# and will only
2738 be delivered when out of C3. */
5ee8ee86
PZ
2739#define INSTPM_FORCE_ORDERING (1 << 7) /* GEN6+ */
2740#define INSTPM_TLB_INVALIDATE (1 << 9)
2741#define INSTPM_SYNC_FLUSH (1 << 5)
baba6e57 2742#define ACTHD(base) _MMIO((base) + 0xc8)
f0f59a00 2743#define MEM_MODE _MMIO(0x20cc)
5ee8ee86
PZ
2744#define MEM_DISPLAY_B_TRICKLE_FEED_DISABLE (1 << 3) /* 830 only */
2745#define MEM_DISPLAY_A_TRICKLE_FEED_DISABLE (1 << 2) /* 830/845 only */
2746#define MEM_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2) /* 85x only */
f0f59a00
VS
2747#define FW_BLC _MMIO(0x20d8)
2748#define FW_BLC2 _MMIO(0x20dc)
2749#define FW_BLC_SELF _MMIO(0x20e0) /* 915+ only */
5ee8ee86
PZ
2750#define FW_BLC_SELF_EN_MASK (1 << 31)
2751#define FW_BLC_SELF_FIFO_MASK (1 << 16) /* 945 only */
2752#define FW_BLC_SELF_EN (1 << 15) /* 945 only */
7662c8bd
SL
2753#define MM_BURST_LENGTH 0x00700000
2754#define MM_FIFO_WATERMARK 0x0001F000
2755#define LM_BURST_LENGTH 0x00000700
2756#define LM_FIFO_WATERMARK 0x0000001F
f0f59a00 2757#define MI_ARB_STATE _MMIO(0x20e4) /* 915+ only */
45503ded 2758
78005497
MK
2759#define MBUS_ABOX_CTL _MMIO(0x45038)
2760#define MBUS_ABOX_BW_CREDIT_MASK (3 << 20)
2761#define MBUS_ABOX_BW_CREDIT(x) ((x) << 20)
2762#define MBUS_ABOX_B_CREDIT_MASK (0xF << 16)
2763#define MBUS_ABOX_B_CREDIT(x) ((x) << 16)
2764#define MBUS_ABOX_BT_CREDIT_POOL2_MASK (0x1F << 8)
2765#define MBUS_ABOX_BT_CREDIT_POOL2(x) ((x) << 8)
2766#define MBUS_ABOX_BT_CREDIT_POOL1_MASK (0x1F << 0)
2767#define MBUS_ABOX_BT_CREDIT_POOL1(x) ((x) << 0)
2768
2769#define _PIPEA_MBUS_DBOX_CTL 0x7003C
2770#define _PIPEB_MBUS_DBOX_CTL 0x7103C
2771#define PIPE_MBUS_DBOX_CTL(pipe) _MMIO_PIPE(pipe, _PIPEA_MBUS_DBOX_CTL, \
2772 _PIPEB_MBUS_DBOX_CTL)
2773#define MBUS_DBOX_BW_CREDIT_MASK (3 << 14)
2774#define MBUS_DBOX_BW_CREDIT(x) ((x) << 14)
2775#define MBUS_DBOX_B_CREDIT_MASK (0x1F << 8)
2776#define MBUS_DBOX_B_CREDIT(x) ((x) << 8)
2777#define MBUS_DBOX_A_CREDIT_MASK (0xF << 0)
2778#define MBUS_DBOX_A_CREDIT(x) ((x) << 0)
2779
2780#define MBUS_UBOX_CTL _MMIO(0x4503C)
2781#define MBUS_BBOX_CTL_S1 _MMIO(0x45040)
2782#define MBUS_BBOX_CTL_S2 _MMIO(0x45044)
2783
45503ded
KP
2784/* Make render/texture TLB fetches lower priorty than associated data
2785 * fetches. This is not turned on by default
2786 */
2787#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
2788
2789/* Isoch request wait on GTT enable (Display A/B/C streams).
2790 * Make isoch requests stall on the TLB update. May cause
2791 * display underruns (test mode only)
2792 */
2793#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
2794
2795/* Block grant count for isoch requests when block count is
2796 * set to a finite value.
2797 */
2798#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
2799#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
2800#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
2801#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
2802#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
2803
2804/* Enable render writes to complete in C2/C3/C4 power states.
2805 * If this isn't enabled, render writes are prevented in low
2806 * power states. That seems bad to me.
2807 */
2808#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
2809
2810/* This acknowledges an async flip immediately instead
2811 * of waiting for 2TLB fetches.
2812 */
2813#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
2814
2815/* Enables non-sequential data reads through arbiter
2816 */
0206e353 2817#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
2818
2819/* Disable FSB snooping of cacheable write cycles from binner/render
2820 * command stream
2821 */
2822#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
2823
2824/* Arbiter time slice for non-isoch streams */
2825#define MI_ARB_TIME_SLICE_MASK (7 << 5)
2826#define MI_ARB_TIME_SLICE_1 (0 << 5)
2827#define MI_ARB_TIME_SLICE_2 (1 << 5)
2828#define MI_ARB_TIME_SLICE_4 (2 << 5)
2829#define MI_ARB_TIME_SLICE_6 (3 << 5)
2830#define MI_ARB_TIME_SLICE_8 (4 << 5)
2831#define MI_ARB_TIME_SLICE_10 (5 << 5)
2832#define MI_ARB_TIME_SLICE_14 (6 << 5)
2833#define MI_ARB_TIME_SLICE_16 (7 << 5)
2834
2835/* Low priority grace period page size */
2836#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
2837#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
2838
2839/* Disable display A/B trickle feed */
2840#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
2841
2842/* Set display plane priority */
2843#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
2844#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
2845
f0f59a00 2846#define MI_STATE _MMIO(0x20e4) /* gen2 only */
54e472ae
VS
2847#define MI_AGPBUSY_INT_EN (1 << 1) /* 85x only */
2848#define MI_AGPBUSY_830_MODE (1 << 0) /* 85x only */
2849
f0f59a00 2850#define CACHE_MODE_0 _MMIO(0x2120) /* 915+ only */
5ee8ee86
PZ
2851#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1 << 8)
2852#define CM0_IZ_OPT_DISABLE (1 << 6)
2853#define CM0_ZR_OPT_DISABLE (1 << 5)
2854#define CM0_STC_EVICT_DISABLE_LRA_SNB (1 << 5)
2855#define CM0_DEPTH_EVICT_DISABLE (1 << 4)
2856#define CM0_COLOR_EVICT_DISABLE (1 << 3)
2857#define CM0_DEPTH_WRITE_DISABLE (1 << 1)
2858#define CM0_RC_OP_FLUSH_DISABLE (1 << 0)
f0f59a00
VS
2859#define GFX_FLSH_CNTL _MMIO(0x2170) /* 915+ only */
2860#define GFX_FLSH_CNTL_GEN6 _MMIO(0x101008)
5ee8ee86 2861#define GFX_FLSH_CNTL_EN (1 << 0)
f0f59a00 2862#define ECOSKPD _MMIO(0x21d0)
9ce9bdb0 2863#define ECO_CONSTANT_BUFFER_SR_DISABLE REG_BIT(4)
5ee8ee86
PZ
2864#define ECO_GATING_CX_ONLY (1 << 3)
2865#define ECO_FLIP_DONE (1 << 0)
585fb111 2866
f0f59a00 2867#define CACHE_MODE_0_GEN7 _MMIO(0x7000) /* IVB+ */
5ee8ee86
PZ
2868#define RC_OP_FLUSH_ENABLE (1 << 0)
2869#define HIZ_RAW_STALL_OPT_DISABLE (1 << 2)
f0f59a00 2870#define CACHE_MODE_1 _MMIO(0x7004) /* IVB+ */
5ee8ee86
PZ
2871#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1 << 6)
2872#define GEN8_4x4_STC_OPTIMIZATION_DISABLE (1 << 6)
2873#define GEN9_PARTIAL_RESOLVE_IN_VC_DISABLE (1 << 1)
fb046853 2874
f0f59a00 2875#define GEN6_BLITTER_ECOSKPD _MMIO(0x221d0)
4efe0708 2876#define GEN6_BLITTER_LOCK_SHIFT 16
5ee8ee86 2877#define GEN6_BLITTER_FBC_NOTIFY (1 << 3)
4efe0708 2878
f0f59a00 2879#define GEN6_RC_SLEEP_PSMI_CONTROL _MMIO(0x2050)
2c550183 2880#define GEN6_PSMI_SLEEP_MSG_DISABLE (1 << 0)
295e8bb7 2881#define GEN8_RC_SEMA_IDLE_MSG_DISABLE (1 << 12)
5ee8ee86 2882#define GEN8_FF_DOP_CLOCK_GATE_DISABLE (1 << 10)
295e8bb7 2883
19f81df2
RB
2884#define GEN6_RCS_PWR_FSM _MMIO(0x22ac)
2885#define GEN9_RCS_FE_FSM2 _MMIO(0x22a4)
2886
0b904c89
TN
2887#define GEN10_CACHE_MODE_SS _MMIO(0xe420)
2888#define FLOAT_BLEND_OPTIMIZATION_ENABLE (1 << 4)
2889
693d11c3 2890/* Fuse readout registers for GT */
b8ec759e
LL
2891#define HSW_PAVP_FUSE1 _MMIO(0x911C)
2892#define HSW_F1_EU_DIS_SHIFT 16
2893#define HSW_F1_EU_DIS_MASK (0x3 << HSW_F1_EU_DIS_SHIFT)
2894#define HSW_F1_EU_DIS_10EUS 0
2895#define HSW_F1_EU_DIS_8EUS 1
2896#define HSW_F1_EU_DIS_6EUS 2
2897
f0f59a00 2898#define CHV_FUSE_GT _MMIO(VLV_DISPLAY_BASE + 0x2168)
c93043ae
JM
2899#define CHV_FGT_DISABLE_SS0 (1 << 10)
2900#define CHV_FGT_DISABLE_SS1 (1 << 11)
693d11c3
D
2901#define CHV_FGT_EU_DIS_SS0_R0_SHIFT 16
2902#define CHV_FGT_EU_DIS_SS0_R0_MASK (0xf << CHV_FGT_EU_DIS_SS0_R0_SHIFT)
2903#define CHV_FGT_EU_DIS_SS0_R1_SHIFT 20
2904#define CHV_FGT_EU_DIS_SS0_R1_MASK (0xf << CHV_FGT_EU_DIS_SS0_R1_SHIFT)
2905#define CHV_FGT_EU_DIS_SS1_R0_SHIFT 24
2906#define CHV_FGT_EU_DIS_SS1_R0_MASK (0xf << CHV_FGT_EU_DIS_SS1_R0_SHIFT)
2907#define CHV_FGT_EU_DIS_SS1_R1_SHIFT 28
2908#define CHV_FGT_EU_DIS_SS1_R1_MASK (0xf << CHV_FGT_EU_DIS_SS1_R1_SHIFT)
2909
f0f59a00 2910#define GEN8_FUSE2 _MMIO(0x9120)
91bedd34
ŁD
2911#define GEN8_F2_SS_DIS_SHIFT 21
2912#define GEN8_F2_SS_DIS_MASK (0x7 << GEN8_F2_SS_DIS_SHIFT)
3873218f
JM
2913#define GEN8_F2_S_ENA_SHIFT 25
2914#define GEN8_F2_S_ENA_MASK (0x7 << GEN8_F2_S_ENA_SHIFT)
2915
2916#define GEN9_F2_SS_DIS_SHIFT 20
2917#define GEN9_F2_SS_DIS_MASK (0xf << GEN9_F2_SS_DIS_SHIFT)
2918
4e9767bc
BW
2919#define GEN10_F2_S_ENA_SHIFT 22
2920#define GEN10_F2_S_ENA_MASK (0x3f << GEN10_F2_S_ENA_SHIFT)
2921#define GEN10_F2_SS_DIS_SHIFT 18
2922#define GEN10_F2_SS_DIS_MASK (0xf << GEN10_F2_SS_DIS_SHIFT)
2923
fe864b76
YZ
2924#define GEN10_MIRROR_FUSE3 _MMIO(0x9118)
2925#define GEN10_L3BANK_PAIR_COUNT 4
2926#define GEN10_L3BANK_MASK 0x0F
2927
f0f59a00 2928#define GEN8_EU_DISABLE0 _MMIO(0x9134)
91bedd34
ŁD
2929#define GEN8_EU_DIS0_S0_MASK 0xffffff
2930#define GEN8_EU_DIS0_S1_SHIFT 24
2931#define GEN8_EU_DIS0_S1_MASK (0xff << GEN8_EU_DIS0_S1_SHIFT)
2932
f0f59a00 2933#define GEN8_EU_DISABLE1 _MMIO(0x9138)
91bedd34
ŁD
2934#define GEN8_EU_DIS1_S1_MASK 0xffff
2935#define GEN8_EU_DIS1_S2_SHIFT 16
2936#define GEN8_EU_DIS1_S2_MASK (0xffff << GEN8_EU_DIS1_S2_SHIFT)
2937
f0f59a00 2938#define GEN8_EU_DISABLE2 _MMIO(0x913c)
91bedd34
ŁD
2939#define GEN8_EU_DIS2_S2_MASK 0xff
2940
5ee8ee86 2941#define GEN9_EU_DISABLE(slice) _MMIO(0x9134 + (slice) * 0x4)
3873218f 2942
4e9767bc
BW
2943#define GEN10_EU_DISABLE3 _MMIO(0x9140)
2944#define GEN10_EU_DIS_SS_MASK 0xff
2945
26376a7e
OM
2946#define GEN11_GT_VEBOX_VDBOX_DISABLE _MMIO(0x9140)
2947#define GEN11_GT_VDBOX_DISABLE_MASK 0xff
2948#define GEN11_GT_VEBOX_DISABLE_SHIFT 16
547fcf9b 2949#define GEN11_GT_VEBOX_DISABLE_MASK (0x0f << GEN11_GT_VEBOX_DISABLE_SHIFT)
26376a7e 2950
8b5eb5e2
KG
2951#define GEN11_EU_DISABLE _MMIO(0x9134)
2952#define GEN11_EU_DIS_MASK 0xFF
2953
2954#define GEN11_GT_SLICE_ENABLE _MMIO(0x9138)
2955#define GEN11_GT_S_ENA_MASK 0xFF
2956
2957#define GEN11_GT_SUBSLICE_DISABLE _MMIO(0x913C)
2958
f0f59a00 2959#define GEN6_BSD_SLEEP_PSMI_CONTROL _MMIO(0x12050)
12f55818
CW
2960#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
2961#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
2962#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
2963#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 2964
cc609d5d
BW
2965/* On modern GEN architectures interrupt control consists of two sets
2966 * of registers. The first set pertains to the ring generating the
2967 * interrupt. The second control is for the functional block generating the
2968 * interrupt. These are PM, GT, DE, etc.
2969 *
2970 * Luckily *knocks on wood* all the ring interrupt bits match up with the
2971 * GT interrupt bits, so we don't need to duplicate the defines.
2972 *
2973 * These defines should cover us well from SNB->HSW with minor exceptions
2974 * it can also work on ILK.
2975 */
2976#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
2977#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
2978#define GT_BLT_USER_INTERRUPT (1 << 22)
2979#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
2980#define GT_BSD_USER_INTERRUPT (1 << 12)
35a85ac6 2981#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 (1 << 11) /* hsw+; rsvd on snb, ivb, vlv */
73d477f6 2982#define GT_CONTEXT_SWITCH_INTERRUPT (1 << 8)
cc609d5d
BW
2983#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
2984#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
2985#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
2986#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
2987#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
2988#define GT_RENDER_USER_INTERRUPT (1 << 0)
2989
12638c57
BW
2990#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
2991#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
2992
772c2a51 2993#define GT_PARITY_ERROR(dev_priv) \
35a85ac6 2994 (GT_RENDER_L3_PARITY_ERROR_INTERRUPT | \
772c2a51 2995 (IS_HASWELL(dev_priv) ? GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 : 0))
35a85ac6 2996
cc609d5d 2997/* These are all the "old" interrupts */
5ee8ee86
PZ
2998#define ILK_BSD_USER_INTERRUPT (1 << 5)
2999
3000#define I915_PM_INTERRUPT (1 << 31)
3001#define I915_ISP_INTERRUPT (1 << 22)
3002#define I915_LPE_PIPE_B_INTERRUPT (1 << 21)
3003#define I915_LPE_PIPE_A_INTERRUPT (1 << 20)
3004#define I915_MIPIC_INTERRUPT (1 << 19)
3005#define I915_MIPIA_INTERRUPT (1 << 18)
3006#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1 << 18)
3007#define I915_DISPLAY_PORT_INTERRUPT (1 << 17)
3008#define I915_DISPLAY_PIPE_C_HBLANK_INTERRUPT (1 << 16)
3009#define I915_MASTER_ERROR_INTERRUPT (1 << 15)
5ee8ee86
PZ
3010#define I915_DISPLAY_PIPE_B_HBLANK_INTERRUPT (1 << 14)
3011#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1 << 14) /* p-state */
3012#define I915_DISPLAY_PIPE_A_HBLANK_INTERRUPT (1 << 13)
3013#define I915_HWB_OOM_INTERRUPT (1 << 13)
3014#define I915_LPE_PIPE_C_INTERRUPT (1 << 12)
3015#define I915_SYNC_STATUS_INTERRUPT (1 << 12)
3016#define I915_MISC_INTERRUPT (1 << 11)
3017#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1 << 11)
3018#define I915_DISPLAY_PIPE_C_VBLANK_INTERRUPT (1 << 10)
3019#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1 << 10)
3020#define I915_DISPLAY_PIPE_C_EVENT_INTERRUPT (1 << 9)
3021#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1 << 9)
3022#define I915_DISPLAY_PIPE_C_DPBM_INTERRUPT (1 << 8)
3023#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1 << 8)
3024#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1 << 7)
3025#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1 << 6)
3026#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1 << 5)
3027#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1 << 4)
3028#define I915_DISPLAY_PIPE_A_DPBM_INTERRUPT (1 << 3)
3029#define I915_DISPLAY_PIPE_B_DPBM_INTERRUPT (1 << 2)
3030#define I915_DEBUG_INTERRUPT (1 << 2)
3031#define I915_WINVALID_INTERRUPT (1 << 1)
3032#define I915_USER_INTERRUPT (1 << 1)
3033#define I915_ASLE_INTERRUPT (1 << 0)
3034#define I915_BSD_USER_INTERRUPT (1 << 25)
881f47b6 3035
eef57324
JA
3036#define I915_HDMI_LPE_AUDIO_BASE (VLV_DISPLAY_BASE + 0x65000)
3037#define I915_HDMI_LPE_AUDIO_SIZE 0x1000
3038
d5d8c3a1 3039/* DisplayPort Audio w/ LPE */
9db13e5f
TI
3040#define VLV_AUD_CHICKEN_BIT_REG _MMIO(VLV_DISPLAY_BASE + 0x62F38)
3041#define VLV_CHICKEN_BIT_DBG_ENABLE (1 << 0)
3042
d5d8c3a1
PLB
3043#define _VLV_AUD_PORT_EN_B_DBG (VLV_DISPLAY_BASE + 0x62F20)
3044#define _VLV_AUD_PORT_EN_C_DBG (VLV_DISPLAY_BASE + 0x62F30)
3045#define _VLV_AUD_PORT_EN_D_DBG (VLV_DISPLAY_BASE + 0x62F34)
3046#define VLV_AUD_PORT_EN_DBG(port) _MMIO_PORT3((port) - PORT_B, \
3047 _VLV_AUD_PORT_EN_B_DBG, \
3048 _VLV_AUD_PORT_EN_C_DBG, \
3049 _VLV_AUD_PORT_EN_D_DBG)
3050#define VLV_AMP_MUTE (1 << 1)
3051
f0f59a00 3052#define GEN6_BSD_RNCID _MMIO(0x12198)
881f47b6 3053
f0f59a00 3054#define GEN7_FF_THREAD_MODE _MMIO(0x20a0)
a1e969e0 3055#define GEN7_FF_SCHED_MASK 0x0077070
ab57fff1 3056#define GEN8_FF_DS_REF_CNT_FFME (1 << 19)
5ee8ee86
PZ
3057#define GEN7_FF_TS_SCHED_HS1 (0x5 << 16)
3058#define GEN7_FF_TS_SCHED_HS0 (0x3 << 16)
3059#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1 << 16)
3060#define GEN7_FF_TS_SCHED_HW (0x0 << 16) /* Default */
41c0b3a8 3061#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
5ee8ee86
PZ
3062#define GEN7_FF_VS_SCHED_HS1 (0x5 << 12)
3063#define GEN7_FF_VS_SCHED_HS0 (0x3 << 12)
3064#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1 << 12) /* Default */
3065#define GEN7_FF_VS_SCHED_HW (0x0 << 12)
3066#define GEN7_FF_DS_SCHED_HS1 (0x5 << 4)
3067#define GEN7_FF_DS_SCHED_HS0 (0x3 << 4)
3068#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1 << 4) /* Default */
3069#define GEN7_FF_DS_SCHED_HW (0x0 << 4)
a1e969e0 3070
585fb111
JB
3071/*
3072 * Framebuffer compression (915+ only)
3073 */
3074
f0f59a00
VS
3075#define FBC_CFB_BASE _MMIO(0x3200) /* 4k page aligned */
3076#define FBC_LL_BASE _MMIO(0x3204) /* 4k page aligned */
3077#define FBC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3078#define FBC_CTL_EN (1 << 31)
3079#define FBC_CTL_PERIODIC (1 << 30)
585fb111 3080#define FBC_CTL_INTERVAL_SHIFT (16)
5ee8ee86
PZ
3081#define FBC_CTL_UNCOMPRESSIBLE (1 << 14)
3082#define FBC_CTL_C3_IDLE (1 << 13)
585fb111 3083#define FBC_CTL_STRIDE_SHIFT (5)
82f34496 3084#define FBC_CTL_FENCENO_SHIFT (0)
f0f59a00 3085#define FBC_COMMAND _MMIO(0x320c)
5ee8ee86 3086#define FBC_CMD_COMPRESS (1 << 0)
f0f59a00 3087#define FBC_STATUS _MMIO(0x3210)
5ee8ee86
PZ
3088#define FBC_STAT_COMPRESSING (1 << 31)
3089#define FBC_STAT_COMPRESSED (1 << 30)
3090#define FBC_STAT_MODIFIED (1 << 29)
82f34496 3091#define FBC_STAT_CURRENT_LINE_SHIFT (0)
f0f59a00 3092#define FBC_CONTROL2 _MMIO(0x3214)
5ee8ee86
PZ
3093#define FBC_CTL_FENCE_DBL (0 << 4)
3094#define FBC_CTL_IDLE_IMM (0 << 2)
3095#define FBC_CTL_IDLE_FULL (1 << 2)
3096#define FBC_CTL_IDLE_LINE (2 << 2)
3097#define FBC_CTL_IDLE_DEBUG (3 << 2)
3098#define FBC_CTL_CPU_FENCE (1 << 1)
3099#define FBC_CTL_PLANE(plane) ((plane) << 0)
f0f59a00
VS
3100#define FBC_FENCE_OFF _MMIO(0x3218) /* BSpec typo has 321Bh */
3101#define FBC_TAG(i) _MMIO(0x3300 + (i) * 4)
585fb111
JB
3102
3103#define FBC_LL_SIZE (1536)
3104
44fff99f 3105#define FBC_LLC_READ_CTRL _MMIO(0x9044)
5ee8ee86 3106#define FBC_LLC_FULLY_OPEN (1 << 30)
44fff99f 3107
74dff282 3108/* Framebuffer compression for GM45+ */
f0f59a00
VS
3109#define DPFC_CB_BASE _MMIO(0x3200)
3110#define DPFC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3111#define DPFC_CTL_EN (1 << 31)
3112#define DPFC_CTL_PLANE(plane) ((plane) << 30)
3113#define IVB_DPFC_CTL_PLANE(plane) ((plane) << 29)
3114#define DPFC_CTL_FENCE_EN (1 << 29)
3115#define IVB_DPFC_CTL_FENCE_EN (1 << 28)
3116#define DPFC_CTL_PERSISTENT_MODE (1 << 25)
3117#define DPFC_SR_EN (1 << 10)
3118#define DPFC_CTL_LIMIT_1X (0 << 6)
3119#define DPFC_CTL_LIMIT_2X (1 << 6)
3120#define DPFC_CTL_LIMIT_4X (2 << 6)
f0f59a00 3121#define DPFC_RECOMP_CTL _MMIO(0x320c)
5ee8ee86 3122#define DPFC_RECOMP_STALL_EN (1 << 27)
74dff282
JB
3123#define DPFC_RECOMP_STALL_WM_SHIFT (16)
3124#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
3125#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
3126#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
f0f59a00 3127#define DPFC_STATUS _MMIO(0x3210)
74dff282
JB
3128#define DPFC_INVAL_SEG_SHIFT (16)
3129#define DPFC_INVAL_SEG_MASK (0x07ff0000)
3130#define DPFC_COMP_SEG_SHIFT (0)
3fd5d1ec 3131#define DPFC_COMP_SEG_MASK (0x000007ff)
f0f59a00
VS
3132#define DPFC_STATUS2 _MMIO(0x3214)
3133#define DPFC_FENCE_YOFF _MMIO(0x3218)
3134#define DPFC_CHICKEN _MMIO(0x3224)
5ee8ee86 3135#define DPFC_HT_MODIFY (1 << 31)
74dff282 3136
b52eb4dc 3137/* Framebuffer compression for Ironlake */
f0f59a00
VS
3138#define ILK_DPFC_CB_BASE _MMIO(0x43200)
3139#define ILK_DPFC_CONTROL _MMIO(0x43208)
5ee8ee86 3140#define FBC_CTL_FALSE_COLOR (1 << 10)
b52eb4dc
ZY
3141/* The bit 28-8 is reserved */
3142#define DPFC_RESERVED (0x1FFFFF00)
f0f59a00
VS
3143#define ILK_DPFC_RECOMP_CTL _MMIO(0x4320c)
3144#define ILK_DPFC_STATUS _MMIO(0x43210)
3fd5d1ec
VS
3145#define ILK_DPFC_COMP_SEG_MASK 0x7ff
3146#define IVB_FBC_STATUS2 _MMIO(0x43214)
3147#define IVB_FBC_COMP_SEG_MASK 0x7ff
3148#define BDW_FBC_COMP_SEG_MASK 0xfff
f0f59a00
VS
3149#define ILK_DPFC_FENCE_YOFF _MMIO(0x43218)
3150#define ILK_DPFC_CHICKEN _MMIO(0x43224)
5ee8ee86 3151#define ILK_DPFC_DISABLE_DUMMY0 (1 << 8)
cc49abc2 3152#define ILK_DPFC_CHICKEN_COMP_DUMMY_PIXEL (1 << 14)
5ee8ee86 3153#define ILK_DPFC_NUKE_ON_ANY_MODIFICATION (1 << 23)
f0f59a00 3154#define ILK_FBC_RT_BASE _MMIO(0x2128)
5ee8ee86
PZ
3155#define ILK_FBC_RT_VALID (1 << 0)
3156#define SNB_FBC_FRONT_BUFFER (1 << 1)
b52eb4dc 3157
f0f59a00 3158#define ILK_DISPLAY_CHICKEN1 _MMIO(0x42000)
5ee8ee86
PZ
3159#define ILK_FBCQ_DIS (1 << 22)
3160#define ILK_PABSTRETCH_DIS (1 << 21)
1398261a 3161
b52eb4dc 3162
9c04f015
YL
3163/*
3164 * Framebuffer compression for Sandybridge
3165 *
3166 * The following two registers are of type GTTMMADR
3167 */
f0f59a00 3168#define SNB_DPFC_CTL_SA _MMIO(0x100100)
5ee8ee86 3169#define SNB_CPU_FENCE_ENABLE (1 << 29)
f0f59a00 3170#define DPFC_CPU_FENCE_OFFSET _MMIO(0x100104)
9c04f015 3171
abe959c7 3172/* Framebuffer compression for Ivybridge */
f0f59a00 3173#define IVB_FBC_RT_BASE _MMIO(0x7020)
abe959c7 3174
f0f59a00 3175#define IPS_CTL _MMIO(0x43408)
42db64ef 3176#define IPS_ENABLE (1 << 31)
9c04f015 3177
f0f59a00 3178#define MSG_FBC_REND_STATE _MMIO(0x50380)
5ee8ee86
PZ
3179#define FBC_REND_NUKE (1 << 2)
3180#define FBC_REND_CACHE_CLEAN (1 << 1)
fd3da6c9 3181
585fb111
JB
3182/*
3183 * GPIO regs
3184 */
dce88879
LDM
3185#define GPIO(gpio) _MMIO(dev_priv->gpio_mmio_base + 0x5010 + \
3186 4 * (gpio))
3187
585fb111
JB
3188# define GPIO_CLOCK_DIR_MASK (1 << 0)
3189# define GPIO_CLOCK_DIR_IN (0 << 1)
3190# define GPIO_CLOCK_DIR_OUT (1 << 1)
3191# define GPIO_CLOCK_VAL_MASK (1 << 2)
3192# define GPIO_CLOCK_VAL_OUT (1 << 3)
3193# define GPIO_CLOCK_VAL_IN (1 << 4)
3194# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
3195# define GPIO_DATA_DIR_MASK (1 << 8)
3196# define GPIO_DATA_DIR_IN (0 << 9)
3197# define GPIO_DATA_DIR_OUT (1 << 9)
3198# define GPIO_DATA_VAL_MASK (1 << 10)
3199# define GPIO_DATA_VAL_OUT (1 << 11)
3200# define GPIO_DATA_VAL_IN (1 << 12)
3201# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
3202
f0f59a00 3203#define GMBUS0 _MMIO(dev_priv->gpio_mmio_base + 0x5100) /* clock/port select */
5ee8ee86
PZ
3204#define GMBUS_AKSV_SELECT (1 << 11)
3205#define GMBUS_RATE_100KHZ (0 << 8)
3206#define GMBUS_RATE_50KHZ (1 << 8)
3207#define GMBUS_RATE_400KHZ (2 << 8) /* reserved on Pineview */
3208#define GMBUS_RATE_1MHZ (3 << 8) /* reserved on Pineview */
3209#define GMBUS_HOLD_EXT (1 << 7) /* 300ns hold time, rsvd on Pineview */
d5dc0f43 3210#define GMBUS_BYTE_CNT_OVERRIDE (1 << 6)
4e3f12d8 3211
f0f59a00 3212#define GMBUS1 _MMIO(dev_priv->gpio_mmio_base + 0x5104) /* command/status */
5ee8ee86
PZ
3213#define GMBUS_SW_CLR_INT (1 << 31)
3214#define GMBUS_SW_RDY (1 << 30)
3215#define GMBUS_ENT (1 << 29) /* enable timeout */
3216#define GMBUS_CYCLE_NONE (0 << 25)
3217#define GMBUS_CYCLE_WAIT (1 << 25)
3218#define GMBUS_CYCLE_INDEX (2 << 25)
3219#define GMBUS_CYCLE_STOP (4 << 25)
f899fc64 3220#define GMBUS_BYTE_COUNT_SHIFT 16
9535c475 3221#define GMBUS_BYTE_COUNT_MAX 256U
73675cf6 3222#define GEN9_GMBUS_BYTE_COUNT_MAX 511U
f899fc64
CW
3223#define GMBUS_SLAVE_INDEX_SHIFT 8
3224#define GMBUS_SLAVE_ADDR_SHIFT 1
5ee8ee86
PZ
3225#define GMBUS_SLAVE_READ (1 << 0)
3226#define GMBUS_SLAVE_WRITE (0 << 0)
f0f59a00 3227#define GMBUS2 _MMIO(dev_priv->gpio_mmio_base + 0x5108) /* status */
5ee8ee86
PZ
3228#define GMBUS_INUSE (1 << 15)
3229#define GMBUS_HW_WAIT_PHASE (1 << 14)
3230#define GMBUS_STALL_TIMEOUT (1 << 13)
3231#define GMBUS_INT (1 << 12)
3232#define GMBUS_HW_RDY (1 << 11)
3233#define GMBUS_SATOER (1 << 10)
3234#define GMBUS_ACTIVE (1 << 9)
f0f59a00
VS
3235#define GMBUS3 _MMIO(dev_priv->gpio_mmio_base + 0x510c) /* data buffer bytes 3-0 */
3236#define GMBUS4 _MMIO(dev_priv->gpio_mmio_base + 0x5110) /* interrupt mask (Pineview+) */
5ee8ee86
PZ
3237#define GMBUS_SLAVE_TIMEOUT_EN (1 << 4)
3238#define GMBUS_NAK_EN (1 << 3)
3239#define GMBUS_IDLE_EN (1 << 2)
3240#define GMBUS_HW_WAIT_EN (1 << 1)
3241#define GMBUS_HW_RDY_EN (1 << 0)
f0f59a00 3242#define GMBUS5 _MMIO(dev_priv->gpio_mmio_base + 0x5120) /* byte index */
5ee8ee86 3243#define GMBUS_2BYTE_INDEX_EN (1 << 31)
f0217c42 3244
585fb111
JB
3245/*
3246 * Clock control & power management
3247 */
ed5eb1b7
JN
3248#define _DPLL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x6014)
3249#define _DPLL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x6018)
3250#define _CHV_DPLL_C (DISPLAY_MMIO_BASE(dev_priv) + 0x6030)
f0f59a00 3251#define DPLL(pipe) _MMIO_PIPE3((pipe), _DPLL_A, _DPLL_B, _CHV_DPLL_C)
585fb111 3252
f0f59a00
VS
3253#define VGA0 _MMIO(0x6000)
3254#define VGA1 _MMIO(0x6004)
3255#define VGA_PD _MMIO(0x6010)
585fb111
JB
3256#define VGA0_PD_P2_DIV_4 (1 << 7)
3257#define VGA0_PD_P1_DIV_2 (1 << 5)
3258#define VGA0_PD_P1_SHIFT 0
3259#define VGA0_PD_P1_MASK (0x1f << 0)
3260#define VGA1_PD_P2_DIV_4 (1 << 15)
3261#define VGA1_PD_P1_DIV_2 (1 << 13)
3262#define VGA1_PD_P1_SHIFT 8
3263#define VGA1_PD_P1_MASK (0x1f << 8)
585fb111 3264#define DPLL_VCO_ENABLE (1 << 31)
4a33e48d
DV
3265#define DPLL_SDVO_HIGH_SPEED (1 << 30)
3266#define DPLL_DVO_2X_MODE (1 << 30)
25eb05fc 3267#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 3268#define DPLL_SYNCLOCK_ENABLE (1 << 29)
60bfe44f 3269#define DPLL_REF_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
3270#define DPLL_VGA_MODE_DIS (1 << 28)
3271#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
3272#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
3273#define DPLL_MODE_MASK (3 << 26)
3274#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
3275#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
3276#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
3277#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
3278#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
3279#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 3280#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
5ee8ee86
PZ
3281#define DPLL_LOCK_VLV (1 << 15)
3282#define DPLL_INTEGRATED_CRI_CLK_VLV (1 << 14)
3283#define DPLL_INTEGRATED_REF_CLK_VLV (1 << 13)
3284#define DPLL_SSC_REF_CLK_CHV (1 << 13)
598fac6b
DV
3285#define DPLL_PORTC_READY_MASK (0xf << 4)
3286#define DPLL_PORTB_READY_MASK (0xf)
585fb111 3287
585fb111 3288#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
00fc31b7
CML
3289
3290/* Additional CHV pll/phy registers */
f0f59a00 3291#define DPIO_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x6240)
00fc31b7 3292#define DPLL_PORTD_READY_MASK (0xf)
f0f59a00 3293#define DISPLAY_PHY_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x60100)
5ee8ee86 3294#define PHY_CH_POWER_DOWN_OVRD_EN(phy, ch) (1 << (2 * (phy) + (ch) + 27))
bc284542
VS
3295#define PHY_LDO_DELAY_0NS 0x0
3296#define PHY_LDO_DELAY_200NS 0x1
3297#define PHY_LDO_DELAY_600NS 0x2
5ee8ee86
PZ
3298#define PHY_LDO_SEQ_DELAY(delay, phy) ((delay) << (2 * (phy) + 23))
3299#define PHY_CH_POWER_DOWN_OVRD(mask, phy, ch) ((mask) << (8 * (phy) + 4 * (ch) + 11))
70722468
VS
3300#define PHY_CH_SU_PSR 0x1
3301#define PHY_CH_DEEP_PSR 0x7
5ee8ee86 3302#define PHY_CH_POWER_MODE(mode, phy, ch) ((mode) << (6 * (phy) + 3 * (ch) + 2))
70722468 3303#define PHY_COM_LANE_RESET_DEASSERT(phy) (1 << (phy))
f0f59a00 3304#define DISPLAY_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x60104)
5ee8ee86
PZ
3305#define PHY_POWERGOOD(phy) (((phy) == DPIO_PHY0) ? (1 << 31) : (1 << 30))
3306#define PHY_STATUS_CMN_LDO(phy, ch) (1 << (6 - (6 * (phy) + 3 * (ch))))
3307#define PHY_STATUS_SPLINE_LDO(phy, ch, spline) (1 << (8 - (6 * (phy) + 3 * (ch) + (spline))))
076ed3b2 3308
585fb111
JB
3309/*
3310 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
3311 * this field (only one bit may be set).
3312 */
3313#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
3314#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 3315#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
3316/* i830, required in DVO non-gang */
3317#define PLL_P2_DIVIDE_BY_4 (1 << 23)
3318#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
3319#define PLL_REF_INPUT_DREFCLK (0 << 13)
3320#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
3321#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
3322#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
3323#define PLL_REF_INPUT_MASK (3 << 13)
3324#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 3325/* Ironlake */
b9055052
ZW
3326# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
3327# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
5ee8ee86 3328# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x) - 1) << 9)
b9055052
ZW
3329# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
3330# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
3331
585fb111
JB
3332/*
3333 * Parallel to Serial Load Pulse phase selection.
3334 * Selects the phase for the 10X DPLL clock for the PCIe
3335 * digital display port. The range is 4 to 13; 10 or more
3336 * is just a flip delay. The default is 6
3337 */
3338#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
3339#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
3340/*
3341 * SDVO multiplier for 945G/GM. Not used on 965.
3342 */
3343#define SDVO_MULTIPLIER_MASK 0x000000ff
3344#define SDVO_MULTIPLIER_SHIFT_HIRES 4
3345#define SDVO_MULTIPLIER_SHIFT_VGA 0
a57c774a 3346
ed5eb1b7
JN
3347#define _DPLL_A_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x601c)
3348#define _DPLL_B_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x6020)
3349#define _CHV_DPLL_C_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x603c)
f0f59a00 3350#define DPLL_MD(pipe) _MMIO_PIPE3((pipe), _DPLL_A_MD, _DPLL_B_MD, _CHV_DPLL_C_MD)
a57c774a 3351
585fb111
JB
3352/*
3353 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
3354 *
3355 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
3356 */
3357#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
3358#define DPLL_MD_UDI_DIVIDER_SHIFT 24
3359/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
3360#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
3361#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
3362/*
3363 * SDVO/UDI pixel multiplier.
3364 *
3365 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
3366 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
3367 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
3368 * dummy bytes in the datastream at an increased clock rate, with both sides of
3369 * the link knowing how many bytes are fill.
3370 *
3371 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
3372 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
3373 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
3374 * through an SDVO command.
3375 *
3376 * This register field has values of multiplication factor minus 1, with
3377 * a maximum multiplier of 5 for SDVO.
3378 */
3379#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
3380#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
3381/*
3382 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
3383 * This best be set to the default value (3) or the CRT won't work. No,
3384 * I don't entirely understand what this does...
3385 */
3386#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
3387#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
25eb05fc 3388
19ab4ed3
VS
3389#define RAWCLK_FREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6024)
3390
f0f59a00
VS
3391#define _FPA0 0x6040
3392#define _FPA1 0x6044
3393#define _FPB0 0x6048
3394#define _FPB1 0x604c
3395#define FP0(pipe) _MMIO_PIPE(pipe, _FPA0, _FPB0)
3396#define FP1(pipe) _MMIO_PIPE(pipe, _FPA1, _FPB1)
585fb111 3397#define FP_N_DIV_MASK 0x003f0000
f2b115e6 3398#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
3399#define FP_N_DIV_SHIFT 16
3400#define FP_M1_DIV_MASK 0x00003f00
3401#define FP_M1_DIV_SHIFT 8
3402#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 3403#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111 3404#define FP_M2_DIV_SHIFT 0
f0f59a00 3405#define DPLL_TEST _MMIO(0x606c)
585fb111
JB
3406#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
3407#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
3408#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
3409#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
3410#define DPLLB_TEST_N_BYPASS (1 << 19)
3411#define DPLLB_TEST_M_BYPASS (1 << 18)
3412#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
3413#define DPLLA_TEST_N_BYPASS (1 << 3)
3414#define DPLLA_TEST_M_BYPASS (1 << 2)
3415#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
f0f59a00 3416#define D_STATE _MMIO(0x6104)
5ee8ee86
PZ
3417#define DSTATE_GFX_RESET_I830 (1 << 6)
3418#define DSTATE_PLL_D3_OFF (1 << 3)
3419#define DSTATE_GFX_CLOCK_GATING (1 << 1)
3420#define DSTATE_DOT_CLOCK_GATING (1 << 0)
ed5eb1b7 3421#define DSPCLK_GATE_D _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x6200)
652c393a
JB
3422# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
3423# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
3424# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
3425# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
3426# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
3427# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
3428# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
ad8059cf 3429# define PNV_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 24) /* pnv */
652c393a
JB
3430# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
3431# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
3432# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
3433# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
3434# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
3435# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
3436# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
3437# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
3438# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
3439# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
3440# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
3441# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
3442# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
3443# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
3444# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3445# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
3446# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
3447# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
3448# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
3449# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
3450# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
646b4269 3451/*
652c393a
JB
3452 * This bit must be set on the 830 to prevent hangs when turning off the
3453 * overlay scaler.
3454 */
3455# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
3456# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
3457# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
3458# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
3459# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
3460
f0f59a00 3461#define RENCLK_GATE_D1 _MMIO(0x6204)
652c393a
JB
3462# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
3463# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
3464# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
3465# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
3466# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
3467# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
3468# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
3469# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
3470# define MAG_CLOCK_GATE_DISABLE (1 << 5)
646b4269 3471/* This bit must be unset on 855,865 */
652c393a
JB
3472# define MECI_CLOCK_GATE_DISABLE (1 << 4)
3473# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
3474# define MEC_CLOCK_GATE_DISABLE (1 << 2)
3475# define MECO_CLOCK_GATE_DISABLE (1 << 1)
646b4269 3476/* This bit must be set on 855,865. */
652c393a
JB
3477# define SV_CLOCK_GATE_DISABLE (1 << 0)
3478# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
3479# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
3480# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
3481# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
3482# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
3483# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
3484# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
3485# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
3486# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
3487# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
3488# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
3489# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
3490# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
3491# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
3492# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
3493# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
3494# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
3495
3496# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
646b4269 3497/* This bit must always be set on 965G/965GM */
652c393a
JB
3498# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
3499# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
3500# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
3501# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
3502# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
3503# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
646b4269 3504/* This bit must always be set on 965G */
652c393a
JB
3505# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
3506# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
3507# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
3508# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
3509# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
3510# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
3511# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
3512# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
3513# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
3514# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
3515# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
3516# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
3517# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
3518# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
3519# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
3520# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
3521# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
3522# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
3523# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
3524
f0f59a00 3525#define RENCLK_GATE_D2 _MMIO(0x6208)
652c393a
JB
3526#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
3527#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
3528#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
fa4f53c4 3529
f0f59a00 3530#define VDECCLK_GATE_D _MMIO(0x620C) /* g4x only */
fa4f53c4
VS
3531#define VCP_UNIT_CLOCK_GATE_DISABLE (1 << 4)
3532
f0f59a00
VS
3533#define RAMCLK_GATE_D _MMIO(0x6210) /* CRL only */
3534#define DEUC _MMIO(0x6214) /* CRL only */
585fb111 3535
f0f59a00 3536#define FW_BLC_SELF_VLV _MMIO(VLV_DISPLAY_BASE + 0x6500)
5ee8ee86 3537#define FW_CSPWRDWNEN (1 << 15)
ceb04246 3538
f0f59a00 3539#define MI_ARB_VLV _MMIO(VLV_DISPLAY_BASE + 0x6504)
e0d8d59b 3540
f0f59a00 3541#define CZCLK_CDCLK_FREQ_RATIO _MMIO(VLV_DISPLAY_BASE + 0x6508)
24eb2d59
CML
3542#define CDCLK_FREQ_SHIFT 4
3543#define CDCLK_FREQ_MASK (0x1f << CDCLK_FREQ_SHIFT)
3544#define CZCLK_FREQ_MASK 0xf
1e69cd74 3545
f0f59a00 3546#define GCI_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x650C)
1e69cd74
VS
3547#define PFI_CREDIT_63 (9 << 28) /* chv only */
3548#define PFI_CREDIT_31 (8 << 28) /* chv only */
3549#define PFI_CREDIT(x) (((x) - 8) << 28) /* 8-15 */
3550#define PFI_CREDIT_RESEND (1 << 27)
3551#define VGA_FAST_MODE_DISABLE (1 << 14)
3552
f0f59a00 3553#define GMBUSFREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6510)
24eb2d59 3554
585fb111
JB
3555/*
3556 * Palette regs
3557 */
74c1e826
JN
3558#define _PALETTE_A 0xa000
3559#define _PALETTE_B 0xa800
3560#define _CHV_PALETTE_C 0xc000
ed5eb1b7 3561#define PALETTE(pipe, i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + \
74c1e826
JN
3562 _PICK((pipe), _PALETTE_A, \
3563 _PALETTE_B, _CHV_PALETTE_C) + \
3564 (i) * 4)
585fb111 3565
673a394b
EA
3566/* MCH MMIO space */
3567
3568/*
3569 * MCHBAR mirror.
3570 *
3571 * This mirrors the MCHBAR MMIO space whose location is determined by
3572 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
3573 * every way. It is not accessible from the CP register read instructions.
3574 *
515b2392
PZ
3575 * Starting from Haswell, you can't write registers using the MCHBAR mirror,
3576 * just read.
673a394b
EA
3577 */
3578#define MCHBAR_MIRROR_BASE 0x10000
3579
1398261a
YL
3580#define MCHBAR_MIRROR_BASE_SNB 0x140000
3581
f0f59a00
VS
3582#define CTG_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x34)
3583#define ELK_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x48)
7d316aec
VS
3584#define G4X_STOLEN_RESERVED_ADDR1_MASK (0xFFFF << 16)
3585#define G4X_STOLEN_RESERVED_ADDR2_MASK (0xFFF << 4)
db7fb605 3586#define G4X_STOLEN_RESERVED_ENABLE (1 << 0)
7d316aec 3587
3ebecd07 3588/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
f0f59a00 3589#define DCLK _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5e04)
3ebecd07 3590
646b4269 3591/* 915-945 and GM965 MCH register controlling DRAM channel access */
f0f59a00 3592#define DCC _MMIO(MCHBAR_MIRROR_BASE + 0x200)
673a394b
EA
3593#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
3594#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
3595#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
3596#define DCC_ADDRESSING_MODE_MASK (3 << 0)
3597#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 3598#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
f0f59a00 3599#define DCC2 _MMIO(MCHBAR_MIRROR_BASE + 0x204)
656bfa3a 3600#define DCC2_MODIFIED_ENHANCED_DISABLE (1 << 20)
673a394b 3601
646b4269 3602/* Pineview MCH register contains DDR3 setting */
f0f59a00 3603#define CSHRDDR3CTL _MMIO(MCHBAR_MIRROR_BASE + 0x1a8)
95534263
LP
3604#define CSHRDDR3CTL_DDR3 (1 << 2)
3605
646b4269 3606/* 965 MCH register controlling DRAM channel configuration */
f0f59a00
VS
3607#define C0DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x206)
3608#define C1DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x606)
673a394b 3609
646b4269 3610/* snb MCH registers for reading the DRAM channel configuration */
f0f59a00
VS
3611#define MAD_DIMM_C0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5004)
3612#define MAD_DIMM_C1 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5008)
3613#define MAD_DIMM_C2 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
f691e2f4
DV
3614#define MAD_DIMM_ECC_MASK (0x3 << 24)
3615#define MAD_DIMM_ECC_OFF (0x0 << 24)
3616#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
3617#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
3618#define MAD_DIMM_ECC_ON (0x3 << 24)
3619#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
3620#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
3621#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
3622#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
3623#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
3624#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
3625#define MAD_DIMM_A_SELECT (0x1 << 16)
3626/* DIMM sizes are in multiples of 256mb. */
3627#define MAD_DIMM_B_SIZE_SHIFT 8
3628#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
3629#define MAD_DIMM_A_SIZE_SHIFT 0
3630#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
3631
646b4269 3632/* snb MCH registers for priority tuning */
f0f59a00 3633#define MCH_SSKPD _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1d7aaa0c
DV
3634#define MCH_SSKPD_WM0_MASK 0x3f
3635#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 3636
f0f59a00 3637#define MCH_SECP_NRG_STTS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x592c)
ec013e7f 3638
b11248df 3639/* Clocking configuration register */
f0f59a00 3640#define CLKCFG _MMIO(MCHBAR_MIRROR_BASE + 0xc00)
7662c8bd 3641#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
3642#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
3643#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
3644#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
3645#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
6f38123e 3646#define CLKCFG_FSB_1067_ALT (0 << 0) /* hrawclk 266 */
b11248df 3647#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
6f38123e
VS
3648/*
3649 * Note that on at least on ELK the below value is reported for both
3650 * 333 and 400 MHz BIOS FSB setting, but given that the gmch datasheet
3651 * lists only 200/266/333 MHz FSB as supported let's decode it as 333 MHz.
3652 */
3653#define CLKCFG_FSB_1333_ALT (4 << 0) /* hrawclk 333 */
b11248df 3654#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
3655#define CLKCFG_MEM_533 (1 << 4)
3656#define CLKCFG_MEM_667 (2 << 4)
3657#define CLKCFG_MEM_800 (3 << 4)
3658#define CLKCFG_MEM_MASK (7 << 4)
3659
f0f59a00
VS
3660#define HPLLVCO _MMIO(MCHBAR_MIRROR_BASE + 0xc38)
3661#define HPLLVCO_MOBILE _MMIO(MCHBAR_MIRROR_BASE + 0xc0f)
34edce2f 3662
f0f59a00 3663#define TSC1 _MMIO(0x11001)
5ee8ee86 3664#define TSE (1 << 0)
f0f59a00
VS
3665#define TR1 _MMIO(0x11006)
3666#define TSFS _MMIO(0x11020)
7648fa99
JB
3667#define TSFS_SLOPE_MASK 0x0000ff00
3668#define TSFS_SLOPE_SHIFT 8
3669#define TSFS_INTR_MASK 0x000000ff
3670
f0f59a00
VS
3671#define CRSTANDVID _MMIO(0x11100)
3672#define PXVFREQ(fstart) _MMIO(0x11110 + (fstart) * 4) /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
f97108d1
JB
3673#define PXVFREQ_PX_MASK 0x7f000000
3674#define PXVFREQ_PX_SHIFT 24
f0f59a00
VS
3675#define VIDFREQ_BASE _MMIO(0x11110)
3676#define VIDFREQ1 _MMIO(0x11110) /* VIDFREQ1-4 (0x1111c) (Cantiga) */
3677#define VIDFREQ2 _MMIO(0x11114)
3678#define VIDFREQ3 _MMIO(0x11118)
3679#define VIDFREQ4 _MMIO(0x1111c)
f97108d1
JB
3680#define VIDFREQ_P0_MASK 0x1f000000
3681#define VIDFREQ_P0_SHIFT 24
3682#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
3683#define VIDFREQ_P0_CSCLK_SHIFT 20
3684#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
3685#define VIDFREQ_P0_CRCLK_SHIFT 16
3686#define VIDFREQ_P1_MASK 0x00001f00
3687#define VIDFREQ_P1_SHIFT 8
3688#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
3689#define VIDFREQ_P1_CSCLK_SHIFT 4
3690#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
f0f59a00
VS
3691#define INTTOEXT_BASE_ILK _MMIO(0x11300)
3692#define INTTOEXT_BASE _MMIO(0x11120) /* INTTOEXT1-8 (0x1113c) */
f97108d1
JB
3693#define INTTOEXT_MAP3_SHIFT 24
3694#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
3695#define INTTOEXT_MAP2_SHIFT 16
3696#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
3697#define INTTOEXT_MAP1_SHIFT 8
3698#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
3699#define INTTOEXT_MAP0_SHIFT 0
3700#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
f0f59a00 3701#define MEMSWCTL _MMIO(0x11170) /* Ironlake only */
f97108d1
JB
3702#define MEMCTL_CMD_MASK 0xe000
3703#define MEMCTL_CMD_SHIFT 13
3704#define MEMCTL_CMD_RCLK_OFF 0
3705#define MEMCTL_CMD_RCLK_ON 1
3706#define MEMCTL_CMD_CHFREQ 2
3707#define MEMCTL_CMD_CHVID 3
3708#define MEMCTL_CMD_VMMOFF 4
3709#define MEMCTL_CMD_VMMON 5
5ee8ee86 3710#define MEMCTL_CMD_STS (1 << 12) /* write 1 triggers command, clears
f97108d1
JB
3711 when command complete */
3712#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
3713#define MEMCTL_FREQ_SHIFT 8
5ee8ee86 3714#define MEMCTL_SFCAVM (1 << 7)
f97108d1 3715#define MEMCTL_TGT_VID_MASK 0x007f
f0f59a00
VS
3716#define MEMIHYST _MMIO(0x1117c)
3717#define MEMINTREN _MMIO(0x11180) /* 16 bits */
5ee8ee86
PZ
3718#define MEMINT_RSEXIT_EN (1 << 8)
3719#define MEMINT_CX_SUPR_EN (1 << 7)
3720#define MEMINT_CONT_BUSY_EN (1 << 6)
3721#define MEMINT_AVG_BUSY_EN (1 << 5)
3722#define MEMINT_EVAL_CHG_EN (1 << 4)
3723#define MEMINT_MON_IDLE_EN (1 << 3)
3724#define MEMINT_UP_EVAL_EN (1 << 2)
3725#define MEMINT_DOWN_EVAL_EN (1 << 1)
3726#define MEMINT_SW_CMD_EN (1 << 0)
f0f59a00 3727#define MEMINTRSTR _MMIO(0x11182) /* 16 bits */
f97108d1
JB
3728#define MEM_RSEXIT_MASK 0xc000
3729#define MEM_RSEXIT_SHIFT 14
3730#define MEM_CONT_BUSY_MASK 0x3000
3731#define MEM_CONT_BUSY_SHIFT 12
3732#define MEM_AVG_BUSY_MASK 0x0c00
3733#define MEM_AVG_BUSY_SHIFT 10
3734#define MEM_EVAL_CHG_MASK 0x0300
3735#define MEM_EVAL_BUSY_SHIFT 8
3736#define MEM_MON_IDLE_MASK 0x00c0
3737#define MEM_MON_IDLE_SHIFT 6
3738#define MEM_UP_EVAL_MASK 0x0030
3739#define MEM_UP_EVAL_SHIFT 4
3740#define MEM_DOWN_EVAL_MASK 0x000c
3741#define MEM_DOWN_EVAL_SHIFT 2
3742#define MEM_SW_CMD_MASK 0x0003
3743#define MEM_INT_STEER_GFX 0
3744#define MEM_INT_STEER_CMR 1
3745#define MEM_INT_STEER_SMI 2
3746#define MEM_INT_STEER_SCI 3
f0f59a00 3747#define MEMINTRSTS _MMIO(0x11184)
5ee8ee86
PZ
3748#define MEMINT_RSEXIT (1 << 7)
3749#define MEMINT_CONT_BUSY (1 << 6)
3750#define MEMINT_AVG_BUSY (1 << 5)
3751#define MEMINT_EVAL_CHG (1 << 4)
3752#define MEMINT_MON_IDLE (1 << 3)
3753#define MEMINT_UP_EVAL (1 << 2)
3754#define MEMINT_DOWN_EVAL (1 << 1)
3755#define MEMINT_SW_CMD (1 << 0)
f0f59a00 3756#define MEMMODECTL _MMIO(0x11190)
5ee8ee86 3757#define MEMMODE_BOOST_EN (1 << 31)
f97108d1
JB
3758#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
3759#define MEMMODE_BOOST_FREQ_SHIFT 24
3760#define MEMMODE_IDLE_MODE_MASK 0x00030000
3761#define MEMMODE_IDLE_MODE_SHIFT 16
3762#define MEMMODE_IDLE_MODE_EVAL 0
3763#define MEMMODE_IDLE_MODE_CONT 1
5ee8ee86
PZ
3764#define MEMMODE_HWIDLE_EN (1 << 15)
3765#define MEMMODE_SWMODE_EN (1 << 14)
3766#define MEMMODE_RCLK_GATE (1 << 13)
3767#define MEMMODE_HW_UPDATE (1 << 12)
f97108d1
JB
3768#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
3769#define MEMMODE_FSTART_SHIFT 8
3770#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
3771#define MEMMODE_FMAX_SHIFT 4
3772#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
f0f59a00
VS
3773#define RCBMAXAVG _MMIO(0x1119c)
3774#define MEMSWCTL2 _MMIO(0x1119e) /* Cantiga only */
f97108d1
JB
3775#define SWMEMCMD_RENDER_OFF (0 << 13)
3776#define SWMEMCMD_RENDER_ON (1 << 13)
3777#define SWMEMCMD_SWFREQ (2 << 13)
3778#define SWMEMCMD_TARVID (3 << 13)
3779#define SWMEMCMD_VRM_OFF (4 << 13)
3780#define SWMEMCMD_VRM_ON (5 << 13)
5ee8ee86
PZ
3781#define CMDSTS (1 << 12)
3782#define SFCAVM (1 << 11)
f97108d1
JB
3783#define SWFREQ_MASK 0x0380 /* P0-7 */
3784#define SWFREQ_SHIFT 7
3785#define TARVID_MASK 0x001f
f0f59a00
VS
3786#define MEMSTAT_CTG _MMIO(0x111a0)
3787#define RCBMINAVG _MMIO(0x111a0)
3788#define RCUPEI _MMIO(0x111b0)
3789#define RCDNEI _MMIO(0x111b4)
3790#define RSTDBYCTL _MMIO(0x111b8)
5ee8ee86
PZ
3791#define RS1EN (1 << 31)
3792#define RS2EN (1 << 30)
3793#define RS3EN (1 << 29)
3794#define D3RS3EN (1 << 28) /* Display D3 imlies RS3 */
3795#define SWPROMORSX (1 << 27) /* RSx promotion timers ignored */
3796#define RCWAKERW (1 << 26) /* Resetwarn from PCH causes wakeup */
3797#define DPRSLPVREN (1 << 25) /* Fast voltage ramp enable */
3798#define GFXTGHYST (1 << 24) /* Hysteresis to allow trunk gating */
3799#define RCX_SW_EXIT (1 << 23) /* Leave RSx and prevent re-entry */
3800#define RSX_STATUS_MASK (7 << 20)
3801#define RSX_STATUS_ON (0 << 20)
3802#define RSX_STATUS_RC1 (1 << 20)
3803#define RSX_STATUS_RC1E (2 << 20)
3804#define RSX_STATUS_RS1 (3 << 20)
3805#define RSX_STATUS_RS2 (4 << 20) /* aka rc6 */
3806#define RSX_STATUS_RSVD (5 << 20) /* deep rc6 unsupported on ilk */
3807#define RSX_STATUS_RS3 (6 << 20) /* rs3 unsupported on ilk */
3808#define RSX_STATUS_RSVD2 (7 << 20)
3809#define UWRCRSXE (1 << 19) /* wake counter limit prevents rsx */
3810#define RSCRP (1 << 18) /* rs requests control on rs1/2 reqs */
3811#define JRSC (1 << 17) /* rsx coupled to cpu c-state */
3812#define RS2INC0 (1 << 16) /* allow rs2 in cpu c0 */
3813#define RS1CONTSAV_MASK (3 << 14)
3814#define RS1CONTSAV_NO_RS1 (0 << 14) /* rs1 doesn't save/restore context */
3815#define RS1CONTSAV_RSVD (1 << 14)
3816#define RS1CONTSAV_SAVE_RS1 (2 << 14) /* rs1 saves context */
3817#define RS1CONTSAV_FULL_RS1 (3 << 14) /* rs1 saves and restores context */
3818#define NORMSLEXLAT_MASK (3 << 12)
3819#define SLOW_RS123 (0 << 12)
3820#define SLOW_RS23 (1 << 12)
3821#define SLOW_RS3 (2 << 12)
3822#define NORMAL_RS123 (3 << 12)
3823#define RCMODE_TIMEOUT (1 << 11) /* 0 is eval interval method */
3824#define IMPROMOEN (1 << 10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
3825#define RCENTSYNC (1 << 9) /* rs coupled to cpu c-state (3/6/7) */
3826#define STATELOCK (1 << 7) /* locked to rs_cstate if 0 */
3827#define RS_CSTATE_MASK (3 << 4)
3828#define RS_CSTATE_C367_RS1 (0 << 4)
3829#define RS_CSTATE_C36_RS1_C7_RS2 (1 << 4)
3830#define RS_CSTATE_RSVD (2 << 4)
3831#define RS_CSTATE_C367_RS2 (3 << 4)
3832#define REDSAVES (1 << 3) /* no context save if was idle during rs0 */
3833#define REDRESTORES (1 << 2) /* no restore if was idle during rs0 */
f0f59a00
VS
3834#define VIDCTL _MMIO(0x111c0)
3835#define VIDSTS _MMIO(0x111c8)
3836#define VIDSTART _MMIO(0x111cc) /* 8 bits */
3837#define MEMSTAT_ILK _MMIO(0x111f8)
f97108d1
JB
3838#define MEMSTAT_VID_MASK 0x7f00
3839#define MEMSTAT_VID_SHIFT 8
3840#define MEMSTAT_PSTATE_MASK 0x00f8
3841#define MEMSTAT_PSTATE_SHIFT 3
5ee8ee86 3842#define MEMSTAT_MON_ACTV (1 << 2)
f97108d1
JB
3843#define MEMSTAT_SRC_CTL_MASK 0x0003
3844#define MEMSTAT_SRC_CTL_CORE 0
3845#define MEMSTAT_SRC_CTL_TRB 1
3846#define MEMSTAT_SRC_CTL_THM 2
3847#define MEMSTAT_SRC_CTL_STDBY 3
f0f59a00
VS
3848#define RCPREVBSYTUPAVG _MMIO(0x113b8)
3849#define RCPREVBSYTDNAVG _MMIO(0x113bc)
3850#define PMMISC _MMIO(0x11214)
5ee8ee86 3851#define MCPPCE_EN (1 << 0) /* enable PM_MSG from PCH->MPC */
f0f59a00
VS
3852#define SDEW _MMIO(0x1124c)
3853#define CSIEW0 _MMIO(0x11250)
3854#define CSIEW1 _MMIO(0x11254)
3855#define CSIEW2 _MMIO(0x11258)
3856#define PEW(i) _MMIO(0x1125c + (i) * 4) /* 5 registers */
3857#define DEW(i) _MMIO(0x11270 + (i) * 4) /* 3 registers */
3858#define MCHAFE _MMIO(0x112c0)
3859#define CSIEC _MMIO(0x112e0)
3860#define DMIEC _MMIO(0x112e4)
3861#define DDREC _MMIO(0x112e8)
3862#define PEG0EC _MMIO(0x112ec)
3863#define PEG1EC _MMIO(0x112f0)
3864#define GFXEC _MMIO(0x112f4)
3865#define RPPREVBSYTUPAVG _MMIO(0x113b8)
3866#define RPPREVBSYTDNAVG _MMIO(0x113bc)
3867#define ECR _MMIO(0x11600)
5ee8ee86
PZ
3868#define ECR_GPFE (1 << 31)
3869#define ECR_IMONE (1 << 30)
7648fa99 3870#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
f0f59a00
VS
3871#define OGW0 _MMIO(0x11608)
3872#define OGW1 _MMIO(0x1160c)
3873#define EG0 _MMIO(0x11610)
3874#define EG1 _MMIO(0x11614)
3875#define EG2 _MMIO(0x11618)
3876#define EG3 _MMIO(0x1161c)
3877#define EG4 _MMIO(0x11620)
3878#define EG5 _MMIO(0x11624)
3879#define EG6 _MMIO(0x11628)
3880#define EG7 _MMIO(0x1162c)
3881#define PXW(i) _MMIO(0x11664 + (i) * 4) /* 4 registers */
3882#define PXWL(i) _MMIO(0x11680 + (i) * 8) /* 8 registers */
3883#define LCFUSE02 _MMIO(0x116c0)
7648fa99 3884#define LCFUSE_HIV_MASK 0x000000ff
f0f59a00
VS
3885#define CSIPLL0 _MMIO(0x12c10)
3886#define DDRMPLL1 _MMIO(0X12c20)
3887#define PEG_BAND_GAP_DATA _MMIO(0x14d68)
7d57382e 3888
f0f59a00 3889#define GEN6_GT_THREAD_STATUS_REG _MMIO(0x13805c)
c4de7b0f 3890#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
c4de7b0f 3891
f0f59a00
VS
3892#define GEN6_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5948)
3893#define BXT_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7070)
3894#define GEN6_RP_STATE_LIMITS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5994)
3895#define GEN6_RP_STATE_CAP _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5998)
3896#define BXT_RP_STATE_CAP _MMIO(0x138170)
3b8d8d91 3897
8a292d01
VS
3898/*
3899 * Make these a multiple of magic 25 to avoid SNB (eg. Dell XPS
3900 * 8300) freezing up around GPU hangs. Looks as if even
3901 * scheduling/timer interrupts start misbehaving if the RPS
3902 * EI/thresholds are "bad", leading to a very sluggish or even
3903 * frozen machine.
3904 */
3905#define INTERVAL_1_28_US(us) roundup(((us) * 100) >> 7, 25)
de43ae9d 3906#define INTERVAL_1_33_US(us) (((us) * 3) >> 2)
26148bd3 3907#define INTERVAL_0_833_US(us) (((us) * 6) / 5)
35ceabf3 3908#define GT_INTERVAL_FROM_US(dev_priv, us) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3909 (IS_GEN9_LP(dev_priv) ? \
26148bd3
AG
3910 INTERVAL_0_833_US(us) : \
3911 INTERVAL_1_33_US(us)) : \
de43ae9d
AG
3912 INTERVAL_1_28_US(us))
3913
52530cba
AG
3914#define INTERVAL_1_28_TO_US(interval) (((interval) << 7) / 100)
3915#define INTERVAL_1_33_TO_US(interval) (((interval) << 2) / 3)
3916#define INTERVAL_0_833_TO_US(interval) (((interval) * 5) / 6)
35ceabf3 3917#define GT_PM_INTERVAL_TO_US(dev_priv, interval) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3918 (IS_GEN9_LP(dev_priv) ? \
52530cba
AG
3919 INTERVAL_0_833_TO_US(interval) : \
3920 INTERVAL_1_33_TO_US(interval)) : \
3921 INTERVAL_1_28_TO_US(interval))
3922
aa40d6bb
ZN
3923/*
3924 * Logical Context regs
3925 */
baba6e57 3926#define CCID(base) _MMIO((base) + 0x180)
ec62ed3e
CW
3927#define CCID_EN BIT(0)
3928#define CCID_EXTENDED_STATE_RESTORE BIT(2)
3929#define CCID_EXTENDED_STATE_SAVE BIT(3)
e8016055
VS
3930/*
3931 * Notes on SNB/IVB/VLV context size:
3932 * - Power context is saved elsewhere (LLC or stolen)
3933 * - Ring/execlist context is saved on SNB, not on IVB
3934 * - Extended context size already includes render context size
3935 * - We always need to follow the extended context size.
3936 * SNB BSpec has comments indicating that we should use the
3937 * render context size instead if execlists are disabled, but
3938 * based on empirical testing that's just nonsense.
3939 * - Pipelined/VF state is saved on SNB/IVB respectively
3940 * - GT1 size just indicates how much of render context
3941 * doesn't need saving on GT1
3942 */
f0f59a00 3943#define CXT_SIZE _MMIO(0x21a0)
68d97538
VS
3944#define GEN6_CXT_POWER_SIZE(cxt_reg) (((cxt_reg) >> 24) & 0x3f)
3945#define GEN6_CXT_RING_SIZE(cxt_reg) (((cxt_reg) >> 18) & 0x3f)
3946#define GEN6_CXT_RENDER_SIZE(cxt_reg) (((cxt_reg) >> 12) & 0x3f)
3947#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) (((cxt_reg) >> 6) & 0x3f)
3948#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) (((cxt_reg) >> 0) & 0x3f)
e8016055 3949#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_RING_SIZE(cxt_reg) + \
fe1cc68f
BW
3950 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
3951 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
f0f59a00 3952#define GEN7_CXT_SIZE _MMIO(0x21a8)
68d97538
VS
3953#define GEN7_CXT_POWER_SIZE(ctx_reg) (((ctx_reg) >> 25) & 0x7f)
3954#define GEN7_CXT_RING_SIZE(ctx_reg) (((ctx_reg) >> 22) & 0x7)
3955#define GEN7_CXT_RENDER_SIZE(ctx_reg) (((ctx_reg) >> 16) & 0x3f)
3956#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) (((ctx_reg) >> 9) & 0x7f)
3957#define GEN7_CXT_GT1_SIZE(ctx_reg) (((ctx_reg) >> 6) & 0x7)
3958#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) (((ctx_reg) >> 0) & 0x3f)
e8016055 3959#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
4f91dd6f 3960 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
8897644a 3961
c01fc532
ZW
3962enum {
3963 INTEL_ADVANCED_CONTEXT = 0,
3964 INTEL_LEGACY_32B_CONTEXT,
3965 INTEL_ADVANCED_AD_CONTEXT,
3966 INTEL_LEGACY_64B_CONTEXT
3967};
3968
2355cf08
MK
3969enum {
3970 FAULT_AND_HANG = 0,
3971 FAULT_AND_HALT, /* Debug only */
3972 FAULT_AND_STREAM,
3973 FAULT_AND_CONTINUE /* Unsupported */
3974};
3975
5ee8ee86
PZ
3976#define GEN8_CTX_VALID (1 << 0)
3977#define GEN8_CTX_FORCE_PD_RESTORE (1 << 1)
3978#define GEN8_CTX_FORCE_RESTORE (1 << 2)
3979#define GEN8_CTX_L3LLC_COHERENT (1 << 5)
3980#define GEN8_CTX_PRIVILEGE (1 << 8)
c01fc532 3981#define GEN8_CTX_ADDRESSING_MODE_SHIFT 3
c01fc532 3982
2355cf08
MK
3983#define GEN8_CTX_ID_SHIFT 32
3984#define GEN8_CTX_ID_WIDTH 21
ac52da6a
DCS
3985#define GEN11_SW_CTX_ID_SHIFT 37
3986#define GEN11_SW_CTX_ID_WIDTH 11
3987#define GEN11_ENGINE_CLASS_SHIFT 61
3988#define GEN11_ENGINE_CLASS_WIDTH 3
3989#define GEN11_ENGINE_INSTANCE_SHIFT 48
3990#define GEN11_ENGINE_INSTANCE_WIDTH 6
c01fc532 3991
f0f59a00
VS
3992#define CHV_CLK_CTL1 _MMIO(0x101100)
3993#define VLV_CLK_CTL2 _MMIO(0x101104)
e454a05d
JB
3994#define CLK_CTL2_CZCOUNT_30NS_SHIFT 28
3995
585fb111
JB
3996/*
3997 * Overlay regs
3998 */
3999
f0f59a00
VS
4000#define OVADD _MMIO(0x30000)
4001#define DOVSTA _MMIO(0x30008)
5ee8ee86 4002#define OC_BUF (0x3 << 20)
f0f59a00
VS
4003#define OGAMC5 _MMIO(0x30010)
4004#define OGAMC4 _MMIO(0x30014)
4005#define OGAMC3 _MMIO(0x30018)
4006#define OGAMC2 _MMIO(0x3001c)
4007#define OGAMC1 _MMIO(0x30020)
4008#define OGAMC0 _MMIO(0x30024)
585fb111 4009
d965e7ac
ID
4010/*
4011 * GEN9 clock gating regs
4012 */
4013#define GEN9_CLKGATE_DIS_0 _MMIO(0x46530)
df49ec82 4014#define DARBF_GATING_DIS (1 << 27)
d965e7ac
ID
4015#define PWM2_GATING_DIS (1 << 14)
4016#define PWM1_GATING_DIS (1 << 13)
4017
6481d5ed
VS
4018#define GEN9_CLKGATE_DIS_4 _MMIO(0x4653C)
4019#define BXT_GMBUS_GATING_DIS (1 << 14)
4020
ed69cd40
ID
4021#define _CLKGATE_DIS_PSL_A 0x46520
4022#define _CLKGATE_DIS_PSL_B 0x46524
4023#define _CLKGATE_DIS_PSL_C 0x46528
c4a4efa9
VS
4024#define DUPS1_GATING_DIS (1 << 15)
4025#define DUPS2_GATING_DIS (1 << 19)
4026#define DUPS3_GATING_DIS (1 << 23)
ed69cd40
ID
4027#define DPF_GATING_DIS (1 << 10)
4028#define DPF_RAM_GATING_DIS (1 << 9)
4029#define DPFR_GATING_DIS (1 << 8)
4030
4031#define CLKGATE_DIS_PSL(pipe) \
4032 _MMIO_PIPE(pipe, _CLKGATE_DIS_PSL_A, _CLKGATE_DIS_PSL_B)
4033
90007bca
RV
4034/*
4035 * GEN10 clock gating regs
4036 */
4037#define SLICE_UNIT_LEVEL_CLKGATE _MMIO(0x94d4)
4038#define SARBUNIT_CLKGATE_DIS (1 << 5)
0a60797a 4039#define RCCUNIT_CLKGATE_DIS (1 << 7)
0a437d49 4040#define MSCUNIT_CLKGATE_DIS (1 << 10)
90007bca 4041
a4713c5a
RV
4042#define SUBSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9524)
4043#define GWUNIT_CLKGATE_DIS (1 << 16)
4044
01ab0f92
RA
4045#define UNSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9434)
4046#define VFUNIT_CLKGATE_DIS (1 << 20)
4047
5ba700c7
OM
4048#define INF_UNIT_LEVEL_CLKGATE _MMIO(0x9560)
4049#define CGPSF_CLKGATE_DIS (1 << 3)
4050
585fb111
JB
4051/*
4052 * Display engine regs
4053 */
4054
8bf1e9f1 4055/* Pipe A CRC regs */
a57c774a 4056#define _PIPE_CRC_CTL_A 0x60050
8bf1e9f1 4057#define PIPE_CRC_ENABLE (1 << 31)
207a815d
VS
4058/* skl+ source selection */
4059#define PIPE_CRC_SOURCE_PLANE_1_SKL (0 << 28)
4060#define PIPE_CRC_SOURCE_PLANE_2_SKL (2 << 28)
4061#define PIPE_CRC_SOURCE_DMUX_SKL (4 << 28)
4062#define PIPE_CRC_SOURCE_PLANE_3_SKL (6 << 28)
4063#define PIPE_CRC_SOURCE_PLANE_4_SKL (7 << 28)
4064#define PIPE_CRC_SOURCE_PLANE_5_SKL (5 << 28)
4065#define PIPE_CRC_SOURCE_PLANE_6_SKL (3 << 28)
4066#define PIPE_CRC_SOURCE_PLANE_7_SKL (1 << 28)
b4437a41 4067/* ivb+ source selection */
8bf1e9f1
SH
4068#define PIPE_CRC_SOURCE_PRIMARY_IVB (0 << 29)
4069#define PIPE_CRC_SOURCE_SPRITE_IVB (1 << 29)
4070#define PIPE_CRC_SOURCE_PF_IVB (2 << 29)
b4437a41 4071/* ilk+ source selection */
5a6b5c84
DV
4072#define PIPE_CRC_SOURCE_PRIMARY_ILK (0 << 28)
4073#define PIPE_CRC_SOURCE_SPRITE_ILK (1 << 28)
4074#define PIPE_CRC_SOURCE_PIPE_ILK (2 << 28)
4075/* embedded DP port on the north display block, reserved on ivb */
4076#define PIPE_CRC_SOURCE_PORT_A_ILK (4 << 28)
4077#define PIPE_CRC_SOURCE_FDI_ILK (5 << 28) /* reserved on ivb */
b4437a41
DV
4078/* vlv source selection */
4079#define PIPE_CRC_SOURCE_PIPE_VLV (0 << 27)
4080#define PIPE_CRC_SOURCE_HDMIB_VLV (1 << 27)
4081#define PIPE_CRC_SOURCE_HDMIC_VLV (2 << 27)
4082/* with DP port the pipe source is invalid */
4083#define PIPE_CRC_SOURCE_DP_D_VLV (3 << 27)
4084#define PIPE_CRC_SOURCE_DP_B_VLV (6 << 27)
4085#define PIPE_CRC_SOURCE_DP_C_VLV (7 << 27)
4086/* gen3+ source selection */
4087#define PIPE_CRC_SOURCE_PIPE_I9XX (0 << 28)
4088#define PIPE_CRC_SOURCE_SDVOB_I9XX (1 << 28)
4089#define PIPE_CRC_SOURCE_SDVOC_I9XX (2 << 28)
4090/* with DP/TV port the pipe source is invalid */
4091#define PIPE_CRC_SOURCE_DP_D_G4X (3 << 28)
4092#define PIPE_CRC_SOURCE_TV_PRE (4 << 28)
4093#define PIPE_CRC_SOURCE_TV_POST (5 << 28)
4094#define PIPE_CRC_SOURCE_DP_B_G4X (6 << 28)
4095#define PIPE_CRC_SOURCE_DP_C_G4X (7 << 28)
4096/* gen2 doesn't have source selection bits */
52f843f6 4097#define PIPE_CRC_INCLUDE_BORDER_I8XX (1 << 30)
b4437a41 4098
5a6b5c84
DV
4099#define _PIPE_CRC_RES_1_A_IVB 0x60064
4100#define _PIPE_CRC_RES_2_A_IVB 0x60068
4101#define _PIPE_CRC_RES_3_A_IVB 0x6006c
4102#define _PIPE_CRC_RES_4_A_IVB 0x60070
4103#define _PIPE_CRC_RES_5_A_IVB 0x60074
4104
a57c774a
AK
4105#define _PIPE_CRC_RES_RED_A 0x60060
4106#define _PIPE_CRC_RES_GREEN_A 0x60064
4107#define _PIPE_CRC_RES_BLUE_A 0x60068
4108#define _PIPE_CRC_RES_RES1_A_I915 0x6006c
4109#define _PIPE_CRC_RES_RES2_A_G4X 0x60080
8bf1e9f1
SH
4110
4111/* Pipe B CRC regs */
5a6b5c84
DV
4112#define _PIPE_CRC_RES_1_B_IVB 0x61064
4113#define _PIPE_CRC_RES_2_B_IVB 0x61068
4114#define _PIPE_CRC_RES_3_B_IVB 0x6106c
4115#define _PIPE_CRC_RES_4_B_IVB 0x61070
4116#define _PIPE_CRC_RES_5_B_IVB 0x61074
8bf1e9f1 4117
f0f59a00
VS
4118#define PIPE_CRC_CTL(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_CTL_A)
4119#define PIPE_CRC_RES_1_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_1_A_IVB)
4120#define PIPE_CRC_RES_2_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_2_A_IVB)
4121#define PIPE_CRC_RES_3_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_3_A_IVB)
4122#define PIPE_CRC_RES_4_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_4_A_IVB)
4123#define PIPE_CRC_RES_5_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_5_A_IVB)
4124
4125#define PIPE_CRC_RES_RED(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RED_A)
4126#define PIPE_CRC_RES_GREEN(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_GREEN_A)
4127#define PIPE_CRC_RES_BLUE(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_BLUE_A)
4128#define PIPE_CRC_RES_RES1_I915(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES1_A_I915)
4129#define PIPE_CRC_RES_RES2_G4X(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES2_A_G4X)
5a6b5c84 4130
585fb111 4131/* Pipe A timing regs */
a57c774a
AK
4132#define _HTOTAL_A 0x60000
4133#define _HBLANK_A 0x60004
4134#define _HSYNC_A 0x60008
4135#define _VTOTAL_A 0x6000c
4136#define _VBLANK_A 0x60010
4137#define _VSYNC_A 0x60014
4138#define _PIPEASRC 0x6001c
4139#define _BCLRPAT_A 0x60020
4140#define _VSYNCSHIFT_A 0x60028
ebb69c95 4141#define _PIPE_MULT_A 0x6002c
585fb111
JB
4142
4143/* Pipe B timing regs */
a57c774a
AK
4144#define _HTOTAL_B 0x61000
4145#define _HBLANK_B 0x61004
4146#define _HSYNC_B 0x61008
4147#define _VTOTAL_B 0x6100c
4148#define _VBLANK_B 0x61010
4149#define _VSYNC_B 0x61014
4150#define _PIPEBSRC 0x6101c
4151#define _BCLRPAT_B 0x61020
4152#define _VSYNCSHIFT_B 0x61028
ebb69c95 4153#define _PIPE_MULT_B 0x6102c
a57c774a 4154
7b56caf3
MC
4155/* DSI 0 timing regs */
4156#define _HTOTAL_DSI0 0x6b000
4157#define _HSYNC_DSI0 0x6b008
4158#define _VTOTAL_DSI0 0x6b00c
4159#define _VSYNC_DSI0 0x6b014
4160#define _VSYNCSHIFT_DSI0 0x6b028
4161
4162/* DSI 1 timing regs */
4163#define _HTOTAL_DSI1 0x6b800
4164#define _HSYNC_DSI1 0x6b808
4165#define _VTOTAL_DSI1 0x6b80c
4166#define _VSYNC_DSI1 0x6b814
4167#define _VSYNCSHIFT_DSI1 0x6b828
4168
a57c774a
AK
4169#define TRANSCODER_A_OFFSET 0x60000
4170#define TRANSCODER_B_OFFSET 0x61000
4171#define TRANSCODER_C_OFFSET 0x62000
84fd4f4e 4172#define CHV_TRANSCODER_C_OFFSET 0x63000
f1f1d4fa 4173#define TRANSCODER_D_OFFSET 0x63000
a57c774a 4174#define TRANSCODER_EDP_OFFSET 0x6f000
49edbd49
MC
4175#define TRANSCODER_DSI0_OFFSET 0x6b000
4176#define TRANSCODER_DSI1_OFFSET 0x6b800
a57c774a 4177
f0f59a00
VS
4178#define HTOTAL(trans) _MMIO_TRANS2(trans, _HTOTAL_A)
4179#define HBLANK(trans) _MMIO_TRANS2(trans, _HBLANK_A)
4180#define HSYNC(trans) _MMIO_TRANS2(trans, _HSYNC_A)
4181#define VTOTAL(trans) _MMIO_TRANS2(trans, _VTOTAL_A)
4182#define VBLANK(trans) _MMIO_TRANS2(trans, _VBLANK_A)
4183#define VSYNC(trans) _MMIO_TRANS2(trans, _VSYNC_A)
4184#define BCLRPAT(trans) _MMIO_TRANS2(trans, _BCLRPAT_A)
4185#define VSYNCSHIFT(trans) _MMIO_TRANS2(trans, _VSYNCSHIFT_A)
4186#define PIPESRC(trans) _MMIO_TRANS2(trans, _PIPEASRC)
4187#define PIPE_MULT(trans) _MMIO_TRANS2(trans, _PIPE_MULT_A)
5eddb70b 4188
4ab4fa10
JRS
4189/*
4190 * HSW+ eDP PSR registers
4191 *
4192 * HSW PSR registers are relative to DDIA(_DDI_BUF_CTL_A + 0x800) with just one
4193 * instance of it
4194 */
4195#define _HSW_EDP_PSR_BASE 0x64800
4196#define _SRD_CTL_A 0x60800
4197#define _SRD_CTL_EDP 0x6f800
4198#define _PSR_ADJ(tran, reg) (_TRANS2(tran, reg) - dev_priv->hsw_psr_mmio_adjust)
4199#define EDP_PSR_CTL(tran) _MMIO(_PSR_ADJ(tran, _SRD_CTL_A))
5ee8ee86
PZ
4200#define EDP_PSR_ENABLE (1 << 31)
4201#define BDW_PSR_SINGLE_FRAME (1 << 30)
4202#define EDP_PSR_RESTORE_PSR_ACTIVE_CTX_MASK (1 << 29) /* SW can't modify */
4203#define EDP_PSR_LINK_STANDBY (1 << 27)
4204#define EDP_PSR_MIN_LINK_ENTRY_TIME_MASK (3 << 25)
4205#define EDP_PSR_MIN_LINK_ENTRY_TIME_8_LINES (0 << 25)
4206#define EDP_PSR_MIN_LINK_ENTRY_TIME_4_LINES (1 << 25)
4207#define EDP_PSR_MIN_LINK_ENTRY_TIME_2_LINES (2 << 25)
4208#define EDP_PSR_MIN_LINK_ENTRY_TIME_0_LINES (3 << 25)
2b28bb1b 4209#define EDP_PSR_MAX_SLEEP_TIME_SHIFT 20
5ee8ee86
PZ
4210#define EDP_PSR_SKIP_AUX_EXIT (1 << 12)
4211#define EDP_PSR_TP1_TP2_SEL (0 << 11)
4212#define EDP_PSR_TP1_TP3_SEL (1 << 11)
00c8f194 4213#define EDP_PSR_CRC_ENABLE (1 << 10) /* BDW+ */
5ee8ee86
PZ
4214#define EDP_PSR_TP2_TP3_TIME_500us (0 << 8)
4215#define EDP_PSR_TP2_TP3_TIME_100us (1 << 8)
4216#define EDP_PSR_TP2_TP3_TIME_2500us (2 << 8)
4217#define EDP_PSR_TP2_TP3_TIME_0us (3 << 8)
8a9a5608 4218#define EDP_PSR_TP4_TIME_0US (3 << 6) /* ICL+ */
5ee8ee86
PZ
4219#define EDP_PSR_TP1_TIME_500us (0 << 4)
4220#define EDP_PSR_TP1_TIME_100us (1 << 4)
4221#define EDP_PSR_TP1_TIME_2500us (2 << 4)
4222#define EDP_PSR_TP1_TIME_0us (3 << 4)
2b28bb1b
RV
4223#define EDP_PSR_IDLE_FRAME_SHIFT 0
4224
fc340442
DV
4225/* Bspec claims those aren't shifted but stay at 0x64800 */
4226#define EDP_PSR_IMR _MMIO(0x64834)
4227#define EDP_PSR_IIR _MMIO(0x64838)
c0871805
ID
4228#define EDP_PSR_ERROR(shift) (1 << ((shift) + 2))
4229#define EDP_PSR_POST_EXIT(shift) (1 << ((shift) + 1))
4230#define EDP_PSR_PRE_ENTRY(shift) (1 << (shift))
4231#define EDP_PSR_TRANSCODER_C_SHIFT 24
4232#define EDP_PSR_TRANSCODER_B_SHIFT 16
4233#define EDP_PSR_TRANSCODER_A_SHIFT 8
4234#define EDP_PSR_TRANSCODER_EDP_SHIFT 0
fc340442 4235
4ab4fa10
JRS
4236#define _SRD_AUX_CTL_A 0x60810
4237#define _SRD_AUX_CTL_EDP 0x6f810
4238#define EDP_PSR_AUX_CTL(tran) _MMIO(_PSR_ADJ(tran, _SRD_AUX_CTL_A))
d544e918
DP
4239#define EDP_PSR_AUX_CTL_TIME_OUT_MASK (3 << 26)
4240#define EDP_PSR_AUX_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
4241#define EDP_PSR_AUX_CTL_PRECHARGE_2US_MASK (0xf << 16)
4242#define EDP_PSR_AUX_CTL_ERROR_INTERRUPT (1 << 11)
4243#define EDP_PSR_AUX_CTL_BIT_CLOCK_2X_MASK (0x7ff)
4244
4ab4fa10
JRS
4245#define _SRD_AUX_DATA_A 0x60814
4246#define _SRD_AUX_DATA_EDP 0x6f814
4247#define EDP_PSR_AUX_DATA(tran, i) _MMIO(_PSR_ADJ(tran, _SRD_AUX_DATA_A) + (i) + 4) /* 5 registers */
2b28bb1b 4248
4ab4fa10
JRS
4249#define _SRD_STATUS_A 0x60840
4250#define _SRD_STATUS_EDP 0x6f840
4251#define EDP_PSR_STATUS(tran) _MMIO(_PSR_ADJ(tran, _SRD_STATUS_A))
5ee8ee86 4252#define EDP_PSR_STATUS_STATE_MASK (7 << 29)
00b06296 4253#define EDP_PSR_STATUS_STATE_SHIFT 29
5ee8ee86
PZ
4254#define EDP_PSR_STATUS_STATE_IDLE (0 << 29)
4255#define EDP_PSR_STATUS_STATE_SRDONACK (1 << 29)
4256#define EDP_PSR_STATUS_STATE_SRDENT (2 << 29)
4257#define EDP_PSR_STATUS_STATE_BUFOFF (3 << 29)
4258#define EDP_PSR_STATUS_STATE_BUFON (4 << 29)
4259#define EDP_PSR_STATUS_STATE_AUXACK (5 << 29)
4260#define EDP_PSR_STATUS_STATE_SRDOFFACK (6 << 29)
4261#define EDP_PSR_STATUS_LINK_MASK (3 << 26)
4262#define EDP_PSR_STATUS_LINK_FULL_OFF (0 << 26)
4263#define EDP_PSR_STATUS_LINK_FULL_ON (1 << 26)
4264#define EDP_PSR_STATUS_LINK_STANDBY (2 << 26)
e91fd8c6
RV
4265#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_SHIFT 20
4266#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_MASK 0x1f
4267#define EDP_PSR_STATUS_COUNT_SHIFT 16
4268#define EDP_PSR_STATUS_COUNT_MASK 0xf
5ee8ee86
PZ
4269#define EDP_PSR_STATUS_AUX_ERROR (1 << 15)
4270#define EDP_PSR_STATUS_AUX_SENDING (1 << 12)
4271#define EDP_PSR_STATUS_SENDING_IDLE (1 << 9)
4272#define EDP_PSR_STATUS_SENDING_TP2_TP3 (1 << 8)
4273#define EDP_PSR_STATUS_SENDING_TP1 (1 << 4)
e91fd8c6
RV
4274#define EDP_PSR_STATUS_IDLE_MASK 0xf
4275
4ab4fa10
JRS
4276#define _SRD_PERF_CNT_A 0x60844
4277#define _SRD_PERF_CNT_EDP 0x6f844
4278#define EDP_PSR_PERF_CNT(tran) _MMIO(_PSR_ADJ(tran, _SRD_PERF_CNT_A))
e91fd8c6 4279#define EDP_PSR_PERF_CNT_MASK 0xffffff
2b28bb1b 4280
4ab4fa10
JRS
4281/* PSR_MASK on SKL+ */
4282#define _SRD_DEBUG_A 0x60860
4283#define _SRD_DEBUG_EDP 0x6f860
4284#define EDP_PSR_DEBUG(tran) _MMIO(_PSR_ADJ(tran, _SRD_DEBUG_A))
5ee8ee86
PZ
4285#define EDP_PSR_DEBUG_MASK_MAX_SLEEP (1 << 28)
4286#define EDP_PSR_DEBUG_MASK_LPSP (1 << 27)
4287#define EDP_PSR_DEBUG_MASK_MEMUP (1 << 26)
4288#define EDP_PSR_DEBUG_MASK_HPD (1 << 25)
fc6ff9dc 4289#define EDP_PSR_DEBUG_MASK_DISP_REG_WRITE (1 << 16) /* Reserved in ICL+ */
5ee8ee86 4290#define EDP_PSR_DEBUG_EXIT_ON_PIXEL_UNDERRUN (1 << 15) /* SKL+ */
2b28bb1b 4291
4ab4fa10
JRS
4292#define _PSR2_CTL_A 0x60900
4293#define _PSR2_CTL_EDP 0x6f900
4294#define EDP_PSR2_CTL(tran) _MMIO_TRANS2(tran, _PSR2_CTL_A)
5ee8ee86
PZ
4295#define EDP_PSR2_ENABLE (1 << 31)
4296#define EDP_SU_TRACK_ENABLE (1 << 30)
4297#define EDP_Y_COORDINATE_VALID (1 << 26) /* GLK and CNL+ */
4298#define EDP_Y_COORDINATE_ENABLE (1 << 25) /* GLK and CNL+ */
4299#define EDP_MAX_SU_DISABLE_TIME(t) ((t) << 20)
4300#define EDP_MAX_SU_DISABLE_TIME_MASK (0x1f << 20)
4301#define EDP_PSR2_TP2_TIME_500us (0 << 8)
4302#define EDP_PSR2_TP2_TIME_100us (1 << 8)
4303#define EDP_PSR2_TP2_TIME_2500us (2 << 8)
4304#define EDP_PSR2_TP2_TIME_50us (3 << 8)
4305#define EDP_PSR2_TP2_TIME_MASK (3 << 8)
474d1ec4 4306#define EDP_PSR2_FRAME_BEFORE_SU_SHIFT 4
5ee8ee86
PZ
4307#define EDP_PSR2_FRAME_BEFORE_SU_MASK (0xf << 4)
4308#define EDP_PSR2_FRAME_BEFORE_SU(a) ((a) << 4)
fe36181b
JRS
4309#define EDP_PSR2_IDLE_FRAME_MASK 0xf
4310#define EDP_PSR2_IDLE_FRAME_SHIFT 0
474d1ec4 4311
bc18b4df
JRS
4312#define _PSR_EVENT_TRANS_A 0x60848
4313#define _PSR_EVENT_TRANS_B 0x61848
4314#define _PSR_EVENT_TRANS_C 0x62848
4315#define _PSR_EVENT_TRANS_D 0x63848
4ab4fa10
JRS
4316#define _PSR_EVENT_TRANS_EDP 0x6f848
4317#define PSR_EVENT(tran) _MMIO_TRANS2(tran, _PSR_EVENT_TRANS_A)
bc18b4df
JRS
4318#define PSR_EVENT_PSR2_WD_TIMER_EXPIRE (1 << 17)
4319#define PSR_EVENT_PSR2_DISABLED (1 << 16)
4320#define PSR_EVENT_SU_DIRTY_FIFO_UNDERRUN (1 << 15)
4321#define PSR_EVENT_SU_CRC_FIFO_UNDERRUN (1 << 14)
4322#define PSR_EVENT_GRAPHICS_RESET (1 << 12)
4323#define PSR_EVENT_PCH_INTERRUPT (1 << 11)
4324#define PSR_EVENT_MEMORY_UP (1 << 10)
4325#define PSR_EVENT_FRONT_BUFFER_MODIFY (1 << 9)
4326#define PSR_EVENT_WD_TIMER_EXPIRE (1 << 8)
4327#define PSR_EVENT_PIPE_REGISTERS_UPDATE (1 << 6)
fc6ff9dc 4328#define PSR_EVENT_REGISTER_UPDATE (1 << 5) /* Reserved in ICL+ */
bc18b4df
JRS
4329#define PSR_EVENT_HDCP_ENABLE (1 << 4)
4330#define PSR_EVENT_KVMR_SESSION_ENABLE (1 << 3)
4331#define PSR_EVENT_VBI_ENABLE (1 << 2)
4332#define PSR_EVENT_LPSP_MODE_EXIT (1 << 1)
4333#define PSR_EVENT_PSR_DISABLE (1 << 0)
4334
4ab4fa10
JRS
4335#define _PSR2_STATUS_A 0x60940
4336#define _PSR2_STATUS_EDP 0x6f940
4337#define EDP_PSR2_STATUS(tran) _MMIO_TRANS2(tran, _PSR2_STATUS_A)
5ee8ee86 4338#define EDP_PSR2_STATUS_STATE_MASK (0xf << 28)
6ba1f9e1 4339#define EDP_PSR2_STATUS_STATE_SHIFT 28
474d1ec4 4340
4ab4fa10
JRS
4341#define _PSR2_SU_STATUS_A 0x60914
4342#define _PSR2_SU_STATUS_EDP 0x6f914
4343#define _PSR2_SU_STATUS(tran, index) _MMIO(_TRANS2(tran, _PSR2_SU_STATUS_A) + (index) * 4)
4344#define PSR2_SU_STATUS(tran, frame) (_PSR2_SU_STATUS(tran, (frame) / 3))
cc8853f5
JRS
4345#define PSR2_SU_STATUS_SHIFT(frame) (((frame) % 3) * 10)
4346#define PSR2_SU_STATUS_MASK(frame) (0x3ff << PSR2_SU_STATUS_SHIFT(frame))
4347#define PSR2_SU_STATUS_FRAMES 8
4348
585fb111 4349/* VGA port control */
f0f59a00
VS
4350#define ADPA _MMIO(0x61100)
4351#define PCH_ADPA _MMIO(0xe1100)
4352#define VLV_ADPA _MMIO(VLV_DISPLAY_BASE + 0x61100)
ebc0fd88 4353
5ee8ee86 4354#define ADPA_DAC_ENABLE (1 << 31)
585fb111 4355#define ADPA_DAC_DISABLE 0
6102a8ee 4356#define ADPA_PIPE_SEL_SHIFT 30
5ee8ee86 4357#define ADPA_PIPE_SEL_MASK (1 << 30)
6102a8ee
VS
4358#define ADPA_PIPE_SEL(pipe) ((pipe) << 30)
4359#define ADPA_PIPE_SEL_SHIFT_CPT 29
5ee8ee86 4360#define ADPA_PIPE_SEL_MASK_CPT (3 << 29)
6102a8ee 4361#define ADPA_PIPE_SEL_CPT(pipe) ((pipe) << 29)
ebc0fd88 4362#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
5ee8ee86
PZ
4363#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0 << 24)
4364#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3 << 24)
4365#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3 << 24)
4366#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2 << 24)
4367#define ADPA_CRT_HOTPLUG_ENABLE (1 << 23)
4368#define ADPA_CRT_HOTPLUG_PERIOD_64 (0 << 22)
4369#define ADPA_CRT_HOTPLUG_PERIOD_128 (1 << 22)
4370#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0 << 21)
4371#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1 << 21)
4372#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0 << 20)
4373#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1 << 20)
4374#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0 << 18)
4375#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1 << 18)
4376#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2 << 18)
4377#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3 << 18)
4378#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0 << 17)
4379#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1 << 17)
4380#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1 << 16)
4381#define ADPA_USE_VGA_HVPOLARITY (1 << 15)
585fb111 4382#define ADPA_SETS_HVPOLARITY 0
5ee8ee86 4383#define ADPA_VSYNC_CNTL_DISABLE (1 << 10)
585fb111 4384#define ADPA_VSYNC_CNTL_ENABLE 0
5ee8ee86 4385#define ADPA_HSYNC_CNTL_DISABLE (1 << 11)
585fb111 4386#define ADPA_HSYNC_CNTL_ENABLE 0
5ee8ee86 4387#define ADPA_VSYNC_ACTIVE_HIGH (1 << 4)
585fb111 4388#define ADPA_VSYNC_ACTIVE_LOW 0
5ee8ee86 4389#define ADPA_HSYNC_ACTIVE_HIGH (1 << 3)
585fb111 4390#define ADPA_HSYNC_ACTIVE_LOW 0
5ee8ee86
PZ
4391#define ADPA_DPMS_MASK (~(3 << 10))
4392#define ADPA_DPMS_ON (0 << 10)
4393#define ADPA_DPMS_SUSPEND (1 << 10)
4394#define ADPA_DPMS_STANDBY (2 << 10)
4395#define ADPA_DPMS_OFF (3 << 10)
585fb111 4396
939fe4d7 4397
585fb111 4398/* Hotplug control (945+ only) */
ed5eb1b7 4399#define PORT_HOTPLUG_EN _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61110)
26739f12
DV
4400#define PORTB_HOTPLUG_INT_EN (1 << 29)
4401#define PORTC_HOTPLUG_INT_EN (1 << 28)
4402#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
4403#define SDVOB_HOTPLUG_INT_EN (1 << 26)
4404#define SDVOC_HOTPLUG_INT_EN (1 << 25)
4405#define TV_HOTPLUG_INT_EN (1 << 18)
4406#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
4407#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
4408 PORTC_HOTPLUG_INT_EN | \
4409 PORTD_HOTPLUG_INT_EN | \
4410 SDVOC_HOTPLUG_INT_EN | \
4411 SDVOB_HOTPLUG_INT_EN | \
4412 CRT_HOTPLUG_INT_EN)
585fb111 4413#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
4414#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
4415/* must use period 64 on GM45 according to docs */
4416#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
4417#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
4418#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
4419#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
4420#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
4421#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
4422#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
4423#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
4424#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
4425#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
4426#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
4427#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 4428
ed5eb1b7 4429#define PORT_HOTPLUG_STAT _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61114)
0ce99f74 4430/*
0780cd36 4431 * HDMI/DP bits are g4x+
0ce99f74
DV
4432 *
4433 * WARNING: Bspec for hpd status bits on gen4 seems to be completely confused.
4434 * Please check the detailed lore in the commit message for for experimental
4435 * evidence.
4436 */
0780cd36
VS
4437/* Bspec says GM45 should match G4X/VLV/CHV, but reality disagrees */
4438#define PORTD_HOTPLUG_LIVE_STATUS_GM45 (1 << 29)
4439#define PORTC_HOTPLUG_LIVE_STATUS_GM45 (1 << 28)
4440#define PORTB_HOTPLUG_LIVE_STATUS_GM45 (1 << 27)
4441/* G4X/VLV/CHV DP/HDMI bits again match Bspec */
4442#define PORTD_HOTPLUG_LIVE_STATUS_G4X (1 << 27)
232a6ee9 4443#define PORTC_HOTPLUG_LIVE_STATUS_G4X (1 << 28)
0780cd36 4444#define PORTB_HOTPLUG_LIVE_STATUS_G4X (1 << 29)
26739f12 4445#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
a211b497
DV
4446#define PORTD_HOTPLUG_INT_LONG_PULSE (2 << 21)
4447#define PORTD_HOTPLUG_INT_SHORT_PULSE (1 << 21)
26739f12 4448#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
a211b497
DV
4449#define PORTC_HOTPLUG_INT_LONG_PULSE (2 << 19)
4450#define PORTC_HOTPLUG_INT_SHORT_PULSE (1 << 19)
26739f12 4451#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
a211b497
DV
4452#define PORTB_HOTPLUG_INT_LONG_PULSE (2 << 17)
4453#define PORTB_HOTPLUG_INT_SHORT_PLUSE (1 << 17)
084b612e 4454/* CRT/TV common between gen3+ */
585fb111
JB
4455#define CRT_HOTPLUG_INT_STATUS (1 << 11)
4456#define TV_HOTPLUG_INT_STATUS (1 << 10)
4457#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
4458#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
4459#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
4460#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
4aeebd74
DV
4461#define DP_AUX_CHANNEL_D_INT_STATUS_G4X (1 << 6)
4462#define DP_AUX_CHANNEL_C_INT_STATUS_G4X (1 << 5)
4463#define DP_AUX_CHANNEL_B_INT_STATUS_G4X (1 << 4)
bfbdb420
ID
4464#define DP_AUX_CHANNEL_MASK_INT_STATUS_G4X (7 << 4)
4465
084b612e
CW
4466/* SDVO is different across gen3/4 */
4467#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
4468#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
4f7fd709
DV
4469/*
4470 * Bspec seems to be seriously misleaded about the SDVO hpd bits on i965g/gm,
4471 * since reality corrobates that they're the same as on gen3. But keep these
4472 * bits here (and the comment!) to help any other lost wanderers back onto the
4473 * right tracks.
4474 */
084b612e
CW
4475#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
4476#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
4477#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
4478#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
4479#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
4480 SDVOB_HOTPLUG_INT_STATUS_G4X | \
4481 SDVOC_HOTPLUG_INT_STATUS_G4X | \
4482 PORTB_HOTPLUG_INT_STATUS | \
4483 PORTC_HOTPLUG_INT_STATUS | \
4484 PORTD_HOTPLUG_INT_STATUS)
e5868a31
EE
4485
4486#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
4487 SDVOB_HOTPLUG_INT_STATUS_I915 | \
4488 SDVOC_HOTPLUG_INT_STATUS_I915 | \
4489 PORTB_HOTPLUG_INT_STATUS | \
4490 PORTC_HOTPLUG_INT_STATUS | \
4491 PORTD_HOTPLUG_INT_STATUS)
585fb111 4492
c20cd312
PZ
4493/* SDVO and HDMI port control.
4494 * The same register may be used for SDVO or HDMI */
f0f59a00
VS
4495#define _GEN3_SDVOB 0x61140
4496#define _GEN3_SDVOC 0x61160
4497#define GEN3_SDVOB _MMIO(_GEN3_SDVOB)
4498#define GEN3_SDVOC _MMIO(_GEN3_SDVOC)
c20cd312
PZ
4499#define GEN4_HDMIB GEN3_SDVOB
4500#define GEN4_HDMIC GEN3_SDVOC
f0f59a00
VS
4501#define VLV_HDMIB _MMIO(VLV_DISPLAY_BASE + 0x61140)
4502#define VLV_HDMIC _MMIO(VLV_DISPLAY_BASE + 0x61160)
4503#define CHV_HDMID _MMIO(VLV_DISPLAY_BASE + 0x6116C)
4504#define PCH_SDVOB _MMIO(0xe1140)
c20cd312 4505#define PCH_HDMIB PCH_SDVOB
f0f59a00
VS
4506#define PCH_HDMIC _MMIO(0xe1150)
4507#define PCH_HDMID _MMIO(0xe1160)
c20cd312 4508
f0f59a00 4509#define PORT_DFT_I9XX _MMIO(0x61150)
84093603 4510#define DC_BALANCE_RESET (1 << 25)
ed5eb1b7 4511#define PORT_DFT2_G4X _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61154)
84093603 4512#define DC_BALANCE_RESET_VLV (1 << 31)
eb736679
VS
4513#define PIPE_SCRAMBLE_RESET_MASK ((1 << 14) | (0x3 << 0))
4514#define PIPE_C_SCRAMBLE_RESET (1 << 14) /* chv */
84093603
DV
4515#define PIPE_B_SCRAMBLE_RESET (1 << 1)
4516#define PIPE_A_SCRAMBLE_RESET (1 << 0)
4517
c20cd312
PZ
4518/* Gen 3 SDVO bits: */
4519#define SDVO_ENABLE (1 << 31)
76203467 4520#define SDVO_PIPE_SEL_SHIFT 30
dc0fa718 4521#define SDVO_PIPE_SEL_MASK (1 << 30)
76203467 4522#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
c20cd312
PZ
4523#define SDVO_STALL_SELECT (1 << 29)
4524#define SDVO_INTERRUPT_ENABLE (1 << 26)
646b4269 4525/*
585fb111 4526 * 915G/GM SDVO pixel multiplier.
585fb111 4527 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
4528 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
4529 */
c20cd312 4530#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 4531#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
4532#define SDVO_PHASE_SELECT_MASK (15 << 19)
4533#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
4534#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
4535#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
4536#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
4537#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
4538#define SDVO_DETECTED (1 << 2)
585fb111 4539/* Bits to be preserved when writing */
c20cd312
PZ
4540#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
4541 SDVO_INTERRUPT_ENABLE)
4542#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
4543
4544/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 4545#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
18442d08 4546#define SDVO_COLOR_FORMAT_MASK (7 << 26)
c20cd312
PZ
4547#define SDVO_ENCODING_SDVO (0 << 10)
4548#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
4549#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
4550#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 4551#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
dd6090f8 4552#define HDMI_AUDIO_ENABLE (1 << 6) /* HDMI only */
c20cd312
PZ
4553/* VSYNC/HSYNC bits new with 965, default is to be set */
4554#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
4555#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
4556
4557/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 4558#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
4559#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
4560
4561/* Gen 6 (CPT) SDVO/HDMI bits: */
76203467 4562#define SDVO_PIPE_SEL_SHIFT_CPT 29
dc0fa718 4563#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
76203467 4564#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
c20cd312 4565
44f37d1f 4566/* CHV SDVO/HDMI bits: */
76203467 4567#define SDVO_PIPE_SEL_SHIFT_CHV 24
44f37d1f 4568#define SDVO_PIPE_SEL_MASK_CHV (3 << 24)
76203467 4569#define SDVO_PIPE_SEL_CHV(pipe) ((pipe) << 24)
44f37d1f 4570
585fb111
JB
4571
4572/* DVO port control */
f0f59a00
VS
4573#define _DVOA 0x61120
4574#define DVOA _MMIO(_DVOA)
4575#define _DVOB 0x61140
4576#define DVOB _MMIO(_DVOB)
4577#define _DVOC 0x61160
4578#define DVOC _MMIO(_DVOC)
585fb111 4579#define DVO_ENABLE (1 << 31)
b45a2588
VS
4580#define DVO_PIPE_SEL_SHIFT 30
4581#define DVO_PIPE_SEL_MASK (1 << 30)
4582#define DVO_PIPE_SEL(pipe) ((pipe) << 30)
585fb111
JB
4583#define DVO_PIPE_STALL_UNUSED (0 << 28)
4584#define DVO_PIPE_STALL (1 << 28)
4585#define DVO_PIPE_STALL_TV (2 << 28)
4586#define DVO_PIPE_STALL_MASK (3 << 28)
4587#define DVO_USE_VGA_SYNC (1 << 15)
4588#define DVO_DATA_ORDER_I740 (0 << 14)
4589#define DVO_DATA_ORDER_FP (1 << 14)
4590#define DVO_VSYNC_DISABLE (1 << 11)
4591#define DVO_HSYNC_DISABLE (1 << 10)
4592#define DVO_VSYNC_TRISTATE (1 << 9)
4593#define DVO_HSYNC_TRISTATE (1 << 8)
4594#define DVO_BORDER_ENABLE (1 << 7)
4595#define DVO_DATA_ORDER_GBRG (1 << 6)
4596#define DVO_DATA_ORDER_RGGB (0 << 6)
4597#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
4598#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
4599#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
4600#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
4601#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
4602#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
4603#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
5ee8ee86 4604#define DVO_PRESERVE_MASK (0x7 << 24)
f0f59a00
VS
4605#define DVOA_SRCDIM _MMIO(0x61124)
4606#define DVOB_SRCDIM _MMIO(0x61144)
4607#define DVOC_SRCDIM _MMIO(0x61164)
585fb111
JB
4608#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
4609#define DVO_SRCDIM_VERTICAL_SHIFT 0
4610
4611/* LVDS port control */
f0f59a00 4612#define LVDS _MMIO(0x61180)
585fb111
JB
4613/*
4614 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
4615 * the DPLL semantics change when the LVDS is assigned to that pipe.
4616 */
4617#define LVDS_PORT_EN (1 << 31)
4618/* Selects pipe B for LVDS data. Must be set on pre-965. */
a44628b9
VS
4619#define LVDS_PIPE_SEL_SHIFT 30
4620#define LVDS_PIPE_SEL_MASK (1 << 30)
4621#define LVDS_PIPE_SEL(pipe) ((pipe) << 30)
4622#define LVDS_PIPE_SEL_SHIFT_CPT 29
4623#define LVDS_PIPE_SEL_MASK_CPT (3 << 29)
4624#define LVDS_PIPE_SEL_CPT(pipe) ((pipe) << 29)
898822ce
ZY
4625/* LVDS dithering flag on 965/g4x platform */
4626#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
4627/* LVDS sync polarity flags. Set to invert (i.e. negative) */
4628#define LVDS_VSYNC_POLARITY (1 << 21)
4629#define LVDS_HSYNC_POLARITY (1 << 20)
4630
a3e17eb8
ZY
4631/* Enable border for unscaled (or aspect-scaled) display */
4632#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
4633/*
4634 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
4635 * pixel.
4636 */
4637#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
4638#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
4639#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
4640/*
4641 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
4642 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
4643 * on.
4644 */
4645#define LVDS_A3_POWER_MASK (3 << 6)
4646#define LVDS_A3_POWER_DOWN (0 << 6)
4647#define LVDS_A3_POWER_UP (3 << 6)
4648/*
4649 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
4650 * is set.
4651 */
4652#define LVDS_CLKB_POWER_MASK (3 << 4)
4653#define LVDS_CLKB_POWER_DOWN (0 << 4)
4654#define LVDS_CLKB_POWER_UP (3 << 4)
4655/*
4656 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
4657 * setting for whether we are in dual-channel mode. The B3 pair will
4658 * additionally only be powered up when LVDS_A3_POWER_UP is set.
4659 */
4660#define LVDS_B0B3_POWER_MASK (3 << 2)
4661#define LVDS_B0B3_POWER_DOWN (0 << 2)
4662#define LVDS_B0B3_POWER_UP (3 << 2)
4663
3c17fe4b 4664/* Video Data Island Packet control */
f0f59a00 4665#define VIDEO_DIP_DATA _MMIO(0x61178)
fd0753cf 4666/* Read the description of VIDEO_DIP_DATA (before Haswell) or VIDEO_DIP_ECC
adf00b26
PZ
4667 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
4668 * of the infoframe structure specified by CEA-861. */
4669#define VIDEO_DIP_DATA_SIZE 32
2b28bb1b 4670#define VIDEO_DIP_VSC_DATA_SIZE 36
4c614831 4671#define VIDEO_DIP_PPS_DATA_SIZE 132
f0f59a00 4672#define VIDEO_DIP_CTL _MMIO(0x61170)
2da8af54 4673/* Pre HSW: */
3c17fe4b 4674#define VIDEO_DIP_ENABLE (1 << 31)
822cdc52 4675#define VIDEO_DIP_PORT(port) ((port) << 29)
3e6e6395 4676#define VIDEO_DIP_PORT_MASK (3 << 29)
5cb3c1a1 4677#define VIDEO_DIP_ENABLE_GCP (1 << 25) /* ilk+ */
3c17fe4b
DH
4678#define VIDEO_DIP_ENABLE_AVI (1 << 21)
4679#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
5cb3c1a1 4680#define VIDEO_DIP_ENABLE_GAMUT (4 << 21) /* ilk+ */
3c17fe4b
DH
4681#define VIDEO_DIP_ENABLE_SPD (8 << 21)
4682#define VIDEO_DIP_SELECT_AVI (0 << 19)
4683#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
5cb3c1a1 4684#define VIDEO_DIP_SELECT_GAMUT (2 << 19)
3c17fe4b 4685#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 4686#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
4687#define VIDEO_DIP_FREQ_ONCE (0 << 16)
4688#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
4689#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 4690#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 4691/* HSW and later: */
44b42ebf 4692#define VIDEO_DIP_ENABLE_DRM_GLK (1 << 28)
a670be33
DP
4693#define PSR_VSC_BIT_7_SET (1 << 27)
4694#define VSC_SELECT_MASK (0x3 << 25)
4695#define VSC_SELECT_SHIFT 25
4696#define VSC_DIP_HW_HEA_DATA (0 << 25)
4697#define VSC_DIP_HW_HEA_SW_DATA (1 << 25)
4698#define VSC_DIP_HW_DATA_SW_HEA (2 << 25)
4699#define VSC_DIP_SW_HEA_DATA (3 << 25)
4700#define VDIP_ENABLE_PPS (1 << 24)
0dd87d20
PZ
4701#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
4702#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 4703#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
4704#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
4705#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 4706#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 4707
585fb111 4708/* Panel power sequencing */
44cb734c
ID
4709#define PPS_BASE 0x61200
4710#define VLV_PPS_BASE (VLV_DISPLAY_BASE + PPS_BASE)
4711#define PCH_PPS_BASE 0xC7200
4712
4713#define _MMIO_PPS(pps_idx, reg) _MMIO(dev_priv->pps_mmio_base - \
4714 PPS_BASE + (reg) + \
4715 (pps_idx) * 0x100)
4716
4717#define _PP_STATUS 0x61200
4718#define PP_STATUS(pps_idx) _MMIO_PPS(pps_idx, _PP_STATUS)
09b434d4 4719#define PP_ON REG_BIT(31)
f4ff2120
MC
4720
4721#define _PP_CONTROL_1 0xc7204
4722#define _PP_CONTROL_2 0xc7304
4723#define ICP_PP_CONTROL(x) _MMIO(((x) == 1) ? _PP_CONTROL_1 : \
4724 _PP_CONTROL_2)
09b434d4 4725#define POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4726#define VDD_OVERRIDE_FORCE REG_BIT(3)
4727#define BACKLIGHT_ENABLE REG_BIT(2)
4728#define PWR_DOWN_ON_RESET REG_BIT(1)
4729#define PWR_STATE_TARGET REG_BIT(0)
585fb111
JB
4730/*
4731 * Indicates that all dependencies of the panel are on:
4732 *
4733 * - PLL enabled
4734 * - pipe enabled
4735 * - LVDS/DVOB/DVOC on
4736 */
09b434d4
JN
4737#define PP_READY REG_BIT(30)
4738#define PP_SEQUENCE_MASK REG_GENMASK(29, 28)
baa09e7d
JN
4739#define PP_SEQUENCE_NONE REG_FIELD_PREP(PP_SEQUENCE_MASK, 0)
4740#define PP_SEQUENCE_POWER_UP REG_FIELD_PREP(PP_SEQUENCE_MASK, 1)
4741#define PP_SEQUENCE_POWER_DOWN REG_FIELD_PREP(PP_SEQUENCE_MASK, 2)
09b434d4
JN
4742#define PP_CYCLE_DELAY_ACTIVE REG_BIT(27)
4743#define PP_SEQUENCE_STATE_MASK REG_GENMASK(3, 0)
baa09e7d
JN
4744#define PP_SEQUENCE_STATE_OFF_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x0)
4745#define PP_SEQUENCE_STATE_OFF_S0_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x1)
4746#define PP_SEQUENCE_STATE_OFF_S0_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x2)
4747#define PP_SEQUENCE_STATE_OFF_S0_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x3)
4748#define PP_SEQUENCE_STATE_ON_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x8)
4749#define PP_SEQUENCE_STATE_ON_S1_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x9)
4750#define PP_SEQUENCE_STATE_ON_S1_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xa)
4751#define PP_SEQUENCE_STATE_ON_S1_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xb)
4752#define PP_SEQUENCE_STATE_RESET REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xf)
44cb734c
ID
4753
4754#define _PP_CONTROL 0x61204
4755#define PP_CONTROL(pps_idx) _MMIO_PPS(pps_idx, _PP_CONTROL)
09b434d4 4756#define PANEL_UNLOCK_MASK REG_GENMASK(31, 16)
baa09e7d 4757#define PANEL_UNLOCK_REGS REG_FIELD_PREP(PANEL_UNLOCK_MASK, 0xabcd)
09b434d4 4758#define BXT_POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4759#define EDP_FORCE_VDD REG_BIT(3)
4760#define EDP_BLC_ENABLE REG_BIT(2)
4761#define PANEL_POWER_RESET REG_BIT(1)
4762#define PANEL_POWER_ON REG_BIT(0)
44cb734c
ID
4763
4764#define _PP_ON_DELAYS 0x61208
4765#define PP_ON_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_ON_DELAYS)
09b434d4 4766#define PANEL_PORT_SELECT_MASK REG_GENMASK(31, 30)
baa09e7d
JN
4767#define PANEL_PORT_SELECT_LVDS REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 0)
4768#define PANEL_PORT_SELECT_DPA REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 1)
4769#define PANEL_PORT_SELECT_DPC REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 2)
4770#define PANEL_PORT_SELECT_DPD REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 3)
4771#define PANEL_PORT_SELECT_VLV(port) REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, port)
09b434d4 4772#define PANEL_POWER_UP_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4773#define PANEL_LIGHT_ON_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4774
4775#define _PP_OFF_DELAYS 0x6120C
4776#define PP_OFF_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_OFF_DELAYS)
09b434d4 4777#define PANEL_POWER_DOWN_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4778#define PANEL_LIGHT_OFF_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4779
4780#define _PP_DIVISOR 0x61210
4781#define PP_DIVISOR(pps_idx) _MMIO_PPS(pps_idx, _PP_DIVISOR)
09b434d4 4782#define PP_REFERENCE_DIVIDER_MASK REG_GENMASK(31, 8)
09b434d4 4783#define PANEL_POWER_CYCLE_DELAY_MASK REG_GENMASK(4, 0)
585fb111
JB
4784
4785/* Panel fitting */
ed5eb1b7 4786#define PFIT_CONTROL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61230)
585fb111
JB
4787#define PFIT_ENABLE (1 << 31)
4788#define PFIT_PIPE_MASK (3 << 29)
4789#define PFIT_PIPE_SHIFT 29
4790#define VERT_INTERP_DISABLE (0 << 10)
4791#define VERT_INTERP_BILINEAR (1 << 10)
4792#define VERT_INTERP_MASK (3 << 10)
4793#define VERT_AUTO_SCALE (1 << 9)
4794#define HORIZ_INTERP_DISABLE (0 << 6)
4795#define HORIZ_INTERP_BILINEAR (1 << 6)
4796#define HORIZ_INTERP_MASK (3 << 6)
4797#define HORIZ_AUTO_SCALE (1 << 5)
4798#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
4799#define PFIT_FILTER_FUZZY (0 << 24)
4800#define PFIT_SCALING_AUTO (0 << 26)
4801#define PFIT_SCALING_PROGRAMMED (1 << 26)
4802#define PFIT_SCALING_PILLAR (2 << 26)
4803#define PFIT_SCALING_LETTER (3 << 26)
ed5eb1b7 4804#define PFIT_PGM_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61234)
3fbe18d6
ZY
4805/* Pre-965 */
4806#define PFIT_VERT_SCALE_SHIFT 20
4807#define PFIT_VERT_SCALE_MASK 0xfff00000
4808#define PFIT_HORIZ_SCALE_SHIFT 4
4809#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
4810/* 965+ */
4811#define PFIT_VERT_SCALE_SHIFT_965 16
4812#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
4813#define PFIT_HORIZ_SCALE_SHIFT_965 0
4814#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
4815
ed5eb1b7 4816#define PFIT_AUTO_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61238)
585fb111 4817
ed5eb1b7
JN
4818#define _VLV_BLC_PWM_CTL2_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61250)
4819#define _VLV_BLC_PWM_CTL2_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61350)
f0f59a00
VS
4820#define VLV_BLC_PWM_CTL2(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL2_A, \
4821 _VLV_BLC_PWM_CTL2_B)
07bf139b 4822
ed5eb1b7
JN
4823#define _VLV_BLC_PWM_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
4824#define _VLV_BLC_PWM_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61354)
f0f59a00
VS
4825#define VLV_BLC_PWM_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL_A, \
4826 _VLV_BLC_PWM_CTL_B)
07bf139b 4827
ed5eb1b7
JN
4828#define _VLV_BLC_HIST_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
4829#define _VLV_BLC_HIST_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61360)
f0f59a00
VS
4830#define VLV_BLC_HIST_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_HIST_CTL_A, \
4831 _VLV_BLC_HIST_CTL_B)
07bf139b 4832
585fb111 4833/* Backlight control */
ed5eb1b7 4834#define BLC_PWM_CTL2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61250) /* 965+ only */
7cf41601
DV
4835#define BLM_PWM_ENABLE (1 << 31)
4836#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
4837#define BLM_PIPE_SELECT (1 << 29)
4838#define BLM_PIPE_SELECT_IVB (3 << 29)
4839#define BLM_PIPE_A (0 << 29)
4840#define BLM_PIPE_B (1 << 29)
4841#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
4842#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
4843#define BLM_TRANSCODER_B BLM_PIPE_B
4844#define BLM_TRANSCODER_C BLM_PIPE_C
4845#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
4846#define BLM_PIPE(pipe) ((pipe) << 29)
4847#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
4848#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
4849#define BLM_PHASE_IN_ENABLE (1 << 25)
4850#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
4851#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
4852#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
4853#define BLM_PHASE_IN_COUNT_SHIFT (8)
4854#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
4855#define BLM_PHASE_IN_INCR_SHIFT (0)
4856#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
ed5eb1b7 4857#define BLC_PWM_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
ba3820ad
TI
4858/*
4859 * This is the most significant 15 bits of the number of backlight cycles in a
4860 * complete cycle of the modulated backlight control.
4861 *
4862 * The actual value is this field multiplied by two.
4863 */
7cf41601
DV
4864#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
4865#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
4866#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
4867/*
4868 * This is the number of cycles out of the backlight modulation cycle for which
4869 * the backlight is on.
4870 *
4871 * This field must be no greater than the number of cycles in the complete
4872 * backlight modulation cycle.
4873 */
4874#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
4875#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
4876#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
4877#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 4878
ed5eb1b7 4879#define BLC_HIST_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
2059ac3b 4880#define BLM_HISTOGRAM_ENABLE (1 << 31)
0eb96d6e 4881
7cf41601
DV
4882/* New registers for PCH-split platforms. Safe where new bits show up, the
4883 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
f0f59a00
VS
4884#define BLC_PWM_CPU_CTL2 _MMIO(0x48250)
4885#define BLC_PWM_CPU_CTL _MMIO(0x48254)
7cf41601 4886
f0f59a00 4887#define HSW_BLC_PWM2_CTL _MMIO(0x48350)
be256dc7 4888
7cf41601
DV
4889/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
4890 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
f0f59a00 4891#define BLC_PWM_PCH_CTL1 _MMIO(0xc8250)
4b4147c3 4892#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
4893#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
4894#define BLM_PCH_POLARITY (1 << 29)
f0f59a00 4895#define BLC_PWM_PCH_CTL2 _MMIO(0xc8254)
7cf41601 4896
f0f59a00 4897#define UTIL_PIN_CTL _MMIO(0x48400)
be256dc7
PZ
4898#define UTIL_PIN_ENABLE (1 << 31)
4899
022e4e52
SK
4900#define UTIL_PIN_PIPE(x) ((x) << 29)
4901#define UTIL_PIN_PIPE_MASK (3 << 29)
4902#define UTIL_PIN_MODE_PWM (1 << 24)
4903#define UTIL_PIN_MODE_MASK (0xf << 24)
4904#define UTIL_PIN_POLARITY (1 << 22)
4905
0fb890c0 4906/* BXT backlight register definition. */
022e4e52 4907#define _BXT_BLC_PWM_CTL1 0xC8250
0fb890c0
VK
4908#define BXT_BLC_PWM_ENABLE (1 << 31)
4909#define BXT_BLC_PWM_POLARITY (1 << 29)
022e4e52
SK
4910#define _BXT_BLC_PWM_FREQ1 0xC8254
4911#define _BXT_BLC_PWM_DUTY1 0xC8258
4912
4913#define _BXT_BLC_PWM_CTL2 0xC8350
4914#define _BXT_BLC_PWM_FREQ2 0xC8354
4915#define _BXT_BLC_PWM_DUTY2 0xC8358
4916
f0f59a00 4917#define BXT_BLC_PWM_CTL(controller) _MMIO_PIPE(controller, \
022e4e52 4918 _BXT_BLC_PWM_CTL1, _BXT_BLC_PWM_CTL2)
f0f59a00 4919#define BXT_BLC_PWM_FREQ(controller) _MMIO_PIPE(controller, \
022e4e52 4920 _BXT_BLC_PWM_FREQ1, _BXT_BLC_PWM_FREQ2)
f0f59a00 4921#define BXT_BLC_PWM_DUTY(controller) _MMIO_PIPE(controller, \
022e4e52 4922 _BXT_BLC_PWM_DUTY1, _BXT_BLC_PWM_DUTY2)
0fb890c0 4923
f0f59a00 4924#define PCH_GTC_CTL _MMIO(0xe7000)
be256dc7
PZ
4925#define PCH_GTC_ENABLE (1 << 31)
4926
585fb111 4927/* TV port control */
f0f59a00 4928#define TV_CTL _MMIO(0x68000)
646b4269 4929/* Enables the TV encoder */
585fb111 4930# define TV_ENC_ENABLE (1 << 31)
646b4269 4931/* Sources the TV encoder input from pipe B instead of A. */
4add0f6b
VS
4932# define TV_ENC_PIPE_SEL_SHIFT 30
4933# define TV_ENC_PIPE_SEL_MASK (1 << 30)
4934# define TV_ENC_PIPE_SEL(pipe) ((pipe) << 30)
646b4269 4935/* Outputs composite video (DAC A only) */
585fb111 4936# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
646b4269 4937/* Outputs SVideo video (DAC B/C) */
585fb111 4938# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
646b4269 4939/* Outputs Component video (DAC A/B/C) */
585fb111 4940# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
646b4269 4941/* Outputs Composite and SVideo (DAC A/B/C) */
585fb111
JB
4942# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
4943# define TV_TRILEVEL_SYNC (1 << 21)
646b4269 4944/* Enables slow sync generation (945GM only) */
585fb111 4945# define TV_SLOW_SYNC (1 << 20)
646b4269 4946/* Selects 4x oversampling for 480i and 576p */
585fb111 4947# define TV_OVERSAMPLE_4X (0 << 18)
646b4269 4948/* Selects 2x oversampling for 720p and 1080i */
585fb111 4949# define TV_OVERSAMPLE_2X (1 << 18)
646b4269 4950/* Selects no oversampling for 1080p */
585fb111 4951# define TV_OVERSAMPLE_NONE (2 << 18)
646b4269 4952/* Selects 8x oversampling */
585fb111 4953# define TV_OVERSAMPLE_8X (3 << 18)
e3bb355c 4954# define TV_OVERSAMPLE_MASK (3 << 18)
646b4269 4955/* Selects progressive mode rather than interlaced */
585fb111 4956# define TV_PROGRESSIVE (1 << 17)
646b4269 4957/* Sets the colorburst to PAL mode. Required for non-M PAL modes. */
585fb111 4958# define TV_PAL_BURST (1 << 16)
646b4269 4959/* Field for setting delay of Y compared to C */
585fb111 4960# define TV_YC_SKEW_MASK (7 << 12)
646b4269 4961/* Enables a fix for 480p/576p standard definition modes on the 915GM only */
585fb111 4962# define TV_ENC_SDP_FIX (1 << 11)
646b4269 4963/*
585fb111
JB
4964 * Enables a fix for the 915GM only.
4965 *
4966 * Not sure what it does.
4967 */
4968# define TV_ENC_C0_FIX (1 << 10)
646b4269 4969/* Bits that must be preserved by software */
d2d9f232 4970# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111 4971# define TV_FUSE_STATE_MASK (3 << 4)
646b4269 4972/* Read-only state that reports all features enabled */
585fb111 4973# define TV_FUSE_STATE_ENABLED (0 << 4)
646b4269 4974/* Read-only state that reports that Macrovision is disabled in hardware*/
585fb111 4975# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
646b4269 4976/* Read-only state that reports that TV-out is disabled in hardware. */
585fb111 4977# define TV_FUSE_STATE_DISABLED (2 << 4)
646b4269 4978/* Normal operation */
585fb111 4979# define TV_TEST_MODE_NORMAL (0 << 0)
646b4269 4980/* Encoder test pattern 1 - combo pattern */
585fb111 4981# define TV_TEST_MODE_PATTERN_1 (1 << 0)
646b4269 4982/* Encoder test pattern 2 - full screen vertical 75% color bars */
585fb111 4983# define TV_TEST_MODE_PATTERN_2 (2 << 0)
646b4269 4984/* Encoder test pattern 3 - full screen horizontal 75% color bars */
585fb111 4985# define TV_TEST_MODE_PATTERN_3 (3 << 0)
646b4269 4986/* Encoder test pattern 4 - random noise */
585fb111 4987# define TV_TEST_MODE_PATTERN_4 (4 << 0)
646b4269 4988/* Encoder test pattern 5 - linear color ramps */
585fb111 4989# define TV_TEST_MODE_PATTERN_5 (5 << 0)
646b4269 4990/*
585fb111
JB
4991 * This test mode forces the DACs to 50% of full output.
4992 *
4993 * This is used for load detection in combination with TVDAC_SENSE_MASK
4994 */
4995# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
4996# define TV_TEST_MODE_MASK (7 << 0)
4997
f0f59a00 4998#define TV_DAC _MMIO(0x68004)
b8ed2a4f 4999# define TV_DAC_SAVE 0x00ffff00
646b4269 5000/*
585fb111
JB
5001 * Reports that DAC state change logic has reported change (RO).
5002 *
5003 * This gets cleared when TV_DAC_STATE_EN is cleared
5004*/
5005# define TVDAC_STATE_CHG (1 << 31)
5006# define TVDAC_SENSE_MASK (7 << 28)
646b4269 5007/* Reports that DAC A voltage is above the detect threshold */
585fb111 5008# define TVDAC_A_SENSE (1 << 30)
646b4269 5009/* Reports that DAC B voltage is above the detect threshold */
585fb111 5010# define TVDAC_B_SENSE (1 << 29)
646b4269 5011/* Reports that DAC C voltage is above the detect threshold */
585fb111 5012# define TVDAC_C_SENSE (1 << 28)
646b4269 5013/*
585fb111
JB
5014 * Enables DAC state detection logic, for load-based TV detection.
5015 *
5016 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
5017 * to off, for load detection to work.
5018 */
5019# define TVDAC_STATE_CHG_EN (1 << 27)
646b4269 5020/* Sets the DAC A sense value to high */
585fb111 5021# define TVDAC_A_SENSE_CTL (1 << 26)
646b4269 5022/* Sets the DAC B sense value to high */
585fb111 5023# define TVDAC_B_SENSE_CTL (1 << 25)
646b4269 5024/* Sets the DAC C sense value to high */
585fb111 5025# define TVDAC_C_SENSE_CTL (1 << 24)
646b4269 5026/* Overrides the ENC_ENABLE and DAC voltage levels */
585fb111 5027# define DAC_CTL_OVERRIDE (1 << 7)
646b4269 5028/* Sets the slew rate. Must be preserved in software */
585fb111
JB
5029# define ENC_TVDAC_SLEW_FAST (1 << 6)
5030# define DAC_A_1_3_V (0 << 4)
5031# define DAC_A_1_1_V (1 << 4)
5032# define DAC_A_0_7_V (2 << 4)
cb66c692 5033# define DAC_A_MASK (3 << 4)
585fb111
JB
5034# define DAC_B_1_3_V (0 << 2)
5035# define DAC_B_1_1_V (1 << 2)
5036# define DAC_B_0_7_V (2 << 2)
cb66c692 5037# define DAC_B_MASK (3 << 2)
585fb111
JB
5038# define DAC_C_1_3_V (0 << 0)
5039# define DAC_C_1_1_V (1 << 0)
5040# define DAC_C_0_7_V (2 << 0)
cb66c692 5041# define DAC_C_MASK (3 << 0)
585fb111 5042
646b4269 5043/*
585fb111
JB
5044 * CSC coefficients are stored in a floating point format with 9 bits of
5045 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
5046 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
5047 * -1 (0x3) being the only legal negative value.
5048 */
f0f59a00 5049#define TV_CSC_Y _MMIO(0x68010)
585fb111
JB
5050# define TV_RY_MASK 0x07ff0000
5051# define TV_RY_SHIFT 16
5052# define TV_GY_MASK 0x00000fff
5053# define TV_GY_SHIFT 0
5054
f0f59a00 5055#define TV_CSC_Y2 _MMIO(0x68014)
585fb111
JB
5056# define TV_BY_MASK 0x07ff0000
5057# define TV_BY_SHIFT 16
646b4269 5058/*
585fb111
JB
5059 * Y attenuation for component video.
5060 *
5061 * Stored in 1.9 fixed point.
5062 */
5063# define TV_AY_MASK 0x000003ff
5064# define TV_AY_SHIFT 0
5065
f0f59a00 5066#define TV_CSC_U _MMIO(0x68018)
585fb111
JB
5067# define TV_RU_MASK 0x07ff0000
5068# define TV_RU_SHIFT 16
5069# define TV_GU_MASK 0x000007ff
5070# define TV_GU_SHIFT 0
5071
f0f59a00 5072#define TV_CSC_U2 _MMIO(0x6801c)
585fb111
JB
5073# define TV_BU_MASK 0x07ff0000
5074# define TV_BU_SHIFT 16
646b4269 5075/*
585fb111
JB
5076 * U attenuation for component video.
5077 *
5078 * Stored in 1.9 fixed point.
5079 */
5080# define TV_AU_MASK 0x000003ff
5081# define TV_AU_SHIFT 0
5082
f0f59a00 5083#define TV_CSC_V _MMIO(0x68020)
585fb111
JB
5084# define TV_RV_MASK 0x0fff0000
5085# define TV_RV_SHIFT 16
5086# define TV_GV_MASK 0x000007ff
5087# define TV_GV_SHIFT 0
5088
f0f59a00 5089#define TV_CSC_V2 _MMIO(0x68024)
585fb111
JB
5090# define TV_BV_MASK 0x07ff0000
5091# define TV_BV_SHIFT 16
646b4269 5092/*
585fb111
JB
5093 * V attenuation for component video.
5094 *
5095 * Stored in 1.9 fixed point.
5096 */
5097# define TV_AV_MASK 0x000007ff
5098# define TV_AV_SHIFT 0
5099
f0f59a00 5100#define TV_CLR_KNOBS _MMIO(0x68028)
646b4269 5101/* 2s-complement brightness adjustment */
585fb111
JB
5102# define TV_BRIGHTNESS_MASK 0xff000000
5103# define TV_BRIGHTNESS_SHIFT 24
646b4269 5104/* Contrast adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5105# define TV_CONTRAST_MASK 0x00ff0000
5106# define TV_CONTRAST_SHIFT 16
646b4269 5107/* Saturation adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5108# define TV_SATURATION_MASK 0x0000ff00
5109# define TV_SATURATION_SHIFT 8
646b4269 5110/* Hue adjustment, as an integer phase angle in degrees */
585fb111
JB
5111# define TV_HUE_MASK 0x000000ff
5112# define TV_HUE_SHIFT 0
5113
f0f59a00 5114#define TV_CLR_LEVEL _MMIO(0x6802c)
646b4269 5115/* Controls the DAC level for black */
585fb111
JB
5116# define TV_BLACK_LEVEL_MASK 0x01ff0000
5117# define TV_BLACK_LEVEL_SHIFT 16
646b4269 5118/* Controls the DAC level for blanking */
585fb111
JB
5119# define TV_BLANK_LEVEL_MASK 0x000001ff
5120# define TV_BLANK_LEVEL_SHIFT 0
5121
f0f59a00 5122#define TV_H_CTL_1 _MMIO(0x68030)
646b4269 5123/* Number of pixels in the hsync. */
585fb111
JB
5124# define TV_HSYNC_END_MASK 0x1fff0000
5125# define TV_HSYNC_END_SHIFT 16
646b4269 5126/* Total number of pixels minus one in the line (display and blanking). */
585fb111
JB
5127# define TV_HTOTAL_MASK 0x00001fff
5128# define TV_HTOTAL_SHIFT 0
5129
f0f59a00 5130#define TV_H_CTL_2 _MMIO(0x68034)
646b4269 5131/* Enables the colorburst (needed for non-component color) */
585fb111 5132# define TV_BURST_ENA (1 << 31)
646b4269 5133/* Offset of the colorburst from the start of hsync, in pixels minus one. */
585fb111
JB
5134# define TV_HBURST_START_SHIFT 16
5135# define TV_HBURST_START_MASK 0x1fff0000
646b4269 5136/* Length of the colorburst */
585fb111
JB
5137# define TV_HBURST_LEN_SHIFT 0
5138# define TV_HBURST_LEN_MASK 0x0001fff
5139
f0f59a00 5140#define TV_H_CTL_3 _MMIO(0x68038)
646b4269 5141/* End of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5142# define TV_HBLANK_END_SHIFT 16
5143# define TV_HBLANK_END_MASK 0x1fff0000
646b4269 5144/* Start of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5145# define TV_HBLANK_START_SHIFT 0
5146# define TV_HBLANK_START_MASK 0x0001fff
5147
f0f59a00 5148#define TV_V_CTL_1 _MMIO(0x6803c)
646b4269 5149/* XXX */
585fb111
JB
5150# define TV_NBR_END_SHIFT 16
5151# define TV_NBR_END_MASK 0x07ff0000
646b4269 5152/* XXX */
585fb111
JB
5153# define TV_VI_END_F1_SHIFT 8
5154# define TV_VI_END_F1_MASK 0x00003f00
646b4269 5155/* XXX */
585fb111
JB
5156# define TV_VI_END_F2_SHIFT 0
5157# define TV_VI_END_F2_MASK 0x0000003f
5158
f0f59a00 5159#define TV_V_CTL_2 _MMIO(0x68040)
646b4269 5160/* Length of vsync, in half lines */
585fb111
JB
5161# define TV_VSYNC_LEN_MASK 0x07ff0000
5162# define TV_VSYNC_LEN_SHIFT 16
646b4269 5163/* Offset of the start of vsync in field 1, measured in one less than the
585fb111
JB
5164 * number of half lines.
5165 */
5166# define TV_VSYNC_START_F1_MASK 0x00007f00
5167# define TV_VSYNC_START_F1_SHIFT 8
646b4269 5168/*
585fb111
JB
5169 * Offset of the start of vsync in field 2, measured in one less than the
5170 * number of half lines.
5171 */
5172# define TV_VSYNC_START_F2_MASK 0x0000007f
5173# define TV_VSYNC_START_F2_SHIFT 0
5174
f0f59a00 5175#define TV_V_CTL_3 _MMIO(0x68044)
646b4269 5176/* Enables generation of the equalization signal */
585fb111 5177# define TV_EQUAL_ENA (1 << 31)
646b4269 5178/* Length of vsync, in half lines */
585fb111
JB
5179# define TV_VEQ_LEN_MASK 0x007f0000
5180# define TV_VEQ_LEN_SHIFT 16
646b4269 5181/* Offset of the start of equalization in field 1, measured in one less than
585fb111
JB
5182 * the number of half lines.
5183 */
5184# define TV_VEQ_START_F1_MASK 0x0007f00
5185# define TV_VEQ_START_F1_SHIFT 8
646b4269 5186/*
585fb111
JB
5187 * Offset of the start of equalization in field 2, measured in one less than
5188 * the number of half lines.
5189 */
5190# define TV_VEQ_START_F2_MASK 0x000007f
5191# define TV_VEQ_START_F2_SHIFT 0
5192
f0f59a00 5193#define TV_V_CTL_4 _MMIO(0x68048)
646b4269 5194/*
585fb111
JB
5195 * Offset to start of vertical colorburst, measured in one less than the
5196 * number of lines from vertical start.
5197 */
5198# define TV_VBURST_START_F1_MASK 0x003f0000
5199# define TV_VBURST_START_F1_SHIFT 16
646b4269 5200/*
585fb111
JB
5201 * Offset to the end of vertical colorburst, measured in one less than the
5202 * number of lines from the start of NBR.
5203 */
5204# define TV_VBURST_END_F1_MASK 0x000000ff
5205# define TV_VBURST_END_F1_SHIFT 0
5206
f0f59a00 5207#define TV_V_CTL_5 _MMIO(0x6804c)
646b4269 5208/*
585fb111
JB
5209 * Offset to start of vertical colorburst, measured in one less than the
5210 * number of lines from vertical start.
5211 */
5212# define TV_VBURST_START_F2_MASK 0x003f0000
5213# define TV_VBURST_START_F2_SHIFT 16
646b4269 5214/*
585fb111
JB
5215 * Offset to the end of vertical colorburst, measured in one less than the
5216 * number of lines from the start of NBR.
5217 */
5218# define TV_VBURST_END_F2_MASK 0x000000ff
5219# define TV_VBURST_END_F2_SHIFT 0
5220
f0f59a00 5221#define TV_V_CTL_6 _MMIO(0x68050)
646b4269 5222/*
585fb111
JB
5223 * Offset to start of vertical colorburst, measured in one less than the
5224 * number of lines from vertical start.
5225 */
5226# define TV_VBURST_START_F3_MASK 0x003f0000
5227# define TV_VBURST_START_F3_SHIFT 16
646b4269 5228/*
585fb111
JB
5229 * Offset to the end of vertical colorburst, measured in one less than the
5230 * number of lines from the start of NBR.
5231 */
5232# define TV_VBURST_END_F3_MASK 0x000000ff
5233# define TV_VBURST_END_F3_SHIFT 0
5234
f0f59a00 5235#define TV_V_CTL_7 _MMIO(0x68054)
646b4269 5236/*
585fb111
JB
5237 * Offset to start of vertical colorburst, measured in one less than the
5238 * number of lines from vertical start.
5239 */
5240# define TV_VBURST_START_F4_MASK 0x003f0000
5241# define TV_VBURST_START_F4_SHIFT 16
646b4269 5242/*
585fb111
JB
5243 * Offset to the end of vertical colorburst, measured in one less than the
5244 * number of lines from the start of NBR.
5245 */
5246# define TV_VBURST_END_F4_MASK 0x000000ff
5247# define TV_VBURST_END_F4_SHIFT 0
5248
f0f59a00 5249#define TV_SC_CTL_1 _MMIO(0x68060)
646b4269 5250/* Turns on the first subcarrier phase generation DDA */
585fb111 5251# define TV_SC_DDA1_EN (1 << 31)
646b4269 5252/* Turns on the first subcarrier phase generation DDA */
585fb111 5253# define TV_SC_DDA2_EN (1 << 30)
646b4269 5254/* Turns on the first subcarrier phase generation DDA */
585fb111 5255# define TV_SC_DDA3_EN (1 << 29)
646b4269 5256/* Sets the subcarrier DDA to reset frequency every other field */
585fb111 5257# define TV_SC_RESET_EVERY_2 (0 << 24)
646b4269 5258/* Sets the subcarrier DDA to reset frequency every fourth field */
585fb111 5259# define TV_SC_RESET_EVERY_4 (1 << 24)
646b4269 5260/* Sets the subcarrier DDA to reset frequency every eighth field */
585fb111 5261# define TV_SC_RESET_EVERY_8 (2 << 24)
646b4269 5262/* Sets the subcarrier DDA to never reset the frequency */
585fb111 5263# define TV_SC_RESET_NEVER (3 << 24)
646b4269 5264/* Sets the peak amplitude of the colorburst.*/
585fb111
JB
5265# define TV_BURST_LEVEL_MASK 0x00ff0000
5266# define TV_BURST_LEVEL_SHIFT 16
646b4269 5267/* Sets the increment of the first subcarrier phase generation DDA */
585fb111
JB
5268# define TV_SCDDA1_INC_MASK 0x00000fff
5269# define TV_SCDDA1_INC_SHIFT 0
5270
f0f59a00 5271#define TV_SC_CTL_2 _MMIO(0x68064)
646b4269 5272/* Sets the rollover for the second subcarrier phase generation DDA */
585fb111
JB
5273# define TV_SCDDA2_SIZE_MASK 0x7fff0000
5274# define TV_SCDDA2_SIZE_SHIFT 16
646b4269 5275/* Sets the increent of the second subcarrier phase generation DDA */
585fb111
JB
5276# define TV_SCDDA2_INC_MASK 0x00007fff
5277# define TV_SCDDA2_INC_SHIFT 0
5278
f0f59a00 5279#define TV_SC_CTL_3 _MMIO(0x68068)
646b4269 5280/* Sets the rollover for the third subcarrier phase generation DDA */
585fb111
JB
5281# define TV_SCDDA3_SIZE_MASK 0x7fff0000
5282# define TV_SCDDA3_SIZE_SHIFT 16
646b4269 5283/* Sets the increent of the third subcarrier phase generation DDA */
585fb111
JB
5284# define TV_SCDDA3_INC_MASK 0x00007fff
5285# define TV_SCDDA3_INC_SHIFT 0
5286
f0f59a00 5287#define TV_WIN_POS _MMIO(0x68070)
646b4269 5288/* X coordinate of the display from the start of horizontal active */
585fb111
JB
5289# define TV_XPOS_MASK 0x1fff0000
5290# define TV_XPOS_SHIFT 16
646b4269 5291/* Y coordinate of the display from the start of vertical active (NBR) */
585fb111
JB
5292# define TV_YPOS_MASK 0x00000fff
5293# define TV_YPOS_SHIFT 0
5294
f0f59a00 5295#define TV_WIN_SIZE _MMIO(0x68074)
646b4269 5296/* Horizontal size of the display window, measured in pixels*/
585fb111
JB
5297# define TV_XSIZE_MASK 0x1fff0000
5298# define TV_XSIZE_SHIFT 16
646b4269 5299/*
585fb111
JB
5300 * Vertical size of the display window, measured in pixels.
5301 *
5302 * Must be even for interlaced modes.
5303 */
5304# define TV_YSIZE_MASK 0x00000fff
5305# define TV_YSIZE_SHIFT 0
5306
f0f59a00 5307#define TV_FILTER_CTL_1 _MMIO(0x68080)
646b4269 5308/*
585fb111
JB
5309 * Enables automatic scaling calculation.
5310 *
5311 * If set, the rest of the registers are ignored, and the calculated values can
5312 * be read back from the register.
5313 */
5314# define TV_AUTO_SCALE (1 << 31)
646b4269 5315/*
585fb111
JB
5316 * Disables the vertical filter.
5317 *
5318 * This is required on modes more than 1024 pixels wide */
5319# define TV_V_FILTER_BYPASS (1 << 29)
646b4269 5320/* Enables adaptive vertical filtering */
585fb111
JB
5321# define TV_VADAPT (1 << 28)
5322# define TV_VADAPT_MODE_MASK (3 << 26)
646b4269 5323/* Selects the least adaptive vertical filtering mode */
585fb111 5324# define TV_VADAPT_MODE_LEAST (0 << 26)
646b4269 5325/* Selects the moderately adaptive vertical filtering mode */
585fb111 5326# define TV_VADAPT_MODE_MODERATE (1 << 26)
646b4269 5327/* Selects the most adaptive vertical filtering mode */
585fb111 5328# define TV_VADAPT_MODE_MOST (3 << 26)
646b4269 5329/*
585fb111
JB
5330 * Sets the horizontal scaling factor.
5331 *
5332 * This should be the fractional part of the horizontal scaling factor divided
5333 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
5334 *
5335 * (src width - 1) / ((oversample * dest width) - 1)
5336 */
5337# define TV_HSCALE_FRAC_MASK 0x00003fff
5338# define TV_HSCALE_FRAC_SHIFT 0
5339
f0f59a00 5340#define TV_FILTER_CTL_2 _MMIO(0x68084)
646b4269 5341/*
585fb111
JB
5342 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5343 *
5344 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
5345 */
5346# define TV_VSCALE_INT_MASK 0x00038000
5347# define TV_VSCALE_INT_SHIFT 15
646b4269 5348/*
585fb111
JB
5349 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5350 *
5351 * \sa TV_VSCALE_INT_MASK
5352 */
5353# define TV_VSCALE_FRAC_MASK 0x00007fff
5354# define TV_VSCALE_FRAC_SHIFT 0
5355
f0f59a00 5356#define TV_FILTER_CTL_3 _MMIO(0x68088)
646b4269 5357/*
585fb111
JB
5358 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5359 *
5360 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
5361 *
5362 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5363 */
5364# define TV_VSCALE_IP_INT_MASK 0x00038000
5365# define TV_VSCALE_IP_INT_SHIFT 15
646b4269 5366/*
585fb111
JB
5367 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5368 *
5369 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5370 *
5371 * \sa TV_VSCALE_IP_INT_MASK
5372 */
5373# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
5374# define TV_VSCALE_IP_FRAC_SHIFT 0
5375
f0f59a00 5376#define TV_CC_CONTROL _MMIO(0x68090)
585fb111 5377# define TV_CC_ENABLE (1 << 31)
646b4269 5378/*
585fb111
JB
5379 * Specifies which field to send the CC data in.
5380 *
5381 * CC data is usually sent in field 0.
5382 */
5383# define TV_CC_FID_MASK (1 << 27)
5384# define TV_CC_FID_SHIFT 27
646b4269 5385/* Sets the horizontal position of the CC data. Usually 135. */
585fb111
JB
5386# define TV_CC_HOFF_MASK 0x03ff0000
5387# define TV_CC_HOFF_SHIFT 16
646b4269 5388/* Sets the vertical position of the CC data. Usually 21 */
585fb111
JB
5389# define TV_CC_LINE_MASK 0x0000003f
5390# define TV_CC_LINE_SHIFT 0
5391
f0f59a00 5392#define TV_CC_DATA _MMIO(0x68094)
585fb111 5393# define TV_CC_RDY (1 << 31)
646b4269 5394/* Second word of CC data to be transmitted. */
585fb111
JB
5395# define TV_CC_DATA_2_MASK 0x007f0000
5396# define TV_CC_DATA_2_SHIFT 16
646b4269 5397/* First word of CC data to be transmitted. */
585fb111
JB
5398# define TV_CC_DATA_1_MASK 0x0000007f
5399# define TV_CC_DATA_1_SHIFT 0
5400
f0f59a00
VS
5401#define TV_H_LUMA(i) _MMIO(0x68100 + (i) * 4) /* 60 registers */
5402#define TV_H_CHROMA(i) _MMIO(0x68200 + (i) * 4) /* 60 registers */
5403#define TV_V_LUMA(i) _MMIO(0x68300 + (i) * 4) /* 43 registers */
5404#define TV_V_CHROMA(i) _MMIO(0x68400 + (i) * 4) /* 43 registers */
585fb111 5405
040d87f1 5406/* Display Port */
f0f59a00
VS
5407#define DP_A _MMIO(0x64000) /* eDP */
5408#define DP_B _MMIO(0x64100)
5409#define DP_C _MMIO(0x64200)
5410#define DP_D _MMIO(0x64300)
040d87f1 5411
f0f59a00
VS
5412#define VLV_DP_B _MMIO(VLV_DISPLAY_BASE + 0x64100)
5413#define VLV_DP_C _MMIO(VLV_DISPLAY_BASE + 0x64200)
5414#define CHV_DP_D _MMIO(VLV_DISPLAY_BASE + 0x64300)
e66eb81d 5415
040d87f1 5416#define DP_PORT_EN (1 << 31)
59b74c49
VS
5417#define DP_PIPE_SEL_SHIFT 30
5418#define DP_PIPE_SEL_MASK (1 << 30)
5419#define DP_PIPE_SEL(pipe) ((pipe) << 30)
5420#define DP_PIPE_SEL_SHIFT_IVB 29
5421#define DP_PIPE_SEL_MASK_IVB (3 << 29)
5422#define DP_PIPE_SEL_IVB(pipe) ((pipe) << 29)
5423#define DP_PIPE_SEL_SHIFT_CHV 16
5424#define DP_PIPE_SEL_MASK_CHV (3 << 16)
5425#define DP_PIPE_SEL_CHV(pipe) ((pipe) << 16)
47a05eca 5426
040d87f1
KP
5427/* Link training mode - select a suitable mode for each stage */
5428#define DP_LINK_TRAIN_PAT_1 (0 << 28)
5429#define DP_LINK_TRAIN_PAT_2 (1 << 28)
5430#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
5431#define DP_LINK_TRAIN_OFF (3 << 28)
5432#define DP_LINK_TRAIN_MASK (3 << 28)
5433#define DP_LINK_TRAIN_SHIFT 28
5434
8db9d77b
ZW
5435/* CPT Link training mode */
5436#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
5437#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
5438#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
5439#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
5440#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
5441#define DP_LINK_TRAIN_SHIFT_CPT 8
5442
040d87f1
KP
5443/* Signal voltages. These are mostly controlled by the other end */
5444#define DP_VOLTAGE_0_4 (0 << 25)
5445#define DP_VOLTAGE_0_6 (1 << 25)
5446#define DP_VOLTAGE_0_8 (2 << 25)
5447#define DP_VOLTAGE_1_2 (3 << 25)
5448#define DP_VOLTAGE_MASK (7 << 25)
5449#define DP_VOLTAGE_SHIFT 25
5450
5451/* Signal pre-emphasis levels, like voltages, the other end tells us what
5452 * they want
5453 */
5454#define DP_PRE_EMPHASIS_0 (0 << 22)
5455#define DP_PRE_EMPHASIS_3_5 (1 << 22)
5456#define DP_PRE_EMPHASIS_6 (2 << 22)
5457#define DP_PRE_EMPHASIS_9_5 (3 << 22)
5458#define DP_PRE_EMPHASIS_MASK (7 << 22)
5459#define DP_PRE_EMPHASIS_SHIFT 22
5460
5461/* How many wires to use. I guess 3 was too hard */
17aa6be9 5462#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1 5463#define DP_PORT_WIDTH_MASK (7 << 19)
90a6b7b0 5464#define DP_PORT_WIDTH_SHIFT 19
040d87f1
KP
5465
5466/* Mystic DPCD version 1.1 special mode */
5467#define DP_ENHANCED_FRAMING (1 << 18)
5468
32f9d658
ZW
5469/* eDP */
5470#define DP_PLL_FREQ_270MHZ (0 << 16)
b377e0df 5471#define DP_PLL_FREQ_162MHZ (1 << 16)
32f9d658
ZW
5472#define DP_PLL_FREQ_MASK (3 << 16)
5473
646b4269 5474/* locked once port is enabled */
040d87f1
KP
5475#define DP_PORT_REVERSAL (1 << 15)
5476
32f9d658
ZW
5477/* eDP */
5478#define DP_PLL_ENABLE (1 << 14)
5479
646b4269 5480/* sends the clock on lane 15 of the PEG for debug */
040d87f1
KP
5481#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
5482
5483#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 5484#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1 5485
646b4269 5486/* limit RGB values to avoid confusing TVs */
040d87f1
KP
5487#define DP_COLOR_RANGE_16_235 (1 << 8)
5488
646b4269 5489/* Turn on the audio link */
040d87f1
KP
5490#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
5491
646b4269 5492/* vs and hs sync polarity */
040d87f1
KP
5493#define DP_SYNC_VS_HIGH (1 << 4)
5494#define DP_SYNC_HS_HIGH (1 << 3)
5495
646b4269 5496/* A fantasy */
040d87f1
KP
5497#define DP_DETECTED (1 << 2)
5498
646b4269 5499/* The aux channel provides a way to talk to the
040d87f1
KP
5500 * signal sink for DDC etc. Max packet size supported
5501 * is 20 bytes in each direction, hence the 5 fixed
5502 * data registers
5503 */
ed5eb1b7
JN
5504#define _DPA_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64010)
5505#define _DPA_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64014)
5506#define _DPA_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64018)
5507#define _DPA_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6401c)
5508#define _DPA_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64020)
5509#define _DPA_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64024)
5510
5511#define _DPB_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64110)
5512#define _DPB_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64114)
5513#define _DPB_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64118)
5514#define _DPB_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6411c)
5515#define _DPB_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64120)
5516#define _DPB_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64124)
5517
5518#define _DPC_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64210)
5519#define _DPC_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64214)
5520#define _DPC_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64218)
5521#define _DPC_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6421c)
5522#define _DPC_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64220)
5523#define _DPC_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64224)
5524
5525#define _DPD_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64310)
5526#define _DPD_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64314)
5527#define _DPD_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64318)
5528#define _DPD_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6431c)
5529#define _DPD_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64320)
5530#define _DPD_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64324)
5531
5532#define _DPE_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64410)
5533#define _DPE_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64414)
5534#define _DPE_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64418)
5535#define _DPE_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6441c)
5536#define _DPE_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64420)
5537#define _DPE_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64424)
5538
5539#define _DPF_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64510)
5540#define _DPF_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64514)
5541#define _DPF_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64518)
5542#define _DPF_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6451c)
5543#define _DPF_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64520)
5544#define _DPF_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64524)
a324fcac 5545
bdabdb63
VS
5546#define DP_AUX_CH_CTL(aux_ch) _MMIO_PORT(aux_ch, _DPA_AUX_CH_CTL, _DPB_AUX_CH_CTL)
5547#define DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT(aux_ch, _DPA_AUX_CH_DATA1, _DPB_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
040d87f1
KP
5548
5549#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
5550#define DP_AUX_CH_CTL_DONE (1 << 30)
5551#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
5552#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
5553#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
5554#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
5555#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
6fa228ba 5556#define DP_AUX_CH_CTL_TIME_OUT_MAX (3 << 26) /* Varies per platform */
040d87f1
KP
5557#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
5558#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
5559#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
5560#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
5561#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
5562#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
5563#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
5564#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
5565#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
5566#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
5567#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
5568#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
5569#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
e3d99845
SJ
5570#define DP_AUX_CH_CTL_PSR_DATA_AUX_REG_SKL (1 << 14)
5571#define DP_AUX_CH_CTL_FS_DATA_AUX_REG_SKL (1 << 13)
5572#define DP_AUX_CH_CTL_GTC_DATA_AUX_REG_SKL (1 << 12)
6f211ed4 5573#define DP_AUX_CH_CTL_TBT_IO (1 << 11)
395b2913 5574#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL_MASK (0x1f << 5)
e3d99845 5575#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL(c) (((c) - 1) << 5)
b9ca5fad 5576#define DP_AUX_CH_CTL_SYNC_PULSE_SKL(c) ((c) - 1)
040d87f1
KP
5577
5578/*
5579 * Computing GMCH M and N values for the Display Port link
5580 *
5581 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
5582 *
5583 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
5584 *
5585 * The GMCH value is used internally
5586 *
5587 * bytes_per_pixel is the number of bytes coming out of the plane,
5588 * which is after the LUTs, so we want the bytes for our color format.
5589 * For our current usage, this is always 3, one byte for R, G and B.
5590 */
e3b95f1e
DV
5591#define _PIPEA_DATA_M_G4X 0x70050
5592#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
5593
5594/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
5ee8ee86 5595#define TU_SIZE(x) (((x) - 1) << 25) /* default size 64 */
72419203 5596#define TU_SIZE_SHIFT 25
a65851af 5597#define TU_SIZE_MASK (0x3f << 25)
040d87f1 5598
a65851af
VS
5599#define DATA_LINK_M_N_MASK (0xffffff)
5600#define DATA_LINK_N_MAX (0x800000)
040d87f1 5601
e3b95f1e
DV
5602#define _PIPEA_DATA_N_G4X 0x70054
5603#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
5604#define PIPE_GMCH_DATA_N_MASK (0xffffff)
5605
5606/*
5607 * Computing Link M and N values for the Display Port link
5608 *
5609 * Link M / N = pixel_clock / ls_clk
5610 *
5611 * (the DP spec calls pixel_clock the 'strm_clk')
5612 *
5613 * The Link value is transmitted in the Main Stream
5614 * Attributes and VB-ID.
5615 */
5616
e3b95f1e
DV
5617#define _PIPEA_LINK_M_G4X 0x70060
5618#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
5619#define PIPEA_DP_LINK_M_MASK (0xffffff)
5620
e3b95f1e
DV
5621#define _PIPEA_LINK_N_G4X 0x70064
5622#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
5623#define PIPEA_DP_LINK_N_MASK (0xffffff)
5624
f0f59a00
VS
5625#define PIPE_DATA_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
5626#define PIPE_DATA_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
5627#define PIPE_LINK_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
5628#define PIPE_LINK_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 5629
585fb111
JB
5630/* Display & cursor control */
5631
5632/* Pipe A */
a57c774a 5633#define _PIPEADSL 0x70000
837ba00f
PZ
5634#define DSL_LINEMASK_GEN2 0x00000fff
5635#define DSL_LINEMASK_GEN3 0x00001fff
a57c774a 5636#define _PIPEACONF 0x70008
5ee8ee86 5637#define PIPECONF_ENABLE (1 << 31)
5eddb70b 5638#define PIPECONF_DISABLE 0
5ee8ee86
PZ
5639#define PIPECONF_DOUBLE_WIDE (1 << 30)
5640#define I965_PIPECONF_ACTIVE (1 << 30)
5641#define PIPECONF_DSI_PLL_LOCKED (1 << 29) /* vlv & pipe A only */
5642#define PIPECONF_FRAME_START_DELAY_MASK (3 << 27)
5eddb70b
CW
5643#define PIPECONF_SINGLE_WIDE 0
5644#define PIPECONF_PIPE_UNLOCKED 0
5ee8ee86 5645#define PIPECONF_PIPE_LOCKED (1 << 25)
5ee8ee86 5646#define PIPECONF_FORCE_BORDER (1 << 25)
9d5441de
VS
5647#define PIPECONF_GAMMA_MODE_MASK_I9XX (1 << 24) /* gmch */
5648#define PIPECONF_GAMMA_MODE_MASK_ILK (3 << 24) /* ilk-ivb */
5649#define PIPECONF_GAMMA_MODE_8BIT (0 << 24) /* gmch,ilk-ivb */
5650#define PIPECONF_GAMMA_MODE_10BIT (1 << 24) /* gmch,ilk-ivb */
5651#define PIPECONF_GAMMA_MODE_12BIT (2 << 24) /* ilk-ivb */
5652#define PIPECONF_GAMMA_MODE_SPLIT (3 << 24) /* ivb */
5653#define PIPECONF_GAMMA_MODE(x) ((x) << 24) /* pass in GAMMA_MODE_MODE_* */
5654#define PIPECONF_GAMMA_MODE_SHIFT 24
59df7b17 5655#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 5656#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
5657/* Note that pre-gen3 does not support interlaced display directly. Panel
5658 * fitting must be disabled on pre-ilk for interlaced. */
5659#define PIPECONF_PROGRESSIVE (0 << 21)
5660#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
5661#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
5662#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
5663#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
5664/* Ironlake and later have a complete new set of values for interlaced. PFIT
5665 * means panel fitter required, PF means progressive fetch, DBL means power
5666 * saving pixel doubling. */
5667#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
5668#define PIPECONF_INTERLACED_ILK (3 << 21)
5669#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
5670#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 5671#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
439d7ac0 5672#define PIPECONF_EDP_RR_MODE_SWITCH (1 << 20)
5ee8ee86 5673#define PIPECONF_CXSR_DOWNCLOCK (1 << 16)
6fa7aec1 5674#define PIPECONF_EDP_RR_MODE_SWITCH_VLV (1 << 14)
3685a8f3 5675#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72 5676#define PIPECONF_BPC_MASK (0x7 << 5)
5ee8ee86
PZ
5677#define PIPECONF_8BPC (0 << 5)
5678#define PIPECONF_10BPC (1 << 5)
5679#define PIPECONF_6BPC (2 << 5)
5680#define PIPECONF_12BPC (3 << 5)
5681#define PIPECONF_DITHER_EN (1 << 4)
4f0d1aff 5682#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
5ee8ee86
PZ
5683#define PIPECONF_DITHER_TYPE_SP (0 << 2)
5684#define PIPECONF_DITHER_TYPE_ST1 (1 << 2)
5685#define PIPECONF_DITHER_TYPE_ST2 (2 << 2)
5686#define PIPECONF_DITHER_TYPE_TEMP (3 << 2)
a57c774a 5687#define _PIPEASTAT 0x70024
5ee8ee86
PZ
5688#define PIPE_FIFO_UNDERRUN_STATUS (1UL << 31)
5689#define SPRITE1_FLIP_DONE_INT_EN_VLV (1UL << 30)
5690#define PIPE_CRC_ERROR_ENABLE (1UL << 29)
5691#define PIPE_CRC_DONE_ENABLE (1UL << 28)
5692#define PERF_COUNTER2_INTERRUPT_EN (1UL << 27)
5693#define PIPE_GMBUS_EVENT_ENABLE (1UL << 27)
5694#define PLANE_FLIP_DONE_INT_EN_VLV (1UL << 26)
5695#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL << 26)
5696#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL << 25)
5697#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL << 24)
5698#define PIPE_DPST_EVENT_ENABLE (1UL << 23)
5699#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL << 22)
5700#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL << 22)
5701#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL << 21)
5702#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL << 20)
5703#define PIPE_B_PSR_INTERRUPT_ENABLE_VLV (1UL << 19)
5704#define PERF_COUNTER_INTERRUPT_EN (1UL << 19)
5705#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL << 18) /* pre-965 */
5706#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL << 18) /* 965 or later */
5707#define PIPE_FRAMESTART_INTERRUPT_ENABLE (1UL << 17)
5708#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL << 17)
5709#define PIPEA_HBLANK_INT_EN_VLV (1UL << 16)
5710#define PIPE_OVERLAY_UPDATED_ENABLE (1UL << 16)
5711#define SPRITE1_FLIP_DONE_INT_STATUS_VLV (1UL << 15)
5712#define SPRITE0_FLIP_DONE_INT_STATUS_VLV (1UL << 14)
5713#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL << 13)
5714#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL << 12)
5715#define PERF_COUNTER2_INTERRUPT_STATUS (1UL << 11)
5716#define PIPE_GMBUS_INTERRUPT_STATUS (1UL << 11)
5717#define PLANE_FLIP_DONE_INT_STATUS_VLV (1UL << 10)
5718#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL << 10)
5719#define PIPE_VSYNC_INTERRUPT_STATUS (1UL << 9)
5720#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL << 8)
5721#define PIPE_DPST_EVENT_STATUS (1UL << 7)
5722#define PIPE_A_PSR_STATUS_VLV (1UL << 6)
5723#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL << 6)
5724#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL << 5)
5725#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL << 4)
5726#define PIPE_B_PSR_STATUS_VLV (1UL << 3)
5727#define PERF_COUNTER_INTERRUPT_STATUS (1UL << 3)
5728#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL << 2) /* pre-965 */
5729#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL << 2) /* 965 or later */
5730#define PIPE_FRAMESTART_INTERRUPT_STATUS (1UL << 1)
5731#define PIPE_VBLANK_INTERRUPT_STATUS (1UL << 1)
5732#define PIPE_HBLANK_INT_STATUS (1UL << 0)
5733#define PIPE_OVERLAY_UPDATED_STATUS (1UL << 0)
585fb111 5734
755e9019
ID
5735#define PIPESTAT_INT_ENABLE_MASK 0x7fff0000
5736#define PIPESTAT_INT_STATUS_MASK 0x0000ffff
5737
84fd4f4e
RB
5738#define PIPE_A_OFFSET 0x70000
5739#define PIPE_B_OFFSET 0x71000
5740#define PIPE_C_OFFSET 0x72000
f1f1d4fa 5741#define PIPE_D_OFFSET 0x73000
84fd4f4e 5742#define CHV_PIPE_C_OFFSET 0x74000
a57c774a
AK
5743/*
5744 * There's actually no pipe EDP. Some pipe registers have
5745 * simply shifted from the pipe to the transcoder, while
5746 * keeping their original offset. Thus we need PIPE_EDP_OFFSET
5747 * to access such registers in transcoder EDP.
5748 */
5749#define PIPE_EDP_OFFSET 0x7f000
5750
372610f3
MC
5751/* ICL DSI 0 and 1 */
5752#define PIPE_DSI0_OFFSET 0x7b000
5753#define PIPE_DSI1_OFFSET 0x7b800
5754
f0f59a00
VS
5755#define PIPECONF(pipe) _MMIO_PIPE2(pipe, _PIPEACONF)
5756#define PIPEDSL(pipe) _MMIO_PIPE2(pipe, _PIPEADSL)
5757#define PIPEFRAME(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEHIGH)
5758#define PIPEFRAMEPIXEL(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEPIXEL)
5759#define PIPESTAT(pipe) _MMIO_PIPE2(pipe, _PIPEASTAT)
5eddb70b 5760
e262568e
VS
5761#define _PIPEAGCMAX 0x70010
5762#define _PIPEBGCMAX 0x71010
5763#define PIPEGCMAX(pipe, i) _MMIO_PIPE2(pipe, _PIPEAGCMAX + (i) * 4)
5764
756f85cf
PZ
5765#define _PIPE_MISC_A 0x70030
5766#define _PIPE_MISC_B 0x71030
5ee8ee86
PZ
5767#define PIPEMISC_YUV420_ENABLE (1 << 27)
5768#define PIPEMISC_YUV420_MODE_FULL_BLEND (1 << 26)
09b25812 5769#define PIPEMISC_HDR_MODE_PRECISION (1 << 23) /* icl+ */
5ee8ee86
PZ
5770#define PIPEMISC_OUTPUT_COLORSPACE_YUV (1 << 11)
5771#define PIPEMISC_DITHER_BPC_MASK (7 << 5)
5772#define PIPEMISC_DITHER_8_BPC (0 << 5)
5773#define PIPEMISC_DITHER_10_BPC (1 << 5)
5774#define PIPEMISC_DITHER_6_BPC (2 << 5)
5775#define PIPEMISC_DITHER_12_BPC (3 << 5)
5776#define PIPEMISC_DITHER_ENABLE (1 << 4)
5777#define PIPEMISC_DITHER_TYPE_MASK (3 << 2)
5778#define PIPEMISC_DITHER_TYPE_SP (0 << 2)
f0f59a00 5779#define PIPEMISC(pipe) _MMIO_PIPE2(pipe, _PIPE_MISC_A)
756f85cf 5780
c0550305
MR
5781/* Skylake+ pipe bottom (background) color */
5782#define _SKL_BOTTOM_COLOR_A 0x70034
5783#define SKL_BOTTOM_COLOR_GAMMA_ENABLE (1 << 31)
5784#define SKL_BOTTOM_COLOR_CSC_ENABLE (1 << 30)
5785#define SKL_BOTTOM_COLOR(pipe) _MMIO_PIPE2(pipe, _SKL_BOTTOM_COLOR_A)
5786
f0f59a00 5787#define VLV_DPFLIPSTAT _MMIO(VLV_DISPLAY_BASE + 0x70028)
5ee8ee86
PZ
5788#define PIPEB_LINE_COMPARE_INT_EN (1 << 29)
5789#define PIPEB_HLINE_INT_EN (1 << 28)
5790#define PIPEB_VBLANK_INT_EN (1 << 27)
5791#define SPRITED_FLIP_DONE_INT_EN (1 << 26)
5792#define SPRITEC_FLIP_DONE_INT_EN (1 << 25)
5793#define PLANEB_FLIP_DONE_INT_EN (1 << 24)
5794#define PIPE_PSR_INT_EN (1 << 22)
5795#define PIPEA_LINE_COMPARE_INT_EN (1 << 21)
5796#define PIPEA_HLINE_INT_EN (1 << 20)
5797#define PIPEA_VBLANK_INT_EN (1 << 19)
5798#define SPRITEB_FLIP_DONE_INT_EN (1 << 18)
5799#define SPRITEA_FLIP_DONE_INT_EN (1 << 17)
5800#define PLANEA_FLIPDONE_INT_EN (1 << 16)
5801#define PIPEC_LINE_COMPARE_INT_EN (1 << 13)
5802#define PIPEC_HLINE_INT_EN (1 << 12)
5803#define PIPEC_VBLANK_INT_EN (1 << 11)
5804#define SPRITEF_FLIPDONE_INT_EN (1 << 10)
5805#define SPRITEE_FLIPDONE_INT_EN (1 << 9)
5806#define PLANEC_FLIPDONE_INT_EN (1 << 8)
c46ce4d7 5807
f0f59a00 5808#define DPINVGTT _MMIO(VLV_DISPLAY_BASE + 0x7002c) /* VLV/CHV only */
5ee8ee86
PZ
5809#define SPRITEF_INVALID_GTT_INT_EN (1 << 27)
5810#define SPRITEE_INVALID_GTT_INT_EN (1 << 26)
5811#define PLANEC_INVALID_GTT_INT_EN (1 << 25)
5812#define CURSORC_INVALID_GTT_INT_EN (1 << 24)
5813#define CURSORB_INVALID_GTT_INT_EN (1 << 23)
5814#define CURSORA_INVALID_GTT_INT_EN (1 << 22)
5815#define SPRITED_INVALID_GTT_INT_EN (1 << 21)
5816#define SPRITEC_INVALID_GTT_INT_EN (1 << 20)
5817#define PLANEB_INVALID_GTT_INT_EN (1 << 19)
5818#define SPRITEB_INVALID_GTT_INT_EN (1 << 18)
5819#define SPRITEA_INVALID_GTT_INT_EN (1 << 17)
5820#define PLANEA_INVALID_GTT_INT_EN (1 << 16)
c46ce4d7 5821#define DPINVGTT_EN_MASK 0xff0000
bf67a6fd 5822#define DPINVGTT_EN_MASK_CHV 0xfff0000
5ee8ee86
PZ
5823#define SPRITEF_INVALID_GTT_STATUS (1 << 11)
5824#define SPRITEE_INVALID_GTT_STATUS (1 << 10)
5825#define PLANEC_INVALID_GTT_STATUS (1 << 9)
5826#define CURSORC_INVALID_GTT_STATUS (1 << 8)
5827#define CURSORB_INVALID_GTT_STATUS (1 << 7)
5828#define CURSORA_INVALID_GTT_STATUS (1 << 6)
5829#define SPRITED_INVALID_GTT_STATUS (1 << 5)
5830#define SPRITEC_INVALID_GTT_STATUS (1 << 4)
5831#define PLANEB_INVALID_GTT_STATUS (1 << 3)
5832#define SPRITEB_INVALID_GTT_STATUS (1 << 2)
5833#define SPRITEA_INVALID_GTT_STATUS (1 << 1)
5834#define PLANEA_INVALID_GTT_STATUS (1 << 0)
c46ce4d7 5835#define DPINVGTT_STATUS_MASK 0xff
bf67a6fd 5836#define DPINVGTT_STATUS_MASK_CHV 0xfff
c46ce4d7 5837
ed5eb1b7 5838#define DSPARB _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70030)
585fb111
JB
5839#define DSPARB_CSTART_MASK (0x7f << 7)
5840#define DSPARB_CSTART_SHIFT 7
5841#define DSPARB_BSTART_MASK (0x7f)
5842#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
5843#define DSPARB_BEND_SHIFT 9 /* on 855 */
5844#define DSPARB_AEND_SHIFT 0
54f1b6e1
VS
5845#define DSPARB_SPRITEA_SHIFT_VLV 0
5846#define DSPARB_SPRITEA_MASK_VLV (0xff << 0)
5847#define DSPARB_SPRITEB_SHIFT_VLV 8
5848#define DSPARB_SPRITEB_MASK_VLV (0xff << 8)
5849#define DSPARB_SPRITEC_SHIFT_VLV 16
5850#define DSPARB_SPRITEC_MASK_VLV (0xff << 16)
5851#define DSPARB_SPRITED_SHIFT_VLV 24
5852#define DSPARB_SPRITED_MASK_VLV (0xff << 24)
f0f59a00 5853#define DSPARB2 _MMIO(VLV_DISPLAY_BASE + 0x70060) /* vlv/chv */
54f1b6e1
VS
5854#define DSPARB_SPRITEA_HI_SHIFT_VLV 0
5855#define DSPARB_SPRITEA_HI_MASK_VLV (0x1 << 0)
5856#define DSPARB_SPRITEB_HI_SHIFT_VLV 4
5857#define DSPARB_SPRITEB_HI_MASK_VLV (0x1 << 4)
5858#define DSPARB_SPRITEC_HI_SHIFT_VLV 8
5859#define DSPARB_SPRITEC_HI_MASK_VLV (0x1 << 8)
5860#define DSPARB_SPRITED_HI_SHIFT_VLV 12
5861#define DSPARB_SPRITED_HI_MASK_VLV (0x1 << 12)
5862#define DSPARB_SPRITEE_HI_SHIFT_VLV 16
5863#define DSPARB_SPRITEE_HI_MASK_VLV (0x1 << 16)
5864#define DSPARB_SPRITEF_HI_SHIFT_VLV 20
5865#define DSPARB_SPRITEF_HI_MASK_VLV (0x1 << 20)
f0f59a00 5866#define DSPARB3 _MMIO(VLV_DISPLAY_BASE + 0x7006c) /* chv */
54f1b6e1
VS
5867#define DSPARB_SPRITEE_SHIFT_VLV 0
5868#define DSPARB_SPRITEE_MASK_VLV (0xff << 0)
5869#define DSPARB_SPRITEF_SHIFT_VLV 8
5870#define DSPARB_SPRITEF_MASK_VLV (0xff << 8)
b5004720 5871
0a560674 5872/* pnv/gen4/g4x/vlv/chv */
ed5eb1b7 5873#define DSPFW1 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70034)
0a560674 5874#define DSPFW_SR_SHIFT 23
5ee8ee86 5875#define DSPFW_SR_MASK (0x1ff << 23)
0a560674 5876#define DSPFW_CURSORB_SHIFT 16
5ee8ee86 5877#define DSPFW_CURSORB_MASK (0x3f << 16)
0a560674 5878#define DSPFW_PLANEB_SHIFT 8
5ee8ee86
PZ
5879#define DSPFW_PLANEB_MASK (0x7f << 8)
5880#define DSPFW_PLANEB_MASK_VLV (0xff << 8) /* vlv/chv */
0a560674 5881#define DSPFW_PLANEA_SHIFT 0
5ee8ee86
PZ
5882#define DSPFW_PLANEA_MASK (0x7f << 0)
5883#define DSPFW_PLANEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5884#define DSPFW2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70038)
5ee8ee86 5885#define DSPFW_FBC_SR_EN (1 << 31) /* g4x */
0a560674 5886#define DSPFW_FBC_SR_SHIFT 28
5ee8ee86 5887#define DSPFW_FBC_SR_MASK (0x7 << 28) /* g4x */
0a560674 5888#define DSPFW_FBC_HPLL_SR_SHIFT 24
5ee8ee86 5889#define DSPFW_FBC_HPLL_SR_MASK (0xf << 24) /* g4x */
0a560674 5890#define DSPFW_SPRITEB_SHIFT (16)
5ee8ee86
PZ
5891#define DSPFW_SPRITEB_MASK (0x7f << 16) /* g4x */
5892#define DSPFW_SPRITEB_MASK_VLV (0xff << 16) /* vlv/chv */
0a560674 5893#define DSPFW_CURSORA_SHIFT 8
5ee8ee86 5894#define DSPFW_CURSORA_MASK (0x3f << 8)
f4998963 5895#define DSPFW_PLANEC_OLD_SHIFT 0
5ee8ee86 5896#define DSPFW_PLANEC_OLD_MASK (0x7f << 0) /* pre-gen4 sprite C */
0a560674 5897#define DSPFW_SPRITEA_SHIFT 0
5ee8ee86
PZ
5898#define DSPFW_SPRITEA_MASK (0x7f << 0) /* g4x */
5899#define DSPFW_SPRITEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5900#define DSPFW3 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x7003c)
5ee8ee86
PZ
5901#define DSPFW_HPLL_SR_EN (1 << 31)
5902#define PINEVIEW_SELF_REFRESH_EN (1 << 30)
0a560674 5903#define DSPFW_CURSOR_SR_SHIFT 24
5ee8ee86 5904#define DSPFW_CURSOR_SR_MASK (0x3f << 24)
d4294342 5905#define DSPFW_HPLL_CURSOR_SHIFT 16
5ee8ee86 5906#define DSPFW_HPLL_CURSOR_MASK (0x3f << 16)
0a560674 5907#define DSPFW_HPLL_SR_SHIFT 0
5ee8ee86 5908#define DSPFW_HPLL_SR_MASK (0x1ff << 0)
0a560674
VS
5909
5910/* vlv/chv */
f0f59a00 5911#define DSPFW4 _MMIO(VLV_DISPLAY_BASE + 0x70070)
0a560674 5912#define DSPFW_SPRITEB_WM1_SHIFT 16
5ee8ee86 5913#define DSPFW_SPRITEB_WM1_MASK (0xff << 16)
0a560674 5914#define DSPFW_CURSORA_WM1_SHIFT 8
5ee8ee86 5915#define DSPFW_CURSORA_WM1_MASK (0x3f << 8)
0a560674 5916#define DSPFW_SPRITEA_WM1_SHIFT 0
5ee8ee86 5917#define DSPFW_SPRITEA_WM1_MASK (0xff << 0)
f0f59a00 5918#define DSPFW5 _MMIO(VLV_DISPLAY_BASE + 0x70074)
0a560674 5919#define DSPFW_PLANEB_WM1_SHIFT 24
5ee8ee86 5920#define DSPFW_PLANEB_WM1_MASK (0xff << 24)
0a560674 5921#define DSPFW_PLANEA_WM1_SHIFT 16
5ee8ee86 5922#define DSPFW_PLANEA_WM1_MASK (0xff << 16)
0a560674 5923#define DSPFW_CURSORB_WM1_SHIFT 8
5ee8ee86 5924#define DSPFW_CURSORB_WM1_MASK (0x3f << 8)
0a560674 5925#define DSPFW_CURSOR_SR_WM1_SHIFT 0
5ee8ee86 5926#define DSPFW_CURSOR_SR_WM1_MASK (0x3f << 0)
f0f59a00 5927#define DSPFW6 _MMIO(VLV_DISPLAY_BASE + 0x70078)
0a560674 5928#define DSPFW_SR_WM1_SHIFT 0
5ee8ee86 5929#define DSPFW_SR_WM1_MASK (0x1ff << 0)
f0f59a00
VS
5930#define DSPFW7 _MMIO(VLV_DISPLAY_BASE + 0x7007c)
5931#define DSPFW7_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b4) /* wtf #1? */
0a560674 5932#define DSPFW_SPRITED_WM1_SHIFT 24
5ee8ee86 5933#define DSPFW_SPRITED_WM1_MASK (0xff << 24)
0a560674 5934#define DSPFW_SPRITED_SHIFT 16
5ee8ee86 5935#define DSPFW_SPRITED_MASK_VLV (0xff << 16)
0a560674 5936#define DSPFW_SPRITEC_WM1_SHIFT 8
5ee8ee86 5937#define DSPFW_SPRITEC_WM1_MASK (0xff << 8)
0a560674 5938#define DSPFW_SPRITEC_SHIFT 0
5ee8ee86 5939#define DSPFW_SPRITEC_MASK_VLV (0xff << 0)
f0f59a00 5940#define DSPFW8_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b8)
0a560674 5941#define DSPFW_SPRITEF_WM1_SHIFT 24
5ee8ee86 5942#define DSPFW_SPRITEF_WM1_MASK (0xff << 24)
0a560674 5943#define DSPFW_SPRITEF_SHIFT 16
5ee8ee86 5944#define DSPFW_SPRITEF_MASK_VLV (0xff << 16)
0a560674 5945#define DSPFW_SPRITEE_WM1_SHIFT 8
5ee8ee86 5946#define DSPFW_SPRITEE_WM1_MASK (0xff << 8)
0a560674 5947#define DSPFW_SPRITEE_SHIFT 0
5ee8ee86 5948#define DSPFW_SPRITEE_MASK_VLV (0xff << 0)
f0f59a00 5949#define DSPFW9_CHV _MMIO(VLV_DISPLAY_BASE + 0x7007c) /* wtf #2? */
0a560674 5950#define DSPFW_PLANEC_WM1_SHIFT 24
5ee8ee86 5951#define DSPFW_PLANEC_WM1_MASK (0xff << 24)
0a560674 5952#define DSPFW_PLANEC_SHIFT 16
5ee8ee86 5953#define DSPFW_PLANEC_MASK_VLV (0xff << 16)
0a560674 5954#define DSPFW_CURSORC_WM1_SHIFT 8
5ee8ee86 5955#define DSPFW_CURSORC_WM1_MASK (0x3f << 16)
0a560674 5956#define DSPFW_CURSORC_SHIFT 0
5ee8ee86 5957#define DSPFW_CURSORC_MASK (0x3f << 0)
0a560674
VS
5958
5959/* vlv/chv high order bits */
f0f59a00 5960#define DSPHOWM _MMIO(VLV_DISPLAY_BASE + 0x70064)
0a560674 5961#define DSPFW_SR_HI_SHIFT 24
5ee8ee86 5962#define DSPFW_SR_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5963#define DSPFW_SPRITEF_HI_SHIFT 23
5ee8ee86 5964#define DSPFW_SPRITEF_HI_MASK (1 << 23)
0a560674 5965#define DSPFW_SPRITEE_HI_SHIFT 22
5ee8ee86 5966#define DSPFW_SPRITEE_HI_MASK (1 << 22)
0a560674 5967#define DSPFW_PLANEC_HI_SHIFT 21
5ee8ee86 5968#define DSPFW_PLANEC_HI_MASK (1 << 21)
0a560674 5969#define DSPFW_SPRITED_HI_SHIFT 20
5ee8ee86 5970#define DSPFW_SPRITED_HI_MASK (1 << 20)
0a560674 5971#define DSPFW_SPRITEC_HI_SHIFT 16
5ee8ee86 5972#define DSPFW_SPRITEC_HI_MASK (1 << 16)
0a560674 5973#define DSPFW_PLANEB_HI_SHIFT 12
5ee8ee86 5974#define DSPFW_PLANEB_HI_MASK (1 << 12)
0a560674 5975#define DSPFW_SPRITEB_HI_SHIFT 8
5ee8ee86 5976#define DSPFW_SPRITEB_HI_MASK (1 << 8)
0a560674 5977#define DSPFW_SPRITEA_HI_SHIFT 4
5ee8ee86 5978#define DSPFW_SPRITEA_HI_MASK (1 << 4)
0a560674 5979#define DSPFW_PLANEA_HI_SHIFT 0
5ee8ee86 5980#define DSPFW_PLANEA_HI_MASK (1 << 0)
f0f59a00 5981#define DSPHOWM1 _MMIO(VLV_DISPLAY_BASE + 0x70068)
0a560674 5982#define DSPFW_SR_WM1_HI_SHIFT 24
5ee8ee86 5983#define DSPFW_SR_WM1_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5984#define DSPFW_SPRITEF_WM1_HI_SHIFT 23
5ee8ee86 5985#define DSPFW_SPRITEF_WM1_HI_MASK (1 << 23)
0a560674 5986#define DSPFW_SPRITEE_WM1_HI_SHIFT 22
5ee8ee86 5987#define DSPFW_SPRITEE_WM1_HI_MASK (1 << 22)
0a560674 5988#define DSPFW_PLANEC_WM1_HI_SHIFT 21
5ee8ee86 5989#define DSPFW_PLANEC_WM1_HI_MASK (1 << 21)
0a560674 5990#define DSPFW_SPRITED_WM1_HI_SHIFT 20
5ee8ee86 5991#define DSPFW_SPRITED_WM1_HI_MASK (1 << 20)
0a560674 5992#define DSPFW_SPRITEC_WM1_HI_SHIFT 16
5ee8ee86 5993#define DSPFW_SPRITEC_WM1_HI_MASK (1 << 16)
0a560674 5994#define DSPFW_PLANEB_WM1_HI_SHIFT 12
5ee8ee86 5995#define DSPFW_PLANEB_WM1_HI_MASK (1 << 12)
0a560674 5996#define DSPFW_SPRITEB_WM1_HI_SHIFT 8
5ee8ee86 5997#define DSPFW_SPRITEB_WM1_HI_MASK (1 << 8)
0a560674 5998#define DSPFW_SPRITEA_WM1_HI_SHIFT 4
5ee8ee86 5999#define DSPFW_SPRITEA_WM1_HI_MASK (1 << 4)
0a560674 6000#define DSPFW_PLANEA_WM1_HI_SHIFT 0
5ee8ee86 6001#define DSPFW_PLANEA_WM1_HI_MASK (1 << 0)
7662c8bd 6002
12a3c055 6003/* drain latency register values*/
f0f59a00 6004#define VLV_DDL(pipe) _MMIO(VLV_DISPLAY_BASE + 0x70050 + 4 * (pipe))
1abc4dc7 6005#define DDL_CURSOR_SHIFT 24
5ee8ee86 6006#define DDL_SPRITE_SHIFT(sprite) (8 + 8 * (sprite))
1abc4dc7 6007#define DDL_PLANE_SHIFT 0
5ee8ee86
PZ
6008#define DDL_PRECISION_HIGH (1 << 7)
6009#define DDL_PRECISION_LOW (0 << 7)
0948c265 6010#define DRAIN_LATENCY_MASK 0x7f
12a3c055 6011
f0f59a00 6012#define CBR1_VLV _MMIO(VLV_DISPLAY_BASE + 0x70400)
5ee8ee86
PZ
6013#define CBR_PND_DEADLINE_DISABLE (1 << 31)
6014#define CBR_PWM_CLOCK_MUX_SELECT (1 << 30)
c6beb13e 6015
c231775c 6016#define CBR4_VLV _MMIO(VLV_DISPLAY_BASE + 0x70450)
5ee8ee86 6017#define CBR_DPLLBMD_PIPE(pipe) (1 << (7 + (pipe) * 11)) /* pipes B and C */
c231775c 6018
7662c8bd 6019/* FIFO watermark sizes etc */
0e442c60 6020#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
6021#define I915_FIFO_LINE_SIZE 64
6022#define I830_FIFO_LINE_SIZE 32
0e442c60 6023
ceb04246 6024#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 6025#define G4X_FIFO_SIZE 127
1b07e04e
ZY
6026#define I965_FIFO_SIZE 512
6027#define I945_FIFO_SIZE 127
7662c8bd 6028#define I915_FIFO_SIZE 95
dff33cfc 6029#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 6030#define I830_FIFO_SIZE 95
0e442c60 6031
ceb04246 6032#define VALLEYVIEW_MAX_WM 0xff
0e442c60 6033#define G4X_MAX_WM 0x3f
7662c8bd
SL
6034#define I915_MAX_WM 0x3f
6035
f2b115e6
AJ
6036#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
6037#define PINEVIEW_FIFO_LINE_SIZE 64
6038#define PINEVIEW_MAX_WM 0x1ff
6039#define PINEVIEW_DFT_WM 0x3f
6040#define PINEVIEW_DFT_HPLLOFF_WM 0
6041#define PINEVIEW_GUARD_WM 10
6042#define PINEVIEW_CURSOR_FIFO 64
6043#define PINEVIEW_CURSOR_MAX_WM 0x3f
6044#define PINEVIEW_CURSOR_DFT_WM 0
6045#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 6046
ceb04246 6047#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
6048#define I965_CURSOR_FIFO 64
6049#define I965_CURSOR_MAX_WM 32
6050#define I965_CURSOR_DFT_WM 8
7f8a8569 6051
fae1267d 6052/* Watermark register definitions for SKL */
086f8e84
VS
6053#define _CUR_WM_A_0 0x70140
6054#define _CUR_WM_B_0 0x71140
6055#define _PLANE_WM_1_A_0 0x70240
6056#define _PLANE_WM_1_B_0 0x71240
6057#define _PLANE_WM_2_A_0 0x70340
6058#define _PLANE_WM_2_B_0 0x71340
6059#define _PLANE_WM_TRANS_1_A_0 0x70268
6060#define _PLANE_WM_TRANS_1_B_0 0x71268
6061#define _PLANE_WM_TRANS_2_A_0 0x70368
6062#define _PLANE_WM_TRANS_2_B_0 0x71368
6063#define _CUR_WM_TRANS_A_0 0x70168
6064#define _CUR_WM_TRANS_B_0 0x71168
fae1267d 6065#define PLANE_WM_EN (1 << 31)
2ed8e1f5 6066#define PLANE_WM_IGNORE_LINES (1 << 30)
fae1267d
PB
6067#define PLANE_WM_LINES_SHIFT 14
6068#define PLANE_WM_LINES_MASK 0x1f
c7e716b8 6069#define PLANE_WM_BLOCKS_MASK 0x7ff /* skl+: 10 bits, icl+ 11 bits */
fae1267d 6070
086f8e84 6071#define _CUR_WM_0(pipe) _PIPE(pipe, _CUR_WM_A_0, _CUR_WM_B_0)
f0f59a00
VS
6072#define CUR_WM(pipe, level) _MMIO(_CUR_WM_0(pipe) + ((4) * (level)))
6073#define CUR_WM_TRANS(pipe) _MMIO_PIPE(pipe, _CUR_WM_TRANS_A_0, _CUR_WM_TRANS_B_0)
fae1267d 6074
086f8e84
VS
6075#define _PLANE_WM_1(pipe) _PIPE(pipe, _PLANE_WM_1_A_0, _PLANE_WM_1_B_0)
6076#define _PLANE_WM_2(pipe) _PIPE(pipe, _PLANE_WM_2_A_0, _PLANE_WM_2_B_0)
fae1267d
PB
6077#define _PLANE_WM_BASE(pipe, plane) \
6078 _PLANE(plane, _PLANE_WM_1(pipe), _PLANE_WM_2(pipe))
6079#define PLANE_WM(pipe, plane, level) \
f0f59a00 6080 _MMIO(_PLANE_WM_BASE(pipe, plane) + ((4) * (level)))
fae1267d 6081#define _PLANE_WM_TRANS_1(pipe) \
086f8e84 6082 _PIPE(pipe, _PLANE_WM_TRANS_1_A_0, _PLANE_WM_TRANS_1_B_0)
fae1267d 6083#define _PLANE_WM_TRANS_2(pipe) \
086f8e84 6084 _PIPE(pipe, _PLANE_WM_TRANS_2_A_0, _PLANE_WM_TRANS_2_B_0)
fae1267d 6085#define PLANE_WM_TRANS(pipe, plane) \
f0f59a00 6086 _MMIO(_PLANE(plane, _PLANE_WM_TRANS_1(pipe), _PLANE_WM_TRANS_2(pipe)))
fae1267d 6087
7f8a8569 6088/* define the Watermark register on Ironlake */
f0f59a00 6089#define WM0_PIPEA_ILK _MMIO(0x45100)
5ee8ee86 6090#define WM0_PIPE_PLANE_MASK (0xffff << 16)
7f8a8569 6091#define WM0_PIPE_PLANE_SHIFT 16
5ee8ee86 6092#define WM0_PIPE_SPRITE_MASK (0xff << 8)
7f8a8569 6093#define WM0_PIPE_SPRITE_SHIFT 8
1996d624 6094#define WM0_PIPE_CURSOR_MASK (0xff)
7f8a8569 6095
f0f59a00
VS
6096#define WM0_PIPEB_ILK _MMIO(0x45104)
6097#define WM0_PIPEC_IVB _MMIO(0x45200)
6098#define WM1_LP_ILK _MMIO(0x45108)
5ee8ee86 6099#define WM1_LP_SR_EN (1 << 31)
7f8a8569 6100#define WM1_LP_LATENCY_SHIFT 24
5ee8ee86
PZ
6101#define WM1_LP_LATENCY_MASK (0x7f << 24)
6102#define WM1_LP_FBC_MASK (0xf << 20)
4ed765f9 6103#define WM1_LP_FBC_SHIFT 20
416f4727 6104#define WM1_LP_FBC_SHIFT_BDW 19
5ee8ee86 6105#define WM1_LP_SR_MASK (0x7ff << 8)
7f8a8569 6106#define WM1_LP_SR_SHIFT 8
1996d624 6107#define WM1_LP_CURSOR_MASK (0xff)
f0f59a00 6108#define WM2_LP_ILK _MMIO(0x4510c)
5ee8ee86 6109#define WM2_LP_EN (1 << 31)
f0f59a00 6110#define WM3_LP_ILK _MMIO(0x45110)
5ee8ee86 6111#define WM3_LP_EN (1 << 31)
f0f59a00
VS
6112#define WM1S_LP_ILK _MMIO(0x45120)
6113#define WM2S_LP_IVB _MMIO(0x45124)
6114#define WM3S_LP_IVB _MMIO(0x45128)
5ee8ee86 6115#define WM1S_LP_EN (1 << 31)
7f8a8569 6116
cca32e9a
PZ
6117#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
6118 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
6119 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
6120
7f8a8569 6121/* Memory latency timer register */
f0f59a00 6122#define MLTR_ILK _MMIO(0x11222)
b79d4990
JB
6123#define MLTR_WM1_SHIFT 0
6124#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
6125/* the unit of memory self-refresh latency time is 0.5us */
6126#define ILK_SRLT_MASK 0x3f
6127
1398261a
YL
6128
6129/* the address where we get all kinds of latency value */
f0f59a00 6130#define SSKPD _MMIO(0x5d10)
1398261a
YL
6131#define SSKPD_WM_MASK 0x3f
6132#define SSKPD_WM0_SHIFT 0
6133#define SSKPD_WM1_SHIFT 8
6134#define SSKPD_WM2_SHIFT 16
6135#define SSKPD_WM3_SHIFT 24
6136
585fb111
JB
6137/*
6138 * The two pipe frame counter registers are not synchronized, so
6139 * reading a stable value is somewhat tricky. The following code
6140 * should work:
6141 *
6142 * do {
6143 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6144 * PIPE_FRAME_HIGH_SHIFT;
6145 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
6146 * PIPE_FRAME_LOW_SHIFT);
6147 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6148 * PIPE_FRAME_HIGH_SHIFT);
6149 * } while (high1 != high2);
6150 * frame = (high1 << 8) | low1;
6151 */
25a2e2d0 6152#define _PIPEAFRAMEHIGH 0x70040
585fb111
JB
6153#define PIPE_FRAME_HIGH_MASK 0x0000ffff
6154#define PIPE_FRAME_HIGH_SHIFT 0
25a2e2d0 6155#define _PIPEAFRAMEPIXEL 0x70044
585fb111
JB
6156#define PIPE_FRAME_LOW_MASK 0xff000000
6157#define PIPE_FRAME_LOW_SHIFT 24
6158#define PIPE_PIXEL_MASK 0x00ffffff
6159#define PIPE_PIXEL_SHIFT 0
9880b7a5 6160/* GM45+ just has to be different */
fd8f507c
VS
6161#define _PIPEA_FRMCOUNT_G4X 0x70040
6162#define _PIPEA_FLIPCOUNT_G4X 0x70044
f0f59a00
VS
6163#define PIPE_FRMCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FRMCOUNT_G4X)
6164#define PIPE_FLIPCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FLIPCOUNT_G4X)
585fb111
JB
6165
6166/* Cursor A & B regs */
5efb3e28 6167#define _CURACNTR 0x70080
14b60391
JB
6168/* Old style CUR*CNTR flags (desktop 8xx) */
6169#define CURSOR_ENABLE 0x80000000
6170#define CURSOR_GAMMA_ENABLE 0x40000000
dc41c154 6171#define CURSOR_STRIDE_SHIFT 28
5ee8ee86 6172#define CURSOR_STRIDE(x) ((ffs(x) - 9) << CURSOR_STRIDE_SHIFT) /* 256,512,1k,2k */
14b60391
JB
6173#define CURSOR_FORMAT_SHIFT 24
6174#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
6175#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
6176#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
6177#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
6178#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
6179#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
6180/* New style CUR*CNTR flags */
b99b9ec1
VS
6181#define MCURSOR_MODE 0x27
6182#define MCURSOR_MODE_DISABLE 0x00
6183#define MCURSOR_MODE_128_32B_AX 0x02
6184#define MCURSOR_MODE_256_32B_AX 0x03
6185#define MCURSOR_MODE_64_32B_AX 0x07
6186#define MCURSOR_MODE_128_ARGB_AX ((1 << 5) | MCURSOR_MODE_128_32B_AX)
6187#define MCURSOR_MODE_256_ARGB_AX ((1 << 5) | MCURSOR_MODE_256_32B_AX)
6188#define MCURSOR_MODE_64_ARGB_AX ((1 << 5) | MCURSOR_MODE_64_32B_AX)
eade6c89
VS
6189#define MCURSOR_PIPE_SELECT_MASK (0x3 << 28)
6190#define MCURSOR_PIPE_SELECT_SHIFT 28
d509e28b 6191#define MCURSOR_PIPE_SELECT(pipe) ((pipe) << 28)
585fb111 6192#define MCURSOR_GAMMA_ENABLE (1 << 26)
8271b2ef 6193#define MCURSOR_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
5ee8ee86 6194#define MCURSOR_ROTATE_180 (1 << 15)
b99b9ec1 6195#define MCURSOR_TRICKLE_FEED_DISABLE (1 << 14)
5efb3e28
VS
6196#define _CURABASE 0x70084
6197#define _CURAPOS 0x70088
585fb111
JB
6198#define CURSOR_POS_MASK 0x007FF
6199#define CURSOR_POS_SIGN 0x8000
6200#define CURSOR_X_SHIFT 0
6201#define CURSOR_Y_SHIFT 16
024faac7
VS
6202#define CURSIZE _MMIO(0x700a0) /* 845/865 */
6203#define _CUR_FBC_CTL_A 0x700a0 /* ivb+ */
6204#define CUR_FBC_CTL_EN (1 << 31)
a8ada068 6205#define _CURASURFLIVE 0x700ac /* g4x+ */
5efb3e28
VS
6206#define _CURBCNTR 0x700c0
6207#define _CURBBASE 0x700c4
6208#define _CURBPOS 0x700c8
585fb111 6209
65a21cd6
JB
6210#define _CURBCNTR_IVB 0x71080
6211#define _CURBBASE_IVB 0x71084
6212#define _CURBPOS_IVB 0x71088
6213
5efb3e28
VS
6214#define CURCNTR(pipe) _CURSOR2(pipe, _CURACNTR)
6215#define CURBASE(pipe) _CURSOR2(pipe, _CURABASE)
6216#define CURPOS(pipe) _CURSOR2(pipe, _CURAPOS)
024faac7 6217#define CUR_FBC_CTL(pipe) _CURSOR2(pipe, _CUR_FBC_CTL_A)
a8ada068 6218#define CURSURFLIVE(pipe) _CURSOR2(pipe, _CURASURFLIVE)
c4a1d9e4 6219
5efb3e28
VS
6220#define CURSOR_A_OFFSET 0x70080
6221#define CURSOR_B_OFFSET 0x700c0
6222#define CHV_CURSOR_C_OFFSET 0x700e0
6223#define IVB_CURSOR_B_OFFSET 0x71080
6224#define IVB_CURSOR_C_OFFSET 0x72080
65a21cd6 6225
585fb111 6226/* Display A control */
a57c774a 6227#define _DSPACNTR 0x70180
5ee8ee86 6228#define DISPLAY_PLANE_ENABLE (1 << 31)
585fb111 6229#define DISPLAY_PLANE_DISABLE 0
5ee8ee86 6230#define DISPPLANE_GAMMA_ENABLE (1 << 30)
585fb111 6231#define DISPPLANE_GAMMA_DISABLE 0
5ee8ee86
PZ
6232#define DISPPLANE_PIXFORMAT_MASK (0xf << 26)
6233#define DISPPLANE_YUV422 (0x0 << 26)
6234#define DISPPLANE_8BPP (0x2 << 26)
6235#define DISPPLANE_BGRA555 (0x3 << 26)
6236#define DISPPLANE_BGRX555 (0x4 << 26)
6237#define DISPPLANE_BGRX565 (0x5 << 26)
6238#define DISPPLANE_BGRX888 (0x6 << 26)
6239#define DISPPLANE_BGRA888 (0x7 << 26)
6240#define DISPPLANE_RGBX101010 (0x8 << 26)
6241#define DISPPLANE_RGBA101010 (0x9 << 26)
6242#define DISPPLANE_BGRX101010 (0xa << 26)
6243#define DISPPLANE_RGBX161616 (0xc << 26)
6244#define DISPPLANE_RGBX888 (0xe << 26)
6245#define DISPPLANE_RGBA888 (0xf << 26)
6246#define DISPPLANE_STEREO_ENABLE (1 << 25)
585fb111 6247#define DISPPLANE_STEREO_DISABLE 0
8271b2ef 6248#define DISPPLANE_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
b24e7179 6249#define DISPPLANE_SEL_PIPE_SHIFT 24
5ee8ee86
PZ
6250#define DISPPLANE_SEL_PIPE_MASK (3 << DISPPLANE_SEL_PIPE_SHIFT)
6251#define DISPPLANE_SEL_PIPE(pipe) ((pipe) << DISPPLANE_SEL_PIPE_SHIFT)
6252#define DISPPLANE_SRC_KEY_ENABLE (1 << 22)
585fb111 6253#define DISPPLANE_SRC_KEY_DISABLE 0
5ee8ee86 6254#define DISPPLANE_LINE_DOUBLE (1 << 20)
585fb111
JB
6255#define DISPPLANE_NO_LINE_DOUBLE 0
6256#define DISPPLANE_STEREO_POLARITY_FIRST 0
5ee8ee86
PZ
6257#define DISPPLANE_STEREO_POLARITY_SECOND (1 << 18)
6258#define DISPPLANE_ALPHA_PREMULTIPLY (1 << 16) /* CHV pipe B */
6259#define DISPPLANE_ROTATE_180 (1 << 15)
6260#define DISPPLANE_TRICKLE_FEED_DISABLE (1 << 14) /* Ironlake */
6261#define DISPPLANE_TILED (1 << 10)
6262#define DISPPLANE_MIRROR (1 << 8) /* CHV pipe B */
a57c774a
AK
6263#define _DSPAADDR 0x70184
6264#define _DSPASTRIDE 0x70188
6265#define _DSPAPOS 0x7018C /* reserved */
6266#define _DSPASIZE 0x70190
6267#define _DSPASURF 0x7019C /* 965+ only */
6268#define _DSPATILEOFF 0x701A4 /* 965+ only */
6269#define _DSPAOFFSET 0x701A4 /* HSW */
6270#define _DSPASURFLIVE 0x701AC
94e15723 6271#define _DSPAGAMC 0x701E0
a57c774a 6272
f0f59a00
VS
6273#define DSPCNTR(plane) _MMIO_PIPE2(plane, _DSPACNTR)
6274#define DSPADDR(plane) _MMIO_PIPE2(plane, _DSPAADDR)
6275#define DSPSTRIDE(plane) _MMIO_PIPE2(plane, _DSPASTRIDE)
6276#define DSPPOS(plane) _MMIO_PIPE2(plane, _DSPAPOS)
6277#define DSPSIZE(plane) _MMIO_PIPE2(plane, _DSPASIZE)
6278#define DSPSURF(plane) _MMIO_PIPE2(plane, _DSPASURF)
6279#define DSPTILEOFF(plane) _MMIO_PIPE2(plane, _DSPATILEOFF)
6280#define DSPLINOFF(plane) DSPADDR(plane)
6281#define DSPOFFSET(plane) _MMIO_PIPE2(plane, _DSPAOFFSET)
6282#define DSPSURFLIVE(plane) _MMIO_PIPE2(plane, _DSPASURFLIVE)
94e15723 6283#define DSPGAMC(plane, i) _MMIO(_PIPE2(plane, _DSPAGAMC) + (5 - (i)) * 4) /* plane C only, 6 x u0.8 */
5eddb70b 6284
c14b0485
VS
6285/* CHV pipe B blender and primary plane */
6286#define _CHV_BLEND_A 0x60a00
5ee8ee86
PZ
6287#define CHV_BLEND_LEGACY (0 << 30)
6288#define CHV_BLEND_ANDROID (1 << 30)
6289#define CHV_BLEND_MPO (2 << 30)
6290#define CHV_BLEND_MASK (3 << 30)
c14b0485
VS
6291#define _CHV_CANVAS_A 0x60a04
6292#define _PRIMPOS_A 0x60a08
6293#define _PRIMSIZE_A 0x60a0c
6294#define _PRIMCNSTALPHA_A 0x60a10
5ee8ee86 6295#define PRIM_CONST_ALPHA_ENABLE (1 << 31)
c14b0485 6296
f0f59a00
VS
6297#define CHV_BLEND(pipe) _MMIO_TRANS2(pipe, _CHV_BLEND_A)
6298#define CHV_CANVAS(pipe) _MMIO_TRANS2(pipe, _CHV_CANVAS_A)
6299#define PRIMPOS(plane) _MMIO_TRANS2(plane, _PRIMPOS_A)
6300#define PRIMSIZE(plane) _MMIO_TRANS2(plane, _PRIMSIZE_A)
6301#define PRIMCNSTALPHA(plane) _MMIO_TRANS2(plane, _PRIMCNSTALPHA_A)
c14b0485 6302
446f2545
AR
6303/* Display/Sprite base address macros */
6304#define DISP_BASEADDR_MASK (0xfffff000)
9e8789ec
PZ
6305#define I915_LO_DISPBASE(val) ((val) & ~DISP_BASEADDR_MASK)
6306#define I915_HI_DISPBASE(val) ((val) & DISP_BASEADDR_MASK)
446f2545 6307
85fa792b
VS
6308/*
6309 * VBIOS flags
6310 * gen2:
6311 * [00:06] alm,mgm
6312 * [10:16] all
6313 * [30:32] alm,mgm
6314 * gen3+:
6315 * [00:0f] all
6316 * [10:1f] all
6317 * [30:32] all
6318 */
ed5eb1b7
JN
6319#define SWF0(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70410 + (i) * 4)
6320#define SWF1(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x71410 + (i) * 4)
6321#define SWF3(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x72414 + (i) * 4)
f0f59a00 6322#define SWF_ILK(i) _MMIO(0x4F000 + (i) * 4)
585fb111
JB
6323
6324/* Pipe B */
ed5eb1b7
JN
6325#define _PIPEBDSL (DISPLAY_MMIO_BASE(dev_priv) + 0x71000)
6326#define _PIPEBCONF (DISPLAY_MMIO_BASE(dev_priv) + 0x71008)
6327#define _PIPEBSTAT (DISPLAY_MMIO_BASE(dev_priv) + 0x71024)
25a2e2d0
VS
6328#define _PIPEBFRAMEHIGH 0x71040
6329#define _PIPEBFRAMEPIXEL 0x71044
ed5eb1b7
JN
6330#define _PIPEB_FRMCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71040)
6331#define _PIPEB_FLIPCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71044)
9880b7a5 6332
585fb111
JB
6333
6334/* Display B control */
ed5eb1b7 6335#define _DSPBCNTR (DISPLAY_MMIO_BASE(dev_priv) + 0x71180)
5ee8ee86 6336#define DISPPLANE_ALPHA_TRANS_ENABLE (1 << 15)
585fb111
JB
6337#define DISPPLANE_ALPHA_TRANS_DISABLE 0
6338#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
6339#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
ed5eb1b7
JN
6340#define _DSPBADDR (DISPLAY_MMIO_BASE(dev_priv) + 0x71184)
6341#define _DSPBSTRIDE (DISPLAY_MMIO_BASE(dev_priv) + 0x71188)
6342#define _DSPBPOS (DISPLAY_MMIO_BASE(dev_priv) + 0x7118C)
6343#define _DSPBSIZE (DISPLAY_MMIO_BASE(dev_priv) + 0x71190)
6344#define _DSPBSURF (DISPLAY_MMIO_BASE(dev_priv) + 0x7119C)
6345#define _DSPBTILEOFF (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6346#define _DSPBOFFSET (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6347#define _DSPBSURFLIVE (DISPLAY_MMIO_BASE(dev_priv) + 0x711AC)
585fb111 6348
372610f3
MC
6349/* ICL DSI 0 and 1 */
6350#define _PIPEDSI0CONF 0x7b008
6351#define _PIPEDSI1CONF 0x7b808
6352
b840d907
JB
6353/* Sprite A control */
6354#define _DVSACNTR 0x72180
5ee8ee86
PZ
6355#define DVS_ENABLE (1 << 31)
6356#define DVS_GAMMA_ENABLE (1 << 30)
6357#define DVS_YUV_RANGE_CORRECTION_DISABLE (1 << 27)
6358#define DVS_PIXFORMAT_MASK (3 << 25)
6359#define DVS_FORMAT_YUV422 (0 << 25)
6360#define DVS_FORMAT_RGBX101010 (1 << 25)
6361#define DVS_FORMAT_RGBX888 (2 << 25)
6362#define DVS_FORMAT_RGBX161616 (3 << 25)
6363#define DVS_PIPE_CSC_ENABLE (1 << 24)
6364#define DVS_SOURCE_KEY (1 << 22)
6365#define DVS_RGB_ORDER_XBGR (1 << 20)
6366#define DVS_YUV_FORMAT_BT709 (1 << 18)
6367#define DVS_YUV_BYTE_ORDER_MASK (3 << 16)
6368#define DVS_YUV_ORDER_YUYV (0 << 16)
6369#define DVS_YUV_ORDER_UYVY (1 << 16)
6370#define DVS_YUV_ORDER_YVYU (2 << 16)
6371#define DVS_YUV_ORDER_VYUY (3 << 16)
6372#define DVS_ROTATE_180 (1 << 15)
6373#define DVS_DEST_KEY (1 << 2)
6374#define DVS_TRICKLE_FEED_DISABLE (1 << 14)
6375#define DVS_TILED (1 << 10)
b840d907
JB
6376#define _DVSALINOFF 0x72184
6377#define _DVSASTRIDE 0x72188
6378#define _DVSAPOS 0x7218c
6379#define _DVSASIZE 0x72190
6380#define _DVSAKEYVAL 0x72194
6381#define _DVSAKEYMSK 0x72198
6382#define _DVSASURF 0x7219c
6383#define _DVSAKEYMAXVAL 0x721a0
6384#define _DVSATILEOFF 0x721a4
6385#define _DVSASURFLIVE 0x721ac
94e15723 6386#define _DVSAGAMC_G4X 0x721e0 /* g4x */
b840d907 6387#define _DVSASCALE 0x72204
5ee8ee86
PZ
6388#define DVS_SCALE_ENABLE (1 << 31)
6389#define DVS_FILTER_MASK (3 << 29)
6390#define DVS_FILTER_MEDIUM (0 << 29)
6391#define DVS_FILTER_ENHANCING (1 << 29)
6392#define DVS_FILTER_SOFTENING (2 << 29)
6393#define DVS_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6394#define DVS_VERTICAL_OFFSET_ENABLE (1 << 27)
94e15723
VS
6395#define _DVSAGAMC_ILK 0x72300 /* ilk/snb */
6396#define _DVSAGAMCMAX_ILK 0x72340 /* ilk/snb */
b840d907
JB
6397
6398#define _DVSBCNTR 0x73180
6399#define _DVSBLINOFF 0x73184
6400#define _DVSBSTRIDE 0x73188
6401#define _DVSBPOS 0x7318c
6402#define _DVSBSIZE 0x73190
6403#define _DVSBKEYVAL 0x73194
6404#define _DVSBKEYMSK 0x73198
6405#define _DVSBSURF 0x7319c
6406#define _DVSBKEYMAXVAL 0x731a0
6407#define _DVSBTILEOFF 0x731a4
6408#define _DVSBSURFLIVE 0x731ac
94e15723 6409#define _DVSBGAMC_G4X 0x731e0 /* g4x */
b840d907 6410#define _DVSBSCALE 0x73204
94e15723
VS
6411#define _DVSBGAMC_ILK 0x73300 /* ilk/snb */
6412#define _DVSBGAMCMAX_ILK 0x73340 /* ilk/snb */
b840d907 6413
f0f59a00
VS
6414#define DVSCNTR(pipe) _MMIO_PIPE(pipe, _DVSACNTR, _DVSBCNTR)
6415#define DVSLINOFF(pipe) _MMIO_PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
6416#define DVSSTRIDE(pipe) _MMIO_PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
6417#define DVSPOS(pipe) _MMIO_PIPE(pipe, _DVSAPOS, _DVSBPOS)
6418#define DVSSURF(pipe) _MMIO_PIPE(pipe, _DVSASURF, _DVSBSURF)
6419#define DVSKEYMAX(pipe) _MMIO_PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
6420#define DVSSIZE(pipe) _MMIO_PIPE(pipe, _DVSASIZE, _DVSBSIZE)
6421#define DVSSCALE(pipe) _MMIO_PIPE(pipe, _DVSASCALE, _DVSBSCALE)
6422#define DVSTILEOFF(pipe) _MMIO_PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
6423#define DVSKEYVAL(pipe) _MMIO_PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
6424#define DVSKEYMSK(pipe) _MMIO_PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
6425#define DVSSURFLIVE(pipe) _MMIO_PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
94e15723
VS
6426#define DVSGAMC_G4X(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMC_G4X, _DVSBGAMC_G4X) + (5 - (i)) * 4) /* 6 x u0.8 */
6427#define DVSGAMC_ILK(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMC_ILK, _DVSBGAMC_ILK) + (i) * 4) /* 16 x u0.10 */
6428#define DVSGAMCMAX_ILK(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMCMAX_ILK, _DVSBGAMCMAX_ILK) + (i) * 4) /* 3 x u1.10 */
b840d907
JB
6429
6430#define _SPRA_CTL 0x70280
5ee8ee86
PZ
6431#define SPRITE_ENABLE (1 << 31)
6432#define SPRITE_GAMMA_ENABLE (1 << 30)
6433#define SPRITE_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6434#define SPRITE_PIXFORMAT_MASK (7 << 25)
6435#define SPRITE_FORMAT_YUV422 (0 << 25)
6436#define SPRITE_FORMAT_RGBX101010 (1 << 25)
6437#define SPRITE_FORMAT_RGBX888 (2 << 25)
6438#define SPRITE_FORMAT_RGBX161616 (3 << 25)
6439#define SPRITE_FORMAT_YUV444 (4 << 25)
6440#define SPRITE_FORMAT_XR_BGR101010 (5 << 25) /* Extended range */
6441#define SPRITE_PIPE_CSC_ENABLE (1 << 24)
6442#define SPRITE_SOURCE_KEY (1 << 22)
6443#define SPRITE_RGB_ORDER_RGBX (1 << 20) /* only for 888 and 161616 */
6444#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1 << 19)
6445#define SPRITE_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18) /* 0 is BT601 */
6446#define SPRITE_YUV_BYTE_ORDER_MASK (3 << 16)
6447#define SPRITE_YUV_ORDER_YUYV (0 << 16)
6448#define SPRITE_YUV_ORDER_UYVY (1 << 16)
6449#define SPRITE_YUV_ORDER_YVYU (2 << 16)
6450#define SPRITE_YUV_ORDER_VYUY (3 << 16)
6451#define SPRITE_ROTATE_180 (1 << 15)
6452#define SPRITE_TRICKLE_FEED_DISABLE (1 << 14)
423ee8e9 6453#define SPRITE_INT_GAMMA_DISABLE (1 << 13)
5ee8ee86
PZ
6454#define SPRITE_TILED (1 << 10)
6455#define SPRITE_DEST_KEY (1 << 2)
b840d907
JB
6456#define _SPRA_LINOFF 0x70284
6457#define _SPRA_STRIDE 0x70288
6458#define _SPRA_POS 0x7028c
6459#define _SPRA_SIZE 0x70290
6460#define _SPRA_KEYVAL 0x70294
6461#define _SPRA_KEYMSK 0x70298
6462#define _SPRA_SURF 0x7029c
6463#define _SPRA_KEYMAX 0x702a0
6464#define _SPRA_TILEOFF 0x702a4
c54173a8 6465#define _SPRA_OFFSET 0x702a4
32ae46bf 6466#define _SPRA_SURFLIVE 0x702ac
b840d907 6467#define _SPRA_SCALE 0x70304
5ee8ee86
PZ
6468#define SPRITE_SCALE_ENABLE (1 << 31)
6469#define SPRITE_FILTER_MASK (3 << 29)
6470#define SPRITE_FILTER_MEDIUM (0 << 29)
6471#define SPRITE_FILTER_ENHANCING (1 << 29)
6472#define SPRITE_FILTER_SOFTENING (2 << 29)
6473#define SPRITE_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6474#define SPRITE_VERTICAL_OFFSET_ENABLE (1 << 27)
b840d907 6475#define _SPRA_GAMC 0x70400
94e15723
VS
6476#define _SPRA_GAMC16 0x70440
6477#define _SPRA_GAMC17 0x7044c
b840d907
JB
6478
6479#define _SPRB_CTL 0x71280
6480#define _SPRB_LINOFF 0x71284
6481#define _SPRB_STRIDE 0x71288
6482#define _SPRB_POS 0x7128c
6483#define _SPRB_SIZE 0x71290
6484#define _SPRB_KEYVAL 0x71294
6485#define _SPRB_KEYMSK 0x71298
6486#define _SPRB_SURF 0x7129c
6487#define _SPRB_KEYMAX 0x712a0
6488#define _SPRB_TILEOFF 0x712a4
c54173a8 6489#define _SPRB_OFFSET 0x712a4
32ae46bf 6490#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
6491#define _SPRB_SCALE 0x71304
6492#define _SPRB_GAMC 0x71400
94e15723
VS
6493#define _SPRB_GAMC16 0x71440
6494#define _SPRB_GAMC17 0x7144c
b840d907 6495
f0f59a00
VS
6496#define SPRCTL(pipe) _MMIO_PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
6497#define SPRLINOFF(pipe) _MMIO_PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
6498#define SPRSTRIDE(pipe) _MMIO_PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
6499#define SPRPOS(pipe) _MMIO_PIPE(pipe, _SPRA_POS, _SPRB_POS)
6500#define SPRSIZE(pipe) _MMIO_PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
6501#define SPRKEYVAL(pipe) _MMIO_PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
6502#define SPRKEYMSK(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
6503#define SPRSURF(pipe) _MMIO_PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
6504#define SPRKEYMAX(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
6505#define SPRTILEOFF(pipe) _MMIO_PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
6506#define SPROFFSET(pipe) _MMIO_PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
6507#define SPRSCALE(pipe) _MMIO_PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
94e15723
VS
6508#define SPRGAMC(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC) + (i) * 4) /* 16 x u0.10 */
6509#define SPRGAMC16(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC16, _SPRB_GAMC16) + (i) * 4) /* 3 x u1.10 */
6510#define SPRGAMC17(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC17, _SPRB_GAMC17) + (i) * 4) /* 3 x u2.10 */
f0f59a00 6511#define SPRSURFLIVE(pipe) _MMIO_PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 6512
921c3b67 6513#define _SPACNTR (VLV_DISPLAY_BASE + 0x72180)
5ee8ee86
PZ
6514#define SP_ENABLE (1 << 31)
6515#define SP_GAMMA_ENABLE (1 << 30)
6516#define SP_PIXFORMAT_MASK (0xf << 26)
6517#define SP_FORMAT_YUV422 (0 << 26)
6518#define SP_FORMAT_BGR565 (5 << 26)
6519#define SP_FORMAT_BGRX8888 (6 << 26)
6520#define SP_FORMAT_BGRA8888 (7 << 26)
6521#define SP_FORMAT_RGBX1010102 (8 << 26)
6522#define SP_FORMAT_RGBA1010102 (9 << 26)
6523#define SP_FORMAT_RGBX8888 (0xe << 26)
6524#define SP_FORMAT_RGBA8888 (0xf << 26)
6525#define SP_ALPHA_PREMULTIPLY (1 << 23) /* CHV pipe B */
6526#define SP_SOURCE_KEY (1 << 22)
6527#define SP_YUV_FORMAT_BT709 (1 << 18)
6528#define SP_YUV_BYTE_ORDER_MASK (3 << 16)
6529#define SP_YUV_ORDER_YUYV (0 << 16)
6530#define SP_YUV_ORDER_UYVY (1 << 16)
6531#define SP_YUV_ORDER_YVYU (2 << 16)
6532#define SP_YUV_ORDER_VYUY (3 << 16)
6533#define SP_ROTATE_180 (1 << 15)
6534#define SP_TILED (1 << 10)
6535#define SP_MIRROR (1 << 8) /* CHV pipe B */
921c3b67
VS
6536#define _SPALINOFF (VLV_DISPLAY_BASE + 0x72184)
6537#define _SPASTRIDE (VLV_DISPLAY_BASE + 0x72188)
6538#define _SPAPOS (VLV_DISPLAY_BASE + 0x7218c)
6539#define _SPASIZE (VLV_DISPLAY_BASE + 0x72190)
6540#define _SPAKEYMINVAL (VLV_DISPLAY_BASE + 0x72194)
6541#define _SPAKEYMSK (VLV_DISPLAY_BASE + 0x72198)
6542#define _SPASURF (VLV_DISPLAY_BASE + 0x7219c)
6543#define _SPAKEYMAXVAL (VLV_DISPLAY_BASE + 0x721a0)
6544#define _SPATILEOFF (VLV_DISPLAY_BASE + 0x721a4)
6545#define _SPACONSTALPHA (VLV_DISPLAY_BASE + 0x721a8)
5ee8ee86 6546#define SP_CONST_ALPHA_ENABLE (1 << 31)
5deae919
VS
6547#define _SPACLRC0 (VLV_DISPLAY_BASE + 0x721d0)
6548#define SP_CONTRAST(x) ((x) << 18) /* u3.6 */
6549#define SP_BRIGHTNESS(x) ((x) & 0xff) /* s8 */
6550#define _SPACLRC1 (VLV_DISPLAY_BASE + 0x721d4)
6551#define SP_SH_SIN(x) (((x) & 0x7ff) << 16) /* s4.7 */
6552#define SP_SH_COS(x) (x) /* u3.7 */
94e15723 6553#define _SPAGAMC (VLV_DISPLAY_BASE + 0x721e0)
921c3b67
VS
6554
6555#define _SPBCNTR (VLV_DISPLAY_BASE + 0x72280)
6556#define _SPBLINOFF (VLV_DISPLAY_BASE + 0x72284)
6557#define _SPBSTRIDE (VLV_DISPLAY_BASE + 0x72288)
6558#define _SPBPOS (VLV_DISPLAY_BASE + 0x7228c)
6559#define _SPBSIZE (VLV_DISPLAY_BASE + 0x72290)
6560#define _SPBKEYMINVAL (VLV_DISPLAY_BASE + 0x72294)
6561#define _SPBKEYMSK (VLV_DISPLAY_BASE + 0x72298)
6562#define _SPBSURF (VLV_DISPLAY_BASE + 0x7229c)
6563#define _SPBKEYMAXVAL (VLV_DISPLAY_BASE + 0x722a0)
6564#define _SPBTILEOFF (VLV_DISPLAY_BASE + 0x722a4)
6565#define _SPBCONSTALPHA (VLV_DISPLAY_BASE + 0x722a8)
5deae919
VS
6566#define _SPBCLRC0 (VLV_DISPLAY_BASE + 0x722d0)
6567#define _SPBCLRC1 (VLV_DISPLAY_BASE + 0x722d4)
94e15723 6568#define _SPBGAMC (VLV_DISPLAY_BASE + 0x722e0)
7f1f3851 6569
94e15723
VS
6570#define _VLV_SPR(pipe, plane_id, reg_a, reg_b) \
6571 _PIPE((pipe) * 2 + (plane_id) - PLANE_SPRITE0, (reg_a), (reg_b))
83c04a62 6572#define _MMIO_VLV_SPR(pipe, plane_id, reg_a, reg_b) \
94e15723 6573 _MMIO(_VLV_SPR((pipe), (plane_id), (reg_a), (reg_b)))
83c04a62
VS
6574
6575#define SPCNTR(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACNTR, _SPBCNTR)
6576#define SPLINOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPALINOFF, _SPBLINOFF)
6577#define SPSTRIDE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASTRIDE, _SPBSTRIDE)
6578#define SPPOS(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAPOS, _SPBPOS)
6579#define SPSIZE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASIZE, _SPBSIZE)
6580#define SPKEYMINVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMINVAL, _SPBKEYMINVAL)
6581#define SPKEYMSK(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMSK, _SPBKEYMSK)
6582#define SPSURF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASURF, _SPBSURF)
6583#define SPKEYMAXVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMAXVAL, _SPBKEYMAXVAL)
6584#define SPTILEOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPATILEOFF, _SPBTILEOFF)
6585#define SPCONSTALPHA(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACONSTALPHA, _SPBCONSTALPHA)
5deae919
VS
6586#define SPCLRC0(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC0, _SPBCLRC0)
6587#define SPCLRC1(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC1, _SPBCLRC1)
94e15723 6588#define SPGAMC(pipe, plane_id, i) _MMIO(_VLV_SPR((pipe), (plane_id), _SPAGAMC, _SPBGAMC) + (5 - (i)) * 4) /* 6 x u0.10 */
7f1f3851 6589
6ca2aeb2
VS
6590/*
6591 * CHV pipe B sprite CSC
6592 *
6593 * |cr| |c0 c1 c2| |cr + cr_ioff| |cr_ooff|
6594 * |yg| = |c3 c4 c5| x |yg + yg_ioff| + |yg_ooff|
6595 * |cb| |c6 c7 c8| |cb + cr_ioff| |cb_ooff|
6596 */
83c04a62
VS
6597#define _MMIO_CHV_SPCSC(plane_id, reg) \
6598 _MMIO(VLV_DISPLAY_BASE + ((plane_id) - PLANE_SPRITE0) * 0x1000 + (reg))
6599
6600#define SPCSCYGOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d900)
6601#define SPCSCCBOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d904)
6602#define SPCSCCROFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d908)
6ca2aeb2
VS
6603#define SPCSC_OOFF(x) (((x) & 0x7ff) << 16) /* s11 */
6604#define SPCSC_IOFF(x) (((x) & 0x7ff) << 0) /* s11 */
6605
83c04a62
VS
6606#define SPCSCC01(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d90c)
6607#define SPCSCC23(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d910)
6608#define SPCSCC45(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d914)
6609#define SPCSCC67(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d918)
6610#define SPCSCC8(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d91c)
6ca2aeb2
VS
6611#define SPCSC_C1(x) (((x) & 0x7fff) << 16) /* s3.12 */
6612#define SPCSC_C0(x) (((x) & 0x7fff) << 0) /* s3.12 */
6613
83c04a62
VS
6614#define SPCSCYGICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d920)
6615#define SPCSCCBICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d924)
6616#define SPCSCCRICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d928)
6ca2aeb2
VS
6617#define SPCSC_IMAX(x) (((x) & 0x7ff) << 16) /* s11 */
6618#define SPCSC_IMIN(x) (((x) & 0x7ff) << 0) /* s11 */
6619
83c04a62
VS
6620#define SPCSCYGOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d92c)
6621#define SPCSCCBOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d930)
6622#define SPCSCCROCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d934)
6ca2aeb2
VS
6623#define SPCSC_OMAX(x) ((x) << 16) /* u10 */
6624#define SPCSC_OMIN(x) ((x) << 0) /* u10 */
6625
70d21f0e
DL
6626/* Skylake plane registers */
6627
6628#define _PLANE_CTL_1_A 0x70180
6629#define _PLANE_CTL_2_A 0x70280
6630#define _PLANE_CTL_3_A 0x70380
6631#define PLANE_CTL_ENABLE (1 << 31)
4036c78c 6632#define PLANE_CTL_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-GLK */
c8624ede 6633#define PLANE_CTL_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
b5972776
JA
6634/*
6635 * ICL+ uses the same PLANE_CTL_FORMAT bits, but the field definition
6636 * expanded to include bit 23 as well. However, the shift-24 based values
6637 * correctly map to the same formats in ICL, as long as bit 23 is set to 0
6638 */
70d21f0e 6639#define PLANE_CTL_FORMAT_MASK (0xf << 24)
5ee8ee86
PZ
6640#define PLANE_CTL_FORMAT_YUV422 (0 << 24)
6641#define PLANE_CTL_FORMAT_NV12 (1 << 24)
6642#define PLANE_CTL_FORMAT_XRGB_2101010 (2 << 24)
e1312211 6643#define PLANE_CTL_FORMAT_P010 (3 << 24)
5ee8ee86 6644#define PLANE_CTL_FORMAT_XRGB_8888 (4 << 24)
e1312211 6645#define PLANE_CTL_FORMAT_P012 (5 << 24)
5ee8ee86 6646#define PLANE_CTL_FORMAT_XRGB_16161616F (6 << 24)
e1312211 6647#define PLANE_CTL_FORMAT_P016 (7 << 24)
5ee8ee86
PZ
6648#define PLANE_CTL_FORMAT_AYUV (8 << 24)
6649#define PLANE_CTL_FORMAT_INDEXED (12 << 24)
6650#define PLANE_CTL_FORMAT_RGB_565 (14 << 24)
b5972776 6651#define ICL_PLANE_CTL_FORMAT_MASK (0x1f << 23)
4036c78c 6652#define PLANE_CTL_PIPE_CSC_ENABLE (1 << 23) /* Pre-GLK */
696fa001
SS
6653#define PLANE_CTL_FORMAT_Y210 (1 << 23)
6654#define PLANE_CTL_FORMAT_Y212 (3 << 23)
6655#define PLANE_CTL_FORMAT_Y216 (5 << 23)
6656#define PLANE_CTL_FORMAT_Y410 (7 << 23)
6657#define PLANE_CTL_FORMAT_Y412 (9 << 23)
6658#define PLANE_CTL_FORMAT_Y416 (0xb << 23)
dc2a41b4 6659#define PLANE_CTL_KEY_ENABLE_MASK (0x3 << 21)
5ee8ee86
PZ
6660#define PLANE_CTL_KEY_ENABLE_SOURCE (1 << 21)
6661#define PLANE_CTL_KEY_ENABLE_DESTINATION (2 << 21)
70d21f0e
DL
6662#define PLANE_CTL_ORDER_BGRX (0 << 20)
6663#define PLANE_CTL_ORDER_RGBX (1 << 20)
1e364f90 6664#define PLANE_CTL_YUV420_Y_PLANE (1 << 19)
b0f5c0ba 6665#define PLANE_CTL_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18)
70d21f0e 6666#define PLANE_CTL_YUV422_ORDER_MASK (0x3 << 16)
5ee8ee86
PZ
6667#define PLANE_CTL_YUV422_YUYV (0 << 16)
6668#define PLANE_CTL_YUV422_UYVY (1 << 16)
6669#define PLANE_CTL_YUV422_YVYU (2 << 16)
6670#define PLANE_CTL_YUV422_VYUY (3 << 16)
53867b46 6671#define PLANE_CTL_RENDER_DECOMPRESSION_ENABLE (1 << 15)
70d21f0e 6672#define PLANE_CTL_TRICKLE_FEED_DISABLE (1 << 14)
4036c78c 6673#define PLANE_CTL_PLANE_GAMMA_DISABLE (1 << 13) /* Pre-GLK */
70d21f0e 6674#define PLANE_CTL_TILED_MASK (0x7 << 10)
5ee8ee86
PZ
6675#define PLANE_CTL_TILED_LINEAR (0 << 10)
6676#define PLANE_CTL_TILED_X (1 << 10)
6677#define PLANE_CTL_TILED_Y (4 << 10)
6678#define PLANE_CTL_TILED_YF (5 << 10)
6679#define PLANE_CTL_FLIP_HORIZONTAL (1 << 8)
4036c78c 6680#define PLANE_CTL_ALPHA_MASK (0x3 << 4) /* Pre-GLK */
5ee8ee86
PZ
6681#define PLANE_CTL_ALPHA_DISABLE (0 << 4)
6682#define PLANE_CTL_ALPHA_SW_PREMULTIPLY (2 << 4)
6683#define PLANE_CTL_ALPHA_HW_PREMULTIPLY (3 << 4)
1447dde0
SJ
6684#define PLANE_CTL_ROTATE_MASK 0x3
6685#define PLANE_CTL_ROTATE_0 0x0
3b7a5119 6686#define PLANE_CTL_ROTATE_90 0x1
1447dde0 6687#define PLANE_CTL_ROTATE_180 0x2
3b7a5119 6688#define PLANE_CTL_ROTATE_270 0x3
70d21f0e
DL
6689#define _PLANE_STRIDE_1_A 0x70188
6690#define _PLANE_STRIDE_2_A 0x70288
6691#define _PLANE_STRIDE_3_A 0x70388
6692#define _PLANE_POS_1_A 0x7018c
6693#define _PLANE_POS_2_A 0x7028c
6694#define _PLANE_POS_3_A 0x7038c
6695#define _PLANE_SIZE_1_A 0x70190
6696#define _PLANE_SIZE_2_A 0x70290
6697#define _PLANE_SIZE_3_A 0x70390
6698#define _PLANE_SURF_1_A 0x7019c
6699#define _PLANE_SURF_2_A 0x7029c
6700#define _PLANE_SURF_3_A 0x7039c
6701#define _PLANE_OFFSET_1_A 0x701a4
6702#define _PLANE_OFFSET_2_A 0x702a4
6703#define _PLANE_OFFSET_3_A 0x703a4
dc2a41b4
DL
6704#define _PLANE_KEYVAL_1_A 0x70194
6705#define _PLANE_KEYVAL_2_A 0x70294
6706#define _PLANE_KEYMSK_1_A 0x70198
6707#define _PLANE_KEYMSK_2_A 0x70298
b2081525 6708#define PLANE_KEYMSK_ALPHA_ENABLE (1 << 31)
dc2a41b4
DL
6709#define _PLANE_KEYMAX_1_A 0x701a0
6710#define _PLANE_KEYMAX_2_A 0x702a0
7b012bd6 6711#define PLANE_KEYMAX_ALPHA(a) ((a) << 24)
2e2adb05
VS
6712#define _PLANE_AUX_DIST_1_A 0x701c0
6713#define _PLANE_AUX_DIST_2_A 0x702c0
6714#define _PLANE_AUX_OFFSET_1_A 0x701c4
6715#define _PLANE_AUX_OFFSET_2_A 0x702c4
cb2458ba
ML
6716#define _PLANE_CUS_CTL_1_A 0x701c8
6717#define _PLANE_CUS_CTL_2_A 0x702c8
6718#define PLANE_CUS_ENABLE (1 << 31)
6719#define PLANE_CUS_PLANE_6 (0 << 30)
6720#define PLANE_CUS_PLANE_7 (1 << 30)
6721#define PLANE_CUS_HPHASE_SIGN_NEGATIVE (1 << 19)
6722#define PLANE_CUS_HPHASE_0 (0 << 16)
6723#define PLANE_CUS_HPHASE_0_25 (1 << 16)
6724#define PLANE_CUS_HPHASE_0_5 (2 << 16)
6725#define PLANE_CUS_VPHASE_SIGN_NEGATIVE (1 << 15)
6726#define PLANE_CUS_VPHASE_0 (0 << 12)
6727#define PLANE_CUS_VPHASE_0_25 (1 << 12)
6728#define PLANE_CUS_VPHASE_0_5 (2 << 12)
47f9ea8b
ACO
6729#define _PLANE_COLOR_CTL_1_A 0x701CC /* GLK+ */
6730#define _PLANE_COLOR_CTL_2_A 0x702CC /* GLK+ */
6731#define _PLANE_COLOR_CTL_3_A 0x703CC /* GLK+ */
077ef1f0 6732#define PLANE_COLOR_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-ICL */
c8624ede 6733#define PLANE_COLOR_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6a255da7 6734#define PLANE_COLOR_INPUT_CSC_ENABLE (1 << 20) /* ICL+ */
077ef1f0 6735#define PLANE_COLOR_PIPE_CSC_ENABLE (1 << 23) /* Pre-ICL */
38f24f21
VS
6736#define PLANE_COLOR_CSC_MODE_BYPASS (0 << 17)
6737#define PLANE_COLOR_CSC_MODE_YUV601_TO_RGB709 (1 << 17)
6738#define PLANE_COLOR_CSC_MODE_YUV709_TO_RGB709 (2 << 17)
6739#define PLANE_COLOR_CSC_MODE_YUV2020_TO_RGB2020 (3 << 17)
6740#define PLANE_COLOR_CSC_MODE_RGB709_TO_RGB2020 (4 << 17)
47f9ea8b 6741#define PLANE_COLOR_PLANE_GAMMA_DISABLE (1 << 13)
4036c78c
JA
6742#define PLANE_COLOR_ALPHA_MASK (0x3 << 4)
6743#define PLANE_COLOR_ALPHA_DISABLE (0 << 4)
6744#define PLANE_COLOR_ALPHA_SW_PREMULTIPLY (2 << 4)
6745#define PLANE_COLOR_ALPHA_HW_PREMULTIPLY (3 << 4)
8211bd5b
DL
6746#define _PLANE_BUF_CFG_1_A 0x7027c
6747#define _PLANE_BUF_CFG_2_A 0x7037c
2cd601c6
CK
6748#define _PLANE_NV12_BUF_CFG_1_A 0x70278
6749#define _PLANE_NV12_BUF_CFG_2_A 0x70378
70d21f0e 6750
6a255da7
US
6751/* Input CSC Register Definitions */
6752#define _PLANE_INPUT_CSC_RY_GY_1_A 0x701E0
6753#define _PLANE_INPUT_CSC_RY_GY_2_A 0x702E0
6754
6755#define _PLANE_INPUT_CSC_RY_GY_1_B 0x711E0
6756#define _PLANE_INPUT_CSC_RY_GY_2_B 0x712E0
6757
6758#define _PLANE_INPUT_CSC_RY_GY_1(pipe) \
6759 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_1_A, \
6760 _PLANE_INPUT_CSC_RY_GY_1_B)
6761#define _PLANE_INPUT_CSC_RY_GY_2(pipe) \
6762 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_2_A, \
6763 _PLANE_INPUT_CSC_RY_GY_2_B)
6764
6765#define PLANE_INPUT_CSC_COEFF(pipe, plane, index) \
6766 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_RY_GY_1(pipe) + (index) * 4, \
6767 _PLANE_INPUT_CSC_RY_GY_2(pipe) + (index) * 4)
6768
6769#define _PLANE_INPUT_CSC_PREOFF_HI_1_A 0x701F8
6770#define _PLANE_INPUT_CSC_PREOFF_HI_2_A 0x702F8
6771
6772#define _PLANE_INPUT_CSC_PREOFF_HI_1_B 0x711F8
6773#define _PLANE_INPUT_CSC_PREOFF_HI_2_B 0x712F8
6774
6775#define _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) \
6776 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_1_A, \
6777 _PLANE_INPUT_CSC_PREOFF_HI_1_B)
6778#define _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) \
6779 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_2_A, \
6780 _PLANE_INPUT_CSC_PREOFF_HI_2_B)
6781#define PLANE_INPUT_CSC_PREOFF(pipe, plane, index) \
6782 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) + (index) * 4, \
6783 _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) + (index) * 4)
6784
6785#define _PLANE_INPUT_CSC_POSTOFF_HI_1_A 0x70204
6786#define _PLANE_INPUT_CSC_POSTOFF_HI_2_A 0x70304
6787
6788#define _PLANE_INPUT_CSC_POSTOFF_HI_1_B 0x71204
6789#define _PLANE_INPUT_CSC_POSTOFF_HI_2_B 0x71304
6790
6791#define _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) \
6792 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_1_A, \
6793 _PLANE_INPUT_CSC_POSTOFF_HI_1_B)
6794#define _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) \
6795 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_2_A, \
6796 _PLANE_INPUT_CSC_POSTOFF_HI_2_B)
6797#define PLANE_INPUT_CSC_POSTOFF(pipe, plane, index) \
6798 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) + (index) * 4, \
6799 _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) + (index) * 4)
47f9ea8b 6800
70d21f0e
DL
6801#define _PLANE_CTL_1_B 0x71180
6802#define _PLANE_CTL_2_B 0x71280
6803#define _PLANE_CTL_3_B 0x71380
6804#define _PLANE_CTL_1(pipe) _PIPE(pipe, _PLANE_CTL_1_A, _PLANE_CTL_1_B)
6805#define _PLANE_CTL_2(pipe) _PIPE(pipe, _PLANE_CTL_2_A, _PLANE_CTL_2_B)
6806#define _PLANE_CTL_3(pipe) _PIPE(pipe, _PLANE_CTL_3_A, _PLANE_CTL_3_B)
6807#define PLANE_CTL(pipe, plane) \
f0f59a00 6808 _MMIO_PLANE(plane, _PLANE_CTL_1(pipe), _PLANE_CTL_2(pipe))
70d21f0e
DL
6809
6810#define _PLANE_STRIDE_1_B 0x71188
6811#define _PLANE_STRIDE_2_B 0x71288
6812#define _PLANE_STRIDE_3_B 0x71388
6813#define _PLANE_STRIDE_1(pipe) \
6814 _PIPE(pipe, _PLANE_STRIDE_1_A, _PLANE_STRIDE_1_B)
6815#define _PLANE_STRIDE_2(pipe) \
6816 _PIPE(pipe, _PLANE_STRIDE_2_A, _PLANE_STRIDE_2_B)
6817#define _PLANE_STRIDE_3(pipe) \
6818 _PIPE(pipe, _PLANE_STRIDE_3_A, _PLANE_STRIDE_3_B)
6819#define PLANE_STRIDE(pipe, plane) \
f0f59a00 6820 _MMIO_PLANE(plane, _PLANE_STRIDE_1(pipe), _PLANE_STRIDE_2(pipe))
70d21f0e
DL
6821
6822#define _PLANE_POS_1_B 0x7118c
6823#define _PLANE_POS_2_B 0x7128c
6824#define _PLANE_POS_3_B 0x7138c
6825#define _PLANE_POS_1(pipe) _PIPE(pipe, _PLANE_POS_1_A, _PLANE_POS_1_B)
6826#define _PLANE_POS_2(pipe) _PIPE(pipe, _PLANE_POS_2_A, _PLANE_POS_2_B)
6827#define _PLANE_POS_3(pipe) _PIPE(pipe, _PLANE_POS_3_A, _PLANE_POS_3_B)
6828#define PLANE_POS(pipe, plane) \
f0f59a00 6829 _MMIO_PLANE(plane, _PLANE_POS_1(pipe), _PLANE_POS_2(pipe))
70d21f0e
DL
6830
6831#define _PLANE_SIZE_1_B 0x71190
6832#define _PLANE_SIZE_2_B 0x71290
6833#define _PLANE_SIZE_3_B 0x71390
6834#define _PLANE_SIZE_1(pipe) _PIPE(pipe, _PLANE_SIZE_1_A, _PLANE_SIZE_1_B)
6835#define _PLANE_SIZE_2(pipe) _PIPE(pipe, _PLANE_SIZE_2_A, _PLANE_SIZE_2_B)
6836#define _PLANE_SIZE_3(pipe) _PIPE(pipe, _PLANE_SIZE_3_A, _PLANE_SIZE_3_B)
6837#define PLANE_SIZE(pipe, plane) \
f0f59a00 6838 _MMIO_PLANE(plane, _PLANE_SIZE_1(pipe), _PLANE_SIZE_2(pipe))
70d21f0e
DL
6839
6840#define _PLANE_SURF_1_B 0x7119c
6841#define _PLANE_SURF_2_B 0x7129c
6842#define _PLANE_SURF_3_B 0x7139c
6843#define _PLANE_SURF_1(pipe) _PIPE(pipe, _PLANE_SURF_1_A, _PLANE_SURF_1_B)
6844#define _PLANE_SURF_2(pipe) _PIPE(pipe, _PLANE_SURF_2_A, _PLANE_SURF_2_B)
6845#define _PLANE_SURF_3(pipe) _PIPE(pipe, _PLANE_SURF_3_A, _PLANE_SURF_3_B)
6846#define PLANE_SURF(pipe, plane) \
f0f59a00 6847 _MMIO_PLANE(plane, _PLANE_SURF_1(pipe), _PLANE_SURF_2(pipe))
70d21f0e
DL
6848
6849#define _PLANE_OFFSET_1_B 0x711a4
6850#define _PLANE_OFFSET_2_B 0x712a4
6851#define _PLANE_OFFSET_1(pipe) _PIPE(pipe, _PLANE_OFFSET_1_A, _PLANE_OFFSET_1_B)
6852#define _PLANE_OFFSET_2(pipe) _PIPE(pipe, _PLANE_OFFSET_2_A, _PLANE_OFFSET_2_B)
6853#define PLANE_OFFSET(pipe, plane) \
f0f59a00 6854 _MMIO_PLANE(plane, _PLANE_OFFSET_1(pipe), _PLANE_OFFSET_2(pipe))
70d21f0e 6855
dc2a41b4
DL
6856#define _PLANE_KEYVAL_1_B 0x71194
6857#define _PLANE_KEYVAL_2_B 0x71294
6858#define _PLANE_KEYVAL_1(pipe) _PIPE(pipe, _PLANE_KEYVAL_1_A, _PLANE_KEYVAL_1_B)
6859#define _PLANE_KEYVAL_2(pipe) _PIPE(pipe, _PLANE_KEYVAL_2_A, _PLANE_KEYVAL_2_B)
6860#define PLANE_KEYVAL(pipe, plane) \
f0f59a00 6861 _MMIO_PLANE(plane, _PLANE_KEYVAL_1(pipe), _PLANE_KEYVAL_2(pipe))
dc2a41b4
DL
6862
6863#define _PLANE_KEYMSK_1_B 0x71198
6864#define _PLANE_KEYMSK_2_B 0x71298
6865#define _PLANE_KEYMSK_1(pipe) _PIPE(pipe, _PLANE_KEYMSK_1_A, _PLANE_KEYMSK_1_B)
6866#define _PLANE_KEYMSK_2(pipe) _PIPE(pipe, _PLANE_KEYMSK_2_A, _PLANE_KEYMSK_2_B)
6867#define PLANE_KEYMSK(pipe, plane) \
f0f59a00 6868 _MMIO_PLANE(plane, _PLANE_KEYMSK_1(pipe), _PLANE_KEYMSK_2(pipe))
dc2a41b4
DL
6869
6870#define _PLANE_KEYMAX_1_B 0x711a0
6871#define _PLANE_KEYMAX_2_B 0x712a0
6872#define _PLANE_KEYMAX_1(pipe) _PIPE(pipe, _PLANE_KEYMAX_1_A, _PLANE_KEYMAX_1_B)
6873#define _PLANE_KEYMAX_2(pipe) _PIPE(pipe, _PLANE_KEYMAX_2_A, _PLANE_KEYMAX_2_B)
6874#define PLANE_KEYMAX(pipe, plane) \
f0f59a00 6875 _MMIO_PLANE(plane, _PLANE_KEYMAX_1(pipe), _PLANE_KEYMAX_2(pipe))
dc2a41b4 6876
8211bd5b
DL
6877#define _PLANE_BUF_CFG_1_B 0x7127c
6878#define _PLANE_BUF_CFG_2_B 0x7137c
d7e449a8 6879#define DDB_ENTRY_MASK 0x7FF /* skl+: 10 bits, icl+ 11 bits */
37cde11b 6880#define DDB_ENTRY_END_SHIFT 16
8211bd5b
DL
6881#define _PLANE_BUF_CFG_1(pipe) \
6882 _PIPE(pipe, _PLANE_BUF_CFG_1_A, _PLANE_BUF_CFG_1_B)
6883#define _PLANE_BUF_CFG_2(pipe) \
6884 _PIPE(pipe, _PLANE_BUF_CFG_2_A, _PLANE_BUF_CFG_2_B)
6885#define PLANE_BUF_CFG(pipe, plane) \
f0f59a00 6886 _MMIO_PLANE(plane, _PLANE_BUF_CFG_1(pipe), _PLANE_BUF_CFG_2(pipe))
8211bd5b 6887
2cd601c6
CK
6888#define _PLANE_NV12_BUF_CFG_1_B 0x71278
6889#define _PLANE_NV12_BUF_CFG_2_B 0x71378
6890#define _PLANE_NV12_BUF_CFG_1(pipe) \
6891 _PIPE(pipe, _PLANE_NV12_BUF_CFG_1_A, _PLANE_NV12_BUF_CFG_1_B)
6892#define _PLANE_NV12_BUF_CFG_2(pipe) \
6893 _PIPE(pipe, _PLANE_NV12_BUF_CFG_2_A, _PLANE_NV12_BUF_CFG_2_B)
6894#define PLANE_NV12_BUF_CFG(pipe, plane) \
f0f59a00 6895 _MMIO_PLANE(plane, _PLANE_NV12_BUF_CFG_1(pipe), _PLANE_NV12_BUF_CFG_2(pipe))
2cd601c6 6896
2e2adb05
VS
6897#define _PLANE_AUX_DIST_1_B 0x711c0
6898#define _PLANE_AUX_DIST_2_B 0x712c0
6899#define _PLANE_AUX_DIST_1(pipe) \
6900 _PIPE(pipe, _PLANE_AUX_DIST_1_A, _PLANE_AUX_DIST_1_B)
6901#define _PLANE_AUX_DIST_2(pipe) \
6902 _PIPE(pipe, _PLANE_AUX_DIST_2_A, _PLANE_AUX_DIST_2_B)
6903#define PLANE_AUX_DIST(pipe, plane) \
6904 _MMIO_PLANE(plane, _PLANE_AUX_DIST_1(pipe), _PLANE_AUX_DIST_2(pipe))
6905
6906#define _PLANE_AUX_OFFSET_1_B 0x711c4
6907#define _PLANE_AUX_OFFSET_2_B 0x712c4
6908#define _PLANE_AUX_OFFSET_1(pipe) \
6909 _PIPE(pipe, _PLANE_AUX_OFFSET_1_A, _PLANE_AUX_OFFSET_1_B)
6910#define _PLANE_AUX_OFFSET_2(pipe) \
6911 _PIPE(pipe, _PLANE_AUX_OFFSET_2_A, _PLANE_AUX_OFFSET_2_B)
6912#define PLANE_AUX_OFFSET(pipe, plane) \
6913 _MMIO_PLANE(plane, _PLANE_AUX_OFFSET_1(pipe), _PLANE_AUX_OFFSET_2(pipe))
6914
cb2458ba
ML
6915#define _PLANE_CUS_CTL_1_B 0x711c8
6916#define _PLANE_CUS_CTL_2_B 0x712c8
6917#define _PLANE_CUS_CTL_1(pipe) \
6918 _PIPE(pipe, _PLANE_CUS_CTL_1_A, _PLANE_CUS_CTL_1_B)
6919#define _PLANE_CUS_CTL_2(pipe) \
6920 _PIPE(pipe, _PLANE_CUS_CTL_2_A, _PLANE_CUS_CTL_2_B)
6921#define PLANE_CUS_CTL(pipe, plane) \
6922 _MMIO_PLANE(plane, _PLANE_CUS_CTL_1(pipe), _PLANE_CUS_CTL_2(pipe))
6923
47f9ea8b
ACO
6924#define _PLANE_COLOR_CTL_1_B 0x711CC
6925#define _PLANE_COLOR_CTL_2_B 0x712CC
6926#define _PLANE_COLOR_CTL_3_B 0x713CC
6927#define _PLANE_COLOR_CTL_1(pipe) \
6928 _PIPE(pipe, _PLANE_COLOR_CTL_1_A, _PLANE_COLOR_CTL_1_B)
6929#define _PLANE_COLOR_CTL_2(pipe) \
6930 _PIPE(pipe, _PLANE_COLOR_CTL_2_A, _PLANE_COLOR_CTL_2_B)
6931#define PLANE_COLOR_CTL(pipe, plane) \
6932 _MMIO_PLANE(plane, _PLANE_COLOR_CTL_1(pipe), _PLANE_COLOR_CTL_2(pipe))
6933
6934#/* SKL new cursor registers */
8211bd5b
DL
6935#define _CUR_BUF_CFG_A 0x7017c
6936#define _CUR_BUF_CFG_B 0x7117c
f0f59a00 6937#define CUR_BUF_CFG(pipe) _MMIO_PIPE(pipe, _CUR_BUF_CFG_A, _CUR_BUF_CFG_B)
8211bd5b 6938
585fb111 6939/* VBIOS regs */
f0f59a00 6940#define VGACNTRL _MMIO(0x71400)
585fb111
JB
6941# define VGA_DISP_DISABLE (1 << 31)
6942# define VGA_2X_MODE (1 << 30)
6943# define VGA_PIPE_B_SELECT (1 << 29)
6944
f0f59a00 6945#define VLV_VGACNTRL _MMIO(VLV_DISPLAY_BASE + 0x71400)
766aa1c4 6946
f2b115e6 6947/* Ironlake */
b9055052 6948
f0f59a00 6949#define CPU_VGACNTRL _MMIO(0x41000)
b9055052 6950
f0f59a00 6951#define DIGITAL_PORT_HOTPLUG_CNTRL _MMIO(0x44030)
40bfd7a3
VS
6952#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
6953#define DIGITAL_PORTA_PULSE_DURATION_2ms (0 << 2) /* pre-HSW */
6954#define DIGITAL_PORTA_PULSE_DURATION_4_5ms (1 << 2) /* pre-HSW */
6955#define DIGITAL_PORTA_PULSE_DURATION_6ms (2 << 2) /* pre-HSW */
6956#define DIGITAL_PORTA_PULSE_DURATION_100ms (3 << 2) /* pre-HSW */
6957#define DIGITAL_PORTA_PULSE_DURATION_MASK (3 << 2) /* pre-HSW */
6958#define DIGITAL_PORTA_HOTPLUG_STATUS_MASK (3 << 0)
6959#define DIGITAL_PORTA_HOTPLUG_NO_DETECT (0 << 0)
6960#define DIGITAL_PORTA_HOTPLUG_SHORT_DETECT (1 << 0)
6961#define DIGITAL_PORTA_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
6962
6963/* refresh rate hardware control */
f0f59a00 6964#define RR_HW_CTL _MMIO(0x45300)
b9055052
ZW
6965#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
6966#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
6967
f0f59a00 6968#define FDI_PLL_BIOS_0 _MMIO(0x46000)
021357ac 6969#define FDI_PLL_FB_CLOCK_MASK 0xff
f0f59a00
VS
6970#define FDI_PLL_BIOS_1 _MMIO(0x46004)
6971#define FDI_PLL_BIOS_2 _MMIO(0x46008)
6972#define DISPLAY_PORT_PLL_BIOS_0 _MMIO(0x4600c)
6973#define DISPLAY_PORT_PLL_BIOS_1 _MMIO(0x46010)
6974#define DISPLAY_PORT_PLL_BIOS_2 _MMIO(0x46014)
b9055052 6975
f0f59a00 6976#define PCH_3DCGDIS0 _MMIO(0x46020)
8956c8bb
EA
6977# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
6978# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
6979
f0f59a00 6980#define PCH_3DCGDIS1 _MMIO(0x46024)
06f37751
EA
6981# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
6982
f0f59a00 6983#define FDI_PLL_FREQ_CTL _MMIO(0x46030)
5ee8ee86 6984#define FDI_PLL_FREQ_CHANGE_REQUEST (1 << 24)
b9055052
ZW
6985#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
6986#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
6987
6988
a57c774a 6989#define _PIPEA_DATA_M1 0x60030
5eddb70b 6990#define PIPE_DATA_M1_OFFSET 0
a57c774a 6991#define _PIPEA_DATA_N1 0x60034
5eddb70b 6992#define PIPE_DATA_N1_OFFSET 0
b9055052 6993
a57c774a 6994#define _PIPEA_DATA_M2 0x60038
5eddb70b 6995#define PIPE_DATA_M2_OFFSET 0
a57c774a 6996#define _PIPEA_DATA_N2 0x6003c
5eddb70b 6997#define PIPE_DATA_N2_OFFSET 0
b9055052 6998
a57c774a 6999#define _PIPEA_LINK_M1 0x60040
5eddb70b 7000#define PIPE_LINK_M1_OFFSET 0
a57c774a 7001#define _PIPEA_LINK_N1 0x60044
5eddb70b 7002#define PIPE_LINK_N1_OFFSET 0
b9055052 7003
a57c774a 7004#define _PIPEA_LINK_M2 0x60048
5eddb70b 7005#define PIPE_LINK_M2_OFFSET 0
a57c774a 7006#define _PIPEA_LINK_N2 0x6004c
5eddb70b 7007#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
7008
7009/* PIPEB timing regs are same start from 0x61000 */
7010
a57c774a
AK
7011#define _PIPEB_DATA_M1 0x61030
7012#define _PIPEB_DATA_N1 0x61034
7013#define _PIPEB_DATA_M2 0x61038
7014#define _PIPEB_DATA_N2 0x6103c
7015#define _PIPEB_LINK_M1 0x61040
7016#define _PIPEB_LINK_N1 0x61044
7017#define _PIPEB_LINK_M2 0x61048
7018#define _PIPEB_LINK_N2 0x6104c
7019
f0f59a00
VS
7020#define PIPE_DATA_M1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M1)
7021#define PIPE_DATA_N1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N1)
7022#define PIPE_DATA_M2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M2)
7023#define PIPE_DATA_N2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N2)
7024#define PIPE_LINK_M1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M1)
7025#define PIPE_LINK_N1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N1)
7026#define PIPE_LINK_M2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M2)
7027#define PIPE_LINK_N2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N2)
b9055052
ZW
7028
7029/* CPU panel fitter */
9db4a9c7
JB
7030/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
7031#define _PFA_CTL_1 0x68080
7032#define _PFB_CTL_1 0x68880
5ee8ee86
PZ
7033#define PF_ENABLE (1 << 31)
7034#define PF_PIPE_SEL_MASK_IVB (3 << 29)
7035#define PF_PIPE_SEL_IVB(pipe) ((pipe) << 29)
7036#define PF_FILTER_MASK (3 << 23)
7037#define PF_FILTER_PROGRAMMED (0 << 23)
7038#define PF_FILTER_MED_3x3 (1 << 23)
7039#define PF_FILTER_EDGE_ENHANCE (2 << 23)
7040#define PF_FILTER_EDGE_SOFTEN (3 << 23)
9db4a9c7
JB
7041#define _PFA_WIN_SZ 0x68074
7042#define _PFB_WIN_SZ 0x68874
7043#define _PFA_WIN_POS 0x68070
7044#define _PFB_WIN_POS 0x68870
7045#define _PFA_VSCALE 0x68084
7046#define _PFB_VSCALE 0x68884
7047#define _PFA_HSCALE 0x68090
7048#define _PFB_HSCALE 0x68890
7049
f0f59a00
VS
7050#define PF_CTL(pipe) _MMIO_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
7051#define PF_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
7052#define PF_WIN_POS(pipe) _MMIO_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
7053#define PF_VSCALE(pipe) _MMIO_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
7054#define PF_HSCALE(pipe) _MMIO_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052 7055
bd2e244f
JB
7056#define _PSA_CTL 0x68180
7057#define _PSB_CTL 0x68980
5ee8ee86 7058#define PS_ENABLE (1 << 31)
bd2e244f
JB
7059#define _PSA_WIN_SZ 0x68174
7060#define _PSB_WIN_SZ 0x68974
7061#define _PSA_WIN_POS 0x68170
7062#define _PSB_WIN_POS 0x68970
7063
f0f59a00
VS
7064#define PS_CTL(pipe) _MMIO_PIPE(pipe, _PSA_CTL, _PSB_CTL)
7065#define PS_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PSA_WIN_SZ, _PSB_WIN_SZ)
7066#define PS_WIN_POS(pipe) _MMIO_PIPE(pipe, _PSA_WIN_POS, _PSB_WIN_POS)
bd2e244f 7067
1c9a2d4a
CK
7068/*
7069 * Skylake scalers
7070 */
7071#define _PS_1A_CTRL 0x68180
7072#define _PS_2A_CTRL 0x68280
7073#define _PS_1B_CTRL 0x68980
7074#define _PS_2B_CTRL 0x68A80
7075#define _PS_1C_CTRL 0x69180
7076#define PS_SCALER_EN (1 << 31)
0aaf29b3
ML
7077#define SKL_PS_SCALER_MODE_MASK (3 << 28)
7078#define SKL_PS_SCALER_MODE_DYN (0 << 28)
7079#define SKL_PS_SCALER_MODE_HQ (1 << 28)
e6e1948c
CK
7080#define SKL_PS_SCALER_MODE_NV12 (2 << 28)
7081#define PS_SCALER_MODE_PLANAR (1 << 29)
b1554e23 7082#define PS_SCALER_MODE_NORMAL (0 << 29)
1c9a2d4a 7083#define PS_PLANE_SEL_MASK (7 << 25)
68d97538 7084#define PS_PLANE_SEL(plane) (((plane) + 1) << 25)
1c9a2d4a
CK
7085#define PS_FILTER_MASK (3 << 23)
7086#define PS_FILTER_MEDIUM (0 << 23)
7087#define PS_FILTER_EDGE_ENHANCE (2 << 23)
7088#define PS_FILTER_BILINEAR (3 << 23)
7089#define PS_VERT3TAP (1 << 21)
7090#define PS_VERT_INT_INVERT_FIELD1 (0 << 20)
7091#define PS_VERT_INT_INVERT_FIELD0 (1 << 20)
7092#define PS_PWRUP_PROGRESS (1 << 17)
7093#define PS_V_FILTER_BYPASS (1 << 8)
7094#define PS_VADAPT_EN (1 << 7)
7095#define PS_VADAPT_MODE_MASK (3 << 5)
7096#define PS_VADAPT_MODE_LEAST_ADAPT (0 << 5)
7097#define PS_VADAPT_MODE_MOD_ADAPT (1 << 5)
7098#define PS_VADAPT_MODE_MOST_ADAPT (3 << 5)
b1554e23
ML
7099#define PS_PLANE_Y_SEL_MASK (7 << 5)
7100#define PS_PLANE_Y_SEL(plane) (((plane) + 1) << 5)
1c9a2d4a
CK
7101
7102#define _PS_PWR_GATE_1A 0x68160
7103#define _PS_PWR_GATE_2A 0x68260
7104#define _PS_PWR_GATE_1B 0x68960
7105#define _PS_PWR_GATE_2B 0x68A60
7106#define _PS_PWR_GATE_1C 0x69160
7107#define PS_PWR_GATE_DIS_OVERRIDE (1 << 31)
7108#define PS_PWR_GATE_SETTLING_TIME_32 (0 << 3)
7109#define PS_PWR_GATE_SETTLING_TIME_64 (1 << 3)
7110#define PS_PWR_GATE_SETTLING_TIME_96 (2 << 3)
7111#define PS_PWR_GATE_SETTLING_TIME_128 (3 << 3)
7112#define PS_PWR_GATE_SLPEN_8 0
7113#define PS_PWR_GATE_SLPEN_16 1
7114#define PS_PWR_GATE_SLPEN_24 2
7115#define PS_PWR_GATE_SLPEN_32 3
7116
7117#define _PS_WIN_POS_1A 0x68170
7118#define _PS_WIN_POS_2A 0x68270
7119#define _PS_WIN_POS_1B 0x68970
7120#define _PS_WIN_POS_2B 0x68A70
7121#define _PS_WIN_POS_1C 0x69170
7122
7123#define _PS_WIN_SZ_1A 0x68174
7124#define _PS_WIN_SZ_2A 0x68274
7125#define _PS_WIN_SZ_1B 0x68974
7126#define _PS_WIN_SZ_2B 0x68A74
7127#define _PS_WIN_SZ_1C 0x69174
7128
7129#define _PS_VSCALE_1A 0x68184
7130#define _PS_VSCALE_2A 0x68284
7131#define _PS_VSCALE_1B 0x68984
7132#define _PS_VSCALE_2B 0x68A84
7133#define _PS_VSCALE_1C 0x69184
7134
7135#define _PS_HSCALE_1A 0x68190
7136#define _PS_HSCALE_2A 0x68290
7137#define _PS_HSCALE_1B 0x68990
7138#define _PS_HSCALE_2B 0x68A90
7139#define _PS_HSCALE_1C 0x69190
7140
7141#define _PS_VPHASE_1A 0x68188
7142#define _PS_VPHASE_2A 0x68288
7143#define _PS_VPHASE_1B 0x68988
7144#define _PS_VPHASE_2B 0x68A88
7145#define _PS_VPHASE_1C 0x69188
0a59952b
VS
7146#define PS_Y_PHASE(x) ((x) << 16)
7147#define PS_UV_RGB_PHASE(x) ((x) << 0)
7148#define PS_PHASE_MASK (0x7fff << 1) /* u2.13 */
7149#define PS_PHASE_TRIP (1 << 0)
1c9a2d4a
CK
7150
7151#define _PS_HPHASE_1A 0x68194
7152#define _PS_HPHASE_2A 0x68294
7153#define _PS_HPHASE_1B 0x68994
7154#define _PS_HPHASE_2B 0x68A94
7155#define _PS_HPHASE_1C 0x69194
7156
7157#define _PS_ECC_STAT_1A 0x681D0
7158#define _PS_ECC_STAT_2A 0x682D0
7159#define _PS_ECC_STAT_1B 0x689D0
7160#define _PS_ECC_STAT_2B 0x68AD0
7161#define _PS_ECC_STAT_1C 0x691D0
7162
e67005e5 7163#define _ID(id, a, b) _PICK_EVEN(id, a, b)
f0f59a00 7164#define SKL_PS_CTRL(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7165 _ID(id, _PS_1A_CTRL, _PS_2A_CTRL), \
7166 _ID(id, _PS_1B_CTRL, _PS_2B_CTRL))
f0f59a00 7167#define SKL_PS_PWR_GATE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7168 _ID(id, _PS_PWR_GATE_1A, _PS_PWR_GATE_2A), \
7169 _ID(id, _PS_PWR_GATE_1B, _PS_PWR_GATE_2B))
f0f59a00 7170#define SKL_PS_WIN_POS(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7171 _ID(id, _PS_WIN_POS_1A, _PS_WIN_POS_2A), \
7172 _ID(id, _PS_WIN_POS_1B, _PS_WIN_POS_2B))
f0f59a00 7173#define SKL_PS_WIN_SZ(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7174 _ID(id, _PS_WIN_SZ_1A, _PS_WIN_SZ_2A), \
7175 _ID(id, _PS_WIN_SZ_1B, _PS_WIN_SZ_2B))
f0f59a00 7176#define SKL_PS_VSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7177 _ID(id, _PS_VSCALE_1A, _PS_VSCALE_2A), \
7178 _ID(id, _PS_VSCALE_1B, _PS_VSCALE_2B))
f0f59a00 7179#define SKL_PS_HSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7180 _ID(id, _PS_HSCALE_1A, _PS_HSCALE_2A), \
7181 _ID(id, _PS_HSCALE_1B, _PS_HSCALE_2B))
f0f59a00 7182#define SKL_PS_VPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7183 _ID(id, _PS_VPHASE_1A, _PS_VPHASE_2A), \
7184 _ID(id, _PS_VPHASE_1B, _PS_VPHASE_2B))
f0f59a00 7185#define SKL_PS_HPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7186 _ID(id, _PS_HPHASE_1A, _PS_HPHASE_2A), \
7187 _ID(id, _PS_HPHASE_1B, _PS_HPHASE_2B))
f0f59a00 7188#define SKL_PS_ECC_STAT(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a 7189 _ID(id, _PS_ECC_STAT_1A, _PS_ECC_STAT_2A), \
9bca5d0c 7190 _ID(id, _PS_ECC_STAT_1B, _PS_ECC_STAT_2B))
1c9a2d4a 7191
b9055052 7192/* legacy palette */
9db4a9c7
JB
7193#define _LGC_PALETTE_A 0x4a000
7194#define _LGC_PALETTE_B 0x4a800
f0f59a00 7195#define LGC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B) + (i) * 4)
b9055052 7196
514462ca
VS
7197/* ilk/snb precision palette */
7198#define _PREC_PALETTE_A 0x4b000
7199#define _PREC_PALETTE_B 0x4c000
7200#define PREC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _PREC_PALETTE_A, _PREC_PALETTE_B) + (i) * 4)
7201
7202#define _PREC_PIPEAGCMAX 0x4d000
7203#define _PREC_PIPEBGCMAX 0x4d010
7204#define PREC_PIPEGCMAX(pipe, i) _MMIO(_PIPE(pipe, _PIPEAGCMAX, _PIPEBGCMAX) + (i) * 4)
7205
42db64ef
PZ
7206#define _GAMMA_MODE_A 0x4a480
7207#define _GAMMA_MODE_B 0x4ac80
f0f59a00 7208#define GAMMA_MODE(pipe) _MMIO_PIPE(pipe, _GAMMA_MODE_A, _GAMMA_MODE_B)
13717cef
US
7209#define PRE_CSC_GAMMA_ENABLE (1 << 31)
7210#define POST_CSC_GAMMA_ENABLE (1 << 30)
5bda1aca 7211#define GAMMA_MODE_MODE_MASK (3 << 0)
13717cef
US
7212#define GAMMA_MODE_MODE_8BIT (0 << 0)
7213#define GAMMA_MODE_MODE_10BIT (1 << 0)
7214#define GAMMA_MODE_MODE_12BIT (2 << 0)
377c70ed
US
7215#define GAMMA_MODE_MODE_SPLIT (3 << 0) /* ivb-bdw */
7216#define GAMMA_MODE_MODE_12BIT_MULTI_SEGMENTED (3 << 0) /* icl + */
42db64ef 7217
8337206d 7218/* DMC/CSR */
f0f59a00 7219#define CSR_PROGRAM(i) _MMIO(0x80000 + (i) * 4)
6fb403de
MK
7220#define CSR_SSP_BASE_ADDR_GEN9 0x00002FC0
7221#define CSR_HTP_ADDR_SKL 0x00500034
f0f59a00
VS
7222#define CSR_SSP_BASE _MMIO(0x8F074)
7223#define CSR_HTP_SKL _MMIO(0x8F004)
7224#define CSR_LAST_WRITE _MMIO(0x8F034)
6fb403de
MK
7225#define CSR_LAST_WRITE_VALUE 0xc003b400
7226/* MMIO address range for CSR program (0x80000 - 0x82FFF) */
7227#define CSR_MMIO_START_RANGE 0x80000
7228#define CSR_MMIO_END_RANGE 0x8FFFF
f0f59a00
VS
7229#define SKL_CSR_DC3_DC5_COUNT _MMIO(0x80030)
7230#define SKL_CSR_DC5_DC6_COUNT _MMIO(0x8002C)
7231#define BXT_CSR_DC3_DC5_COUNT _MMIO(0x80038)
5d571068
JRS
7232#define TGL_DMC_DEBUG_DC5_COUNT _MMIO(0x101084)
7233#define TGL_DMC_DEBUG_DC6_COUNT _MMIO(0x101088)
8337206d 7234
b9055052
ZW
7235/* interrupts */
7236#define DE_MASTER_IRQ_CONTROL (1 << 31)
7237#define DE_SPRITEB_FLIP_DONE (1 << 29)
7238#define DE_SPRITEA_FLIP_DONE (1 << 28)
7239#define DE_PLANEB_FLIP_DONE (1 << 27)
7240#define DE_PLANEA_FLIP_DONE (1 << 26)
40da17c2 7241#define DE_PLANE_FLIP_DONE(plane) (1 << (26 + (plane)))
b9055052
ZW
7242#define DE_PCU_EVENT (1 << 25)
7243#define DE_GTT_FAULT (1 << 24)
7244#define DE_POISON (1 << 23)
7245#define DE_PERFORM_COUNTER (1 << 22)
7246#define DE_PCH_EVENT (1 << 21)
7247#define DE_AUX_CHANNEL_A (1 << 20)
7248#define DE_DP_A_HOTPLUG (1 << 19)
7249#define DE_GSE (1 << 18)
7250#define DE_PIPEB_VBLANK (1 << 15)
7251#define DE_PIPEB_EVEN_FIELD (1 << 14)
7252#define DE_PIPEB_ODD_FIELD (1 << 13)
7253#define DE_PIPEB_LINE_COMPARE (1 << 12)
7254#define DE_PIPEB_VSYNC (1 << 11)
5b3a856b 7255#define DE_PIPEB_CRC_DONE (1 << 10)
b9055052
ZW
7256#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
7257#define DE_PIPEA_VBLANK (1 << 7)
5ee8ee86 7258#define DE_PIPE_VBLANK(pipe) (1 << (7 + 8 * (pipe)))
b9055052
ZW
7259#define DE_PIPEA_EVEN_FIELD (1 << 6)
7260#define DE_PIPEA_ODD_FIELD (1 << 5)
7261#define DE_PIPEA_LINE_COMPARE (1 << 4)
7262#define DE_PIPEA_VSYNC (1 << 3)
5b3a856b 7263#define DE_PIPEA_CRC_DONE (1 << 2)
5ee8ee86 7264#define DE_PIPE_CRC_DONE(pipe) (1 << (2 + 8 * (pipe)))
b9055052 7265#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
5ee8ee86 7266#define DE_PIPE_FIFO_UNDERRUN(pipe) (1 << (8 * (pipe)))
b9055052 7267
b1f14ad0 7268/* More Ivybridge lolz */
5ee8ee86
PZ
7269#define DE_ERR_INT_IVB (1 << 30)
7270#define DE_GSE_IVB (1 << 29)
7271#define DE_PCH_EVENT_IVB (1 << 28)
7272#define DE_DP_A_HOTPLUG_IVB (1 << 27)
7273#define DE_AUX_CHANNEL_A_IVB (1 << 26)
7274#define DE_EDP_PSR_INT_HSW (1 << 19)
7275#define DE_SPRITEC_FLIP_DONE_IVB (1 << 14)
7276#define DE_PLANEC_FLIP_DONE_IVB (1 << 13)
7277#define DE_PIPEC_VBLANK_IVB (1 << 10)
7278#define DE_SPRITEB_FLIP_DONE_IVB (1 << 9)
7279#define DE_PLANEB_FLIP_DONE_IVB (1 << 8)
7280#define DE_PIPEB_VBLANK_IVB (1 << 5)
7281#define DE_SPRITEA_FLIP_DONE_IVB (1 << 4)
7282#define DE_PLANEA_FLIP_DONE_IVB (1 << 3)
7283#define DE_PLANE_FLIP_DONE_IVB(plane) (1 << (3 + 5 * (plane)))
7284#define DE_PIPEA_VBLANK_IVB (1 << 0)
68d97538 7285#define DE_PIPE_VBLANK_IVB(pipe) (1 << ((pipe) * 5))
b518421f 7286
f0f59a00 7287#define VLV_MASTER_IER _MMIO(0x4400c) /* Gunit master IER */
5ee8ee86 7288#define MASTER_INTERRUPT_ENABLE (1 << 31)
7eea1ddf 7289
f0f59a00
VS
7290#define DEISR _MMIO(0x44000)
7291#define DEIMR _MMIO(0x44004)
7292#define DEIIR _MMIO(0x44008)
7293#define DEIER _MMIO(0x4400c)
b9055052 7294
f0f59a00
VS
7295#define GTISR _MMIO(0x44010)
7296#define GTIMR _MMIO(0x44014)
7297#define GTIIR _MMIO(0x44018)
7298#define GTIER _MMIO(0x4401c)
b9055052 7299
f0f59a00 7300#define GEN8_MASTER_IRQ _MMIO(0x44200)
5ee8ee86
PZ
7301#define GEN8_MASTER_IRQ_CONTROL (1 << 31)
7302#define GEN8_PCU_IRQ (1 << 30)
7303#define GEN8_DE_PCH_IRQ (1 << 23)
7304#define GEN8_DE_MISC_IRQ (1 << 22)
7305#define GEN8_DE_PORT_IRQ (1 << 20)
7306#define GEN8_DE_PIPE_C_IRQ (1 << 18)
7307#define GEN8_DE_PIPE_B_IRQ (1 << 17)
7308#define GEN8_DE_PIPE_A_IRQ (1 << 16)
7309#define GEN8_DE_PIPE_IRQ(pipe) (1 << (16 + (pipe)))
7310#define GEN8_GT_VECS_IRQ (1 << 6)
7311#define GEN8_GT_GUC_IRQ (1 << 5)
7312#define GEN8_GT_PM_IRQ (1 << 4)
8a68d464
CW
7313#define GEN8_GT_VCS1_IRQ (1 << 3) /* NB: VCS2 in bspec! */
7314#define GEN8_GT_VCS0_IRQ (1 << 2) /* NB: VCS1 in bpsec! */
5ee8ee86
PZ
7315#define GEN8_GT_BCS_IRQ (1 << 1)
7316#define GEN8_GT_RCS_IRQ (1 << 0)
abd58f01 7317
f0f59a00
VS
7318#define GEN8_GT_ISR(which) _MMIO(0x44300 + (0x10 * (which)))
7319#define GEN8_GT_IMR(which) _MMIO(0x44304 + (0x10 * (which)))
7320#define GEN8_GT_IIR(which) _MMIO(0x44308 + (0x10 * (which)))
7321#define GEN8_GT_IER(which) _MMIO(0x4430c + (0x10 * (which)))
abd58f01 7322
abd58f01 7323#define GEN8_RCS_IRQ_SHIFT 0
4df001d3 7324#define GEN8_BCS_IRQ_SHIFT 16
8a68d464
CW
7325#define GEN8_VCS0_IRQ_SHIFT 0 /* NB: VCS1 in bspec! */
7326#define GEN8_VCS1_IRQ_SHIFT 16 /* NB: VCS2 in bpsec! */
abd58f01 7327#define GEN8_VECS_IRQ_SHIFT 0
4df001d3 7328#define GEN8_WD_IRQ_SHIFT 16
abd58f01 7329
f0f59a00
VS
7330#define GEN8_DE_PIPE_ISR(pipe) _MMIO(0x44400 + (0x10 * (pipe)))
7331#define GEN8_DE_PIPE_IMR(pipe) _MMIO(0x44404 + (0x10 * (pipe)))
7332#define GEN8_DE_PIPE_IIR(pipe) _MMIO(0x44408 + (0x10 * (pipe)))
7333#define GEN8_DE_PIPE_IER(pipe) _MMIO(0x4440c + (0x10 * (pipe)))
38d83c96 7334#define GEN8_PIPE_FIFO_UNDERRUN (1 << 31)
abd58f01
BW
7335#define GEN8_PIPE_CDCLK_CRC_ERROR (1 << 29)
7336#define GEN8_PIPE_CDCLK_CRC_DONE (1 << 28)
7337#define GEN8_PIPE_CURSOR_FAULT (1 << 10)
7338#define GEN8_PIPE_SPRITE_FAULT (1 << 9)
7339#define GEN8_PIPE_PRIMARY_FAULT (1 << 8)
7340#define GEN8_PIPE_SPRITE_FLIP_DONE (1 << 5)
d0e1f1cb 7341#define GEN8_PIPE_PRIMARY_FLIP_DONE (1 << 4)
abd58f01
BW
7342#define GEN8_PIPE_SCAN_LINE_EVENT (1 << 2)
7343#define GEN8_PIPE_VSYNC (1 << 1)
7344#define GEN8_PIPE_VBLANK (1 << 0)
770de83d 7345#define GEN9_PIPE_CURSOR_FAULT (1 << 11)
b21249c9 7346#define GEN9_PIPE_PLANE4_FAULT (1 << 10)
770de83d
DL
7347#define GEN9_PIPE_PLANE3_FAULT (1 << 9)
7348#define GEN9_PIPE_PLANE2_FAULT (1 << 8)
7349#define GEN9_PIPE_PLANE1_FAULT (1 << 7)
b21249c9 7350#define GEN9_PIPE_PLANE4_FLIP_DONE (1 << 6)
770de83d
DL
7351#define GEN9_PIPE_PLANE3_FLIP_DONE (1 << 5)
7352#define GEN9_PIPE_PLANE2_FLIP_DONE (1 << 4)
7353#define GEN9_PIPE_PLANE1_FLIP_DONE (1 << 3)
68d97538 7354#define GEN9_PIPE_PLANE_FLIP_DONE(p) (1 << (3 + (p)))
30100f2b
DV
7355#define GEN8_DE_PIPE_IRQ_FAULT_ERRORS \
7356 (GEN8_PIPE_CURSOR_FAULT | \
7357 GEN8_PIPE_SPRITE_FAULT | \
7358 GEN8_PIPE_PRIMARY_FAULT)
770de83d
DL
7359#define GEN9_DE_PIPE_IRQ_FAULT_ERRORS \
7360 (GEN9_PIPE_CURSOR_FAULT | \
b21249c9 7361 GEN9_PIPE_PLANE4_FAULT | \
770de83d
DL
7362 GEN9_PIPE_PLANE3_FAULT | \
7363 GEN9_PIPE_PLANE2_FAULT | \
7364 GEN9_PIPE_PLANE1_FAULT)
abd58f01 7365
f0f59a00
VS
7366#define GEN8_DE_PORT_ISR _MMIO(0x44440)
7367#define GEN8_DE_PORT_IMR _MMIO(0x44444)
7368#define GEN8_DE_PORT_IIR _MMIO(0x44448)
7369#define GEN8_DE_PORT_IER _MMIO(0x4444c)
bb187e93 7370#define ICL_AUX_CHANNEL_E (1 << 29)
a324fcac 7371#define CNL_AUX_CHANNEL_F (1 << 28)
88e04703
JB
7372#define GEN9_AUX_CHANNEL_D (1 << 27)
7373#define GEN9_AUX_CHANNEL_C (1 << 26)
7374#define GEN9_AUX_CHANNEL_B (1 << 25)
e0a20ad7
SS
7375#define BXT_DE_PORT_HP_DDIC (1 << 5)
7376#define BXT_DE_PORT_HP_DDIB (1 << 4)
7377#define BXT_DE_PORT_HP_DDIA (1 << 3)
7378#define BXT_DE_PORT_HOTPLUG_MASK (BXT_DE_PORT_HP_DDIA | \
7379 BXT_DE_PORT_HP_DDIB | \
7380 BXT_DE_PORT_HP_DDIC)
7381#define GEN8_PORT_DP_A_HOTPLUG (1 << 3)
9e63743e 7382#define BXT_DE_PORT_GMBUS (1 << 1)
6d766f02 7383#define GEN8_AUX_CHANNEL_A (1 << 0)
55523360
LDM
7384#define TGL_DE_PORT_AUX_DDIC (1 << 2)
7385#define TGL_DE_PORT_AUX_DDIB (1 << 1)
7386#define TGL_DE_PORT_AUX_DDIA (1 << 0)
abd58f01 7387
f0f59a00
VS
7388#define GEN8_DE_MISC_ISR _MMIO(0x44460)
7389#define GEN8_DE_MISC_IMR _MMIO(0x44464)
7390#define GEN8_DE_MISC_IIR _MMIO(0x44468)
7391#define GEN8_DE_MISC_IER _MMIO(0x4446c)
abd58f01 7392#define GEN8_DE_MISC_GSE (1 << 27)
e04f7ece 7393#define GEN8_DE_EDP_PSR (1 << 19)
abd58f01 7394
f0f59a00
VS
7395#define GEN8_PCU_ISR _MMIO(0x444e0)
7396#define GEN8_PCU_IMR _MMIO(0x444e4)
7397#define GEN8_PCU_IIR _MMIO(0x444e8)
7398#define GEN8_PCU_IER _MMIO(0x444ec)
abd58f01 7399
df0d28c1
DP
7400#define GEN11_GU_MISC_ISR _MMIO(0x444f0)
7401#define GEN11_GU_MISC_IMR _MMIO(0x444f4)
7402#define GEN11_GU_MISC_IIR _MMIO(0x444f8)
7403#define GEN11_GU_MISC_IER _MMIO(0x444fc)
7404#define GEN11_GU_MISC_GSE (1 << 27)
7405
a6358dda
TU
7406#define GEN11_GFX_MSTR_IRQ _MMIO(0x190010)
7407#define GEN11_MASTER_IRQ (1 << 31)
7408#define GEN11_PCU_IRQ (1 << 30)
df0d28c1 7409#define GEN11_GU_MISC_IRQ (1 << 29)
a6358dda
TU
7410#define GEN11_DISPLAY_IRQ (1 << 16)
7411#define GEN11_GT_DW_IRQ(x) (1 << (x))
7412#define GEN11_GT_DW1_IRQ (1 << 1)
7413#define GEN11_GT_DW0_IRQ (1 << 0)
7414
7415#define GEN11_DISPLAY_INT_CTL _MMIO(0x44200)
7416#define GEN11_DISPLAY_IRQ_ENABLE (1 << 31)
7417#define GEN11_AUDIO_CODEC_IRQ (1 << 24)
7418#define GEN11_DE_PCH_IRQ (1 << 23)
7419#define GEN11_DE_MISC_IRQ (1 << 22)
121e758e 7420#define GEN11_DE_HPD_IRQ (1 << 21)
a6358dda
TU
7421#define GEN11_DE_PORT_IRQ (1 << 20)
7422#define GEN11_DE_PIPE_C (1 << 18)
7423#define GEN11_DE_PIPE_B (1 << 17)
7424#define GEN11_DE_PIPE_A (1 << 16)
7425
121e758e
DP
7426#define GEN11_DE_HPD_ISR _MMIO(0x44470)
7427#define GEN11_DE_HPD_IMR _MMIO(0x44474)
7428#define GEN11_DE_HPD_IIR _MMIO(0x44478)
7429#define GEN11_DE_HPD_IER _MMIO(0x4447c)
48ef15d3
JRS
7430#define GEN12_TC6_HOTPLUG (1 << 21)
7431#define GEN12_TC5_HOTPLUG (1 << 20)
121e758e
DP
7432#define GEN11_TC4_HOTPLUG (1 << 19)
7433#define GEN11_TC3_HOTPLUG (1 << 18)
7434#define GEN11_TC2_HOTPLUG (1 << 17)
7435#define GEN11_TC1_HOTPLUG (1 << 16)
b9fcddab 7436#define GEN11_TC_HOTPLUG(tc_port) (1 << ((tc_port) + 16))
48ef15d3
JRS
7437#define GEN11_DE_TC_HOTPLUG_MASK (GEN12_TC6_HOTPLUG | \
7438 GEN12_TC5_HOTPLUG | \
7439 GEN11_TC4_HOTPLUG | \
121e758e
DP
7440 GEN11_TC3_HOTPLUG | \
7441 GEN11_TC2_HOTPLUG | \
7442 GEN11_TC1_HOTPLUG)
48ef15d3
JRS
7443#define GEN12_TBT6_HOTPLUG (1 << 5)
7444#define GEN12_TBT5_HOTPLUG (1 << 4)
b796b971
DP
7445#define GEN11_TBT4_HOTPLUG (1 << 3)
7446#define GEN11_TBT3_HOTPLUG (1 << 2)
7447#define GEN11_TBT2_HOTPLUG (1 << 1)
7448#define GEN11_TBT1_HOTPLUG (1 << 0)
b9fcddab 7449#define GEN11_TBT_HOTPLUG(tc_port) (1 << (tc_port))
48ef15d3
JRS
7450#define GEN11_DE_TBT_HOTPLUG_MASK (GEN12_TBT6_HOTPLUG | \
7451 GEN12_TBT5_HOTPLUG | \
7452 GEN11_TBT4_HOTPLUG | \
b796b971
DP
7453 GEN11_TBT3_HOTPLUG | \
7454 GEN11_TBT2_HOTPLUG | \
7455 GEN11_TBT1_HOTPLUG)
7456
7457#define GEN11_TBT_HOTPLUG_CTL _MMIO(0x44030)
121e758e
DP
7458#define GEN11_TC_HOTPLUG_CTL _MMIO(0x44038)
7459#define GEN11_HOTPLUG_CTL_ENABLE(tc_port) (8 << (tc_port) * 4)
7460#define GEN11_HOTPLUG_CTL_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
7461#define GEN11_HOTPLUG_CTL_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
7462#define GEN11_HOTPLUG_CTL_NO_DETECT(tc_port) (0 << (tc_port) * 4)
7463
a6358dda
TU
7464#define GEN11_GT_INTR_DW0 _MMIO(0x190018)
7465#define GEN11_CSME (31)
7466#define GEN11_GUNIT (28)
7467#define GEN11_GUC (25)
7468#define GEN11_WDPERF (20)
7469#define GEN11_KCR (19)
7470#define GEN11_GTPM (16)
7471#define GEN11_BCS (15)
7472#define GEN11_RCS0 (0)
7473
7474#define GEN11_GT_INTR_DW1 _MMIO(0x19001c)
7475#define GEN11_VECS(x) (31 - (x))
7476#define GEN11_VCS(x) (x)
7477
9e8789ec 7478#define GEN11_GT_INTR_DW(x) _MMIO(0x190018 + ((x) * 4))
a6358dda
TU
7479
7480#define GEN11_INTR_IDENTITY_REG0 _MMIO(0x190060)
7481#define GEN11_INTR_IDENTITY_REG1 _MMIO(0x190064)
7482#define GEN11_INTR_DATA_VALID (1 << 31)
f744dbc2
MK
7483#define GEN11_INTR_ENGINE_CLASS(x) (((x) & GENMASK(18, 16)) >> 16)
7484#define GEN11_INTR_ENGINE_INSTANCE(x) (((x) & GENMASK(25, 20)) >> 20)
7485#define GEN11_INTR_ENGINE_INTR(x) ((x) & 0xffff)
3d7b3039
DCS
7486/* irq instances for OTHER_CLASS */
7487#define OTHER_GUC_INSTANCE 0
7488#define OTHER_GTPM_INSTANCE 1
a6358dda 7489
9e8789ec 7490#define GEN11_INTR_IDENTITY_REG(x) _MMIO(0x190060 + ((x) * 4))
a6358dda
TU
7491
7492#define GEN11_IIR_REG0_SELECTOR _MMIO(0x190070)
7493#define GEN11_IIR_REG1_SELECTOR _MMIO(0x190074)
7494
9e8789ec 7495#define GEN11_IIR_REG_SELECTOR(x) _MMIO(0x190070 + ((x) * 4))
a6358dda
TU
7496
7497#define GEN11_RENDER_COPY_INTR_ENABLE _MMIO(0x190030)
7498#define GEN11_VCS_VECS_INTR_ENABLE _MMIO(0x190034)
7499#define GEN11_GUC_SG_INTR_ENABLE _MMIO(0x190038)
7500#define GEN11_GPM_WGBOXPERF_INTR_ENABLE _MMIO(0x19003c)
7501#define GEN11_CRYPTO_RSVD_INTR_ENABLE _MMIO(0x190040)
7502#define GEN11_GUNIT_CSME_INTR_ENABLE _MMIO(0x190044)
7503
7504#define GEN11_RCS0_RSVD_INTR_MASK _MMIO(0x190090)
7505#define GEN11_BCS_RSVD_INTR_MASK _MMIO(0x1900a0)
7506#define GEN11_VCS0_VCS1_INTR_MASK _MMIO(0x1900a8)
7507#define GEN11_VCS2_VCS3_INTR_MASK _MMIO(0x1900ac)
7508#define GEN11_VECS0_VECS1_INTR_MASK _MMIO(0x1900d0)
7509#define GEN11_GUC_SG_INTR_MASK _MMIO(0x1900e8)
7510#define GEN11_GPM_WGBOXPERF_INTR_MASK _MMIO(0x1900ec)
7511#define GEN11_CRYPTO_RSVD_INTR_MASK _MMIO(0x1900f0)
7512#define GEN11_GUNIT_CSME_INTR_MASK _MMIO(0x1900f4)
7513
54c52a84
OM
7514#define ENGINE1_MASK REG_GENMASK(31, 16)
7515#define ENGINE0_MASK REG_GENMASK(15, 0)
7516
f0f59a00 7517#define ILK_DISPLAY_CHICKEN2 _MMIO(0x42004)
67e92af0
EA
7518/* Required on all Ironlake and Sandybridge according to the B-Spec. */
7519#define ILK_ELPIN_409_SELECT (1 << 25)
5ee8ee86
PZ
7520#define ILK_DPARB_GATE (1 << 22)
7521#define ILK_VSDPFD_FULL (1 << 21)
f0f59a00 7522#define FUSE_STRAP _MMIO(0x42014)
e3589908
DL
7523#define ILK_INTERNAL_GRAPHICS_DISABLE (1 << 31)
7524#define ILK_INTERNAL_DISPLAY_DISABLE (1 << 30)
7525#define ILK_DISPLAY_DEBUG_DISABLE (1 << 29)
8c448cad 7526#define IVB_PIPE_C_DISABLE (1 << 28)
e3589908
DL
7527#define ILK_HDCP_DISABLE (1 << 25)
7528#define ILK_eDP_A_DISABLE (1 << 24)
7529#define HSW_CDCLK_LIMIT (1 << 24)
7530#define ILK_DESKTOP (1 << 23)
b16c7ed9 7531#define HSW_CPU_SSC_ENABLE (1 << 21)
231e54f6 7532
86761789
VS
7533#define FUSE_STRAP3 _MMIO(0x42020)
7534#define HSW_REF_CLK_SELECT (1 << 1)
7535
f0f59a00 7536#define ILK_DSPCLK_GATE_D _MMIO(0x42020)
231e54f6
DL
7537#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
7538#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
7539#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
7540#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
7541#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 7542
f0f59a00 7543#define IVB_CHICKEN3 _MMIO(0x4200c)
116ac8d2
EA
7544# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
7545# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
7546
f0f59a00 7547#define CHICKEN_PAR1_1 _MMIO(0x42080)
93564044 7548#define SKL_DE_COMPRESSED_HASH_MODE (1 << 15)
fe4ab3ce 7549#define DPA_MASK_VBLANK_SRD (1 << 15)
90a88643 7550#define FORCE_ARB_IDLE_PLANES (1 << 14)
dc00b6a0 7551#define SKL_EDP_PSR_FIX_RDWRAP (1 << 3)
90a88643 7552
17e0adf0
MK
7553#define CHICKEN_PAR2_1 _MMIO(0x42090)
7554#define KVM_CONFIG_CHANGE_NOTIFICATION_SELECT (1 << 14)
7555
f4f4b59b 7556#define CHICKEN_MISC_2 _MMIO(0x42084)
746a5173 7557#define CNL_COMP_PWR_DOWN (1 << 23)
f4f4b59b 7558#define GLK_CL2_PWR_DOWN (1 << 12)
746a5173
PZ
7559#define GLK_CL1_PWR_DOWN (1 << 11)
7560#define GLK_CL0_PWR_DOWN (1 << 10)
d8d4a512 7561
5654a162
PP
7562#define CHICKEN_MISC_4 _MMIO(0x4208c)
7563#define FBC_STRIDE_OVERRIDE (1 << 13)
7564#define FBC_STRIDE_MASK 0x1FFF
7565
fe4ab3ce
BW
7566#define _CHICKEN_PIPESL_1_A 0x420b0
7567#define _CHICKEN_PIPESL_1_B 0x420b4
8f670bb1
VS
7568#define HSW_FBCQ_DIS (1 << 22)
7569#define BDW_DPRS_MASK_VBLANK_SRD (1 << 0)
f0f59a00 7570#define CHICKEN_PIPESL_1(pipe) _MMIO_PIPE(pipe, _CHICKEN_PIPESL_1_A, _CHICKEN_PIPESL_1_B)
fe4ab3ce 7571
8f19b401
ID
7572#define CHICKEN_TRANS_A _MMIO(0x420c0)
7573#define CHICKEN_TRANS_B _MMIO(0x420c4)
7574#define CHICKEN_TRANS_C _MMIO(0x420c8)
7575#define CHICKEN_TRANS_EDP _MMIO(0x420cc)
5ee8ee86
PZ
7576#define VSC_DATA_SEL_SOFTWARE_CONTROL (1 << 25) /* GLK and CNL+ */
7577#define DDI_TRAINING_OVERRIDE_ENABLE (1 << 19)
7578#define DDI_TRAINING_OVERRIDE_VALUE (1 << 18)
7579#define DDIE_TRAINING_OVERRIDE_ENABLE (1 << 17) /* CHICKEN_TRANS_A only */
7580#define DDIE_TRAINING_OVERRIDE_VALUE (1 << 16) /* CHICKEN_TRANS_A only */
7581#define PSR2_ADD_VERTICAL_LINE_COUNT (1 << 15)
7582#define PSR2_VSC_ENABLE_PROG_HEADER (1 << 12)
d86f0482 7583
f0f59a00 7584#define DISP_ARB_CTL _MMIO(0x45000)
5ee8ee86
PZ
7585#define DISP_FBC_MEMORY_WAKE (1 << 31)
7586#define DISP_TILE_SURFACE_SWIZZLING (1 << 13)
7587#define DISP_FBC_WM_DIS (1 << 15)
f0f59a00 7588#define DISP_ARB_CTL2 _MMIO(0x45004)
5ee8ee86
PZ
7589#define DISP_DATA_PARTITION_5_6 (1 << 6)
7590#define DISP_IPC_ENABLE (1 << 3)
f0f59a00 7591#define DBUF_CTL _MMIO(0x45008)
746edf8f
MK
7592#define DBUF_CTL_S1 _MMIO(0x45008)
7593#define DBUF_CTL_S2 _MMIO(0x44FE8)
5ee8ee86
PZ
7594#define DBUF_POWER_REQUEST (1 << 31)
7595#define DBUF_POWER_STATE (1 << 30)
f0f59a00 7596#define GEN7_MSG_CTL _MMIO(0x45010)
5ee8ee86
PZ
7597#define WAIT_FOR_PCH_RESET_ACK (1 << 1)
7598#define WAIT_FOR_PCH_FLR_ACK (1 << 0)
f0f59a00 7599#define HSW_NDE_RSTWRN_OPT _MMIO(0x46408)
5ee8ee86 7600#define RESET_PCH_HANDSHAKE_ENABLE (1 << 4)
553bd149 7601
590e8ff0 7602#define GEN8_CHICKEN_DCPR_1 _MMIO(0x46430)
ad186f3f
PZ
7603#define SKL_SELECT_ALTERNATE_DC_EXIT (1 << 30)
7604#define MASK_WAKEMEM (1 << 13)
7605#define CNL_DDI_CLOCK_REG_ACCESS_ON (1 << 7)
590e8ff0 7606
f0f59a00 7607#define SKL_DFSM _MMIO(0x51000)
a9419e84
DL
7608#define SKL_DFSM_CDCLK_LIMIT_MASK (3 << 23)
7609#define SKL_DFSM_CDCLK_LIMIT_675 (0 << 23)
7610#define SKL_DFSM_CDCLK_LIMIT_540 (1 << 23)
7611#define SKL_DFSM_CDCLK_LIMIT_450 (2 << 23)
7612#define SKL_DFSM_CDCLK_LIMIT_337_5 (3 << 23)
bf4f2fb0
PJ
7613#define SKL_DFSM_PIPE_A_DISABLE (1 << 30)
7614#define SKL_DFSM_PIPE_B_DISABLE (1 << 21)
7615#define SKL_DFSM_PIPE_C_DISABLE (1 << 28)
7ff0fca4 7616#define TGL_DFSM_PIPE_D_DISABLE (1 << 22)
a9419e84 7617
186a277e
PZ
7618#define SKL_DSSM _MMIO(0x51004)
7619#define CNL_DSSM_CDCLK_PLL_REFCLK_24MHz (1 << 31)
7620#define ICL_DSSM_CDCLK_PLL_REFCLK_MASK (7 << 29)
7621#define ICL_DSSM_CDCLK_PLL_REFCLK_24MHz (0 << 29)
7622#define ICL_DSSM_CDCLK_PLL_REFCLK_19_2MHz (1 << 29)
7623#define ICL_DSSM_CDCLK_PLL_REFCLK_38_4MHz (2 << 29)
945f2672 7624
a78536e7 7625#define GEN7_FF_SLICE_CS_CHICKEN1 _MMIO(0x20e0)
5ee8ee86 7626#define GEN9_FFSC_PERCTX_PREEMPT_CTRL (1 << 14)
a78536e7 7627
f0f59a00 7628#define FF_SLICE_CS_CHICKEN2 _MMIO(0x20e4)
5ee8ee86
PZ
7629#define GEN9_TSG_BARRIER_ACK_DISABLE (1 << 8)
7630#define GEN9_POOLED_EU_LOAD_BALANCING_FIX_DISABLE (1 << 10)
2caa3b26 7631
2c8580e4 7632#define GEN9_CS_DEBUG_MODE1 _MMIO(0x20ec)
6bb62855 7633#define GEN9_CTX_PREEMPT_REG _MMIO(0x2248)
e0f3fa09 7634#define GEN8_CS_CHICKEN1 _MMIO(0x2580)
5ee8ee86 7635#define GEN9_PREEMPT_3D_OBJECT_LEVEL (1 << 0)
5152defe
MW
7636#define GEN9_PREEMPT_GPGPU_LEVEL(hi, lo) (((hi) << 2) | ((lo) << 1))
7637#define GEN9_PREEMPT_GPGPU_MID_THREAD_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 0)
7638#define GEN9_PREEMPT_GPGPU_THREAD_GROUP_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 1)
7639#define GEN9_PREEMPT_GPGPU_COMMAND_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(1, 0)
7640#define GEN9_PREEMPT_GPGPU_LEVEL_MASK GEN9_PREEMPT_GPGPU_LEVEL(1, 1)
e0f3fa09 7641
e4e0c058 7642/* GEN7 chicken */
f0f59a00 7643#define GEN7_COMMON_SLICE_CHICKEN1 _MMIO(0x7010)
b1f88820
OM
7644 #define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1 << 10) | (1 << 26))
7645 #define GEN9_RHWO_OPTIMIZATION_DISABLE (1 << 14)
7646
7647#define COMMON_SLICE_CHICKEN2 _MMIO(0x7014)
7648 #define GEN9_PBE_COMPRESSED_HASH_SELECTION (1 << 13)
7649 #define GEN9_DISABLE_GATHER_AT_SET_SHADER_COMMON_SLICE (1 << 12)
7650 #define GEN8_SBE_DISABLE_REPLAY_BUF_OPTIMIZATION (1 << 8)
7651 #define GEN8_CSC2_SBE_VUE_CACHE_CONSERVATIVE (1 << 0)
7652
cbe3e1d1
TU
7653#define GEN8_L3CNTLREG _MMIO(0x7034)
7654 #define GEN8_ERRDETBCTRL (1 << 9)
7655
b1f88820
OM
7656#define GEN11_COMMON_SLICE_CHICKEN3 _MMIO(0x7304)
7657 #define GEN11_BLEND_EMB_FIX_DISABLE_IN_RCC (1 << 11)
d71de14d 7658
f0f59a00 7659#define HIZ_CHICKEN _MMIO(0x7018)
5ee8ee86
PZ
7660# define CHV_HZ_8X8_MODE_IN_1X (1 << 15)
7661# define BDW_HIZ_POWER_COMPILER_CLOCK_GATING_DISABLE (1 << 3)
d60de81d 7662
f0f59a00 7663#define GEN9_SLICE_COMMON_ECO_CHICKEN0 _MMIO(0x7308)
5ee8ee86 7664#define DISABLE_PIXEL_MASK_CAMMING (1 << 14)
183c6dac 7665
ab062639 7666#define GEN9_SLICE_COMMON_ECO_CHICKEN1 _MMIO(0x731c)
f63c7b48 7667#define GEN11_STATE_CACHE_REDIRECT_TO_CS (1 << 11)
ab062639 7668
0c7d2aed
RS
7669#define GEN7_SARCHKMD _MMIO(0xB000)
7670#define GEN7_DISABLE_DEMAND_PREFETCH (1 << 31)
71ffd49c 7671#define GEN7_DISABLE_SAMPLER_PREFETCH (1 << 30)
0c7d2aed 7672
f0f59a00 7673#define GEN7_L3SQCREG1 _MMIO(0xB010)
031994ee
VS
7674#define VLV_B0_WA_L3SQCREG1_VALUE 0x00D30000
7675
f0f59a00 7676#define GEN8_L3SQCREG1 _MMIO(0xB100)
450174fe
ID
7677/*
7678 * Note that on CHV the following has an off-by-one error wrt. to BSpec.
7679 * Using the formula in BSpec leads to a hang, while the formula here works
7680 * fine and matches the formulas for all other platforms. A BSpec change
7681 * request has been filed to clarify this.
7682 */
36579cb6
ID
7683#define L3_GENERAL_PRIO_CREDITS(x) (((x) >> 1) << 19)
7684#define L3_HIGH_PRIO_CREDITS(x) (((x) >> 1) << 14)
930a784d 7685#define L3_PRIO_CREDITS_MASK ((0x1f << 19) | (0x1f << 14))
51ce4db1 7686
f0f59a00 7687#define GEN7_L3CNTLREG1 _MMIO(0xB01C)
1af8452f 7688#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C47FF8C
5ee8ee86 7689#define GEN7_L3AGDIS (1 << 19)
f0f59a00
VS
7690#define GEN7_L3CNTLREG2 _MMIO(0xB020)
7691#define GEN7_L3CNTLREG3 _MMIO(0xB024)
e4e0c058 7692
f0f59a00 7693#define GEN7_L3_CHICKEN_MODE_REGISTER _MMIO(0xB030)
5215eef3
OM
7694#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
7695#define GEN10_L3_CHICKEN_MODE_REGISTER _MMIO(0xB114)
7696#define GEN11_I2M_WRITE_DISABLE (1 << 28)
e4e0c058 7697
f0f59a00 7698#define GEN7_L3SQCREG4 _MMIO(0xb034)
5ee8ee86 7699#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1 << 27)
61939d97 7700
b83a309a
TU
7701#define GEN11_SCRATCH2 _MMIO(0xb140)
7702#define GEN11_COHERENT_PARTIAL_WRITE_MERGE_ENABLE (1 << 19)
7703
f0f59a00 7704#define GEN8_L3SQCREG4 _MMIO(0xb118)
5246ae4b
OM
7705#define GEN11_LQSC_CLEAN_EVICT_DISABLE (1 << 6)
7706#define GEN8_LQSC_RO_PERF_DIS (1 << 27)
7707#define GEN8_LQSC_FLUSH_COHERENT_LINES (1 << 21)
8bc0ccf6 7708
63801f21 7709/* GEN8 chicken */
f0f59a00 7710#define HDC_CHICKEN0 _MMIO(0x7300)
acfb5554 7711#define CNL_HDC_CHICKEN0 _MMIO(0xE5F0)
cc38cae7 7712#define ICL_HDC_MODE _MMIO(0xE5F4)
5ee8ee86
PZ
7713#define HDC_FORCE_CSR_NON_COHERENT_OVR_DISABLE (1 << 15)
7714#define HDC_FENCE_DEST_SLM_DISABLE (1 << 14)
7715#define HDC_DONOT_FETCH_MEM_WHEN_MASKED (1 << 11)
7716#define HDC_FORCE_CONTEXT_SAVE_RESTORE_NON_COHERENT (1 << 5)
7717#define HDC_FORCE_NON_COHERENT (1 << 4)
7718#define HDC_BARRIER_PERFORMANCE_DISABLE (1 << 10)
63801f21 7719
3669ab61
AS
7720#define GEN8_HDC_CHICKEN1 _MMIO(0x7304)
7721
38a39a7b 7722/* GEN9 chicken */
f0f59a00 7723#define SLICE_ECO_CHICKEN0 _MMIO(0x7308)
38a39a7b
BW
7724#define PIXEL_MASK_CAMMING_DISABLE (1 << 14)
7725
0c79f9cb
MT
7726#define GEN9_WM_CHICKEN3 _MMIO(0x5588)
7727#define GEN9_FACTOR_IN_CLR_VAL_HIZ (1 << 9)
7728
db099c8f 7729/* WaCatErrorRejectionIssue */
f0f59a00 7730#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG _MMIO(0x9030)
5ee8ee86 7731#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1 << 11)
db099c8f 7732
f0f59a00 7733#define HSW_SCRATCH1 _MMIO(0xb038)
5ee8ee86 7734#define HSW_SCRATCH1_L3_DATA_ATOMICS_DISABLE (1 << 27)
f3fc4884 7735
f0f59a00 7736#define BDW_SCRATCH1 _MMIO(0xb11c)
5ee8ee86 7737#define GEN9_LBS_SLA_RETRY_TIMER_DECREMENT_ENABLE (1 << 2)
77719d28 7738
e16a3750 7739/*GEN11 chicken */
26eeea15
AS
7740#define _PIPEA_CHICKEN 0x70038
7741#define _PIPEB_CHICKEN 0x71038
7742#define _PIPEC_CHICKEN 0x72038
7743#define PIPE_CHICKEN(pipe) _MMIO_PIPE(pipe, _PIPEA_CHICKEN,\
7744 _PIPEB_CHICKEN)
7745#define PIXEL_ROUNDING_TRUNC_FB_PASSTHRU (1 << 15)
7746#define PER_PIXEL_ALPHA_BYPASS_EN (1 << 7)
e16a3750 7747
b9055052
ZW
7748/* PCH */
7749
dce88879
LDM
7750#define PCH_DISPLAY_BASE 0xc0000u
7751
23e81d69 7752/* south display engine interrupt: IBX */
776ad806
JB
7753#define SDE_AUDIO_POWER_D (1 << 27)
7754#define SDE_AUDIO_POWER_C (1 << 26)
7755#define SDE_AUDIO_POWER_B (1 << 25)
7756#define SDE_AUDIO_POWER_SHIFT (25)
7757#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
7758#define SDE_GMBUS (1 << 24)
7759#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
7760#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
7761#define SDE_AUDIO_HDCP_MASK (3 << 22)
7762#define SDE_AUDIO_TRANSB (1 << 21)
7763#define SDE_AUDIO_TRANSA (1 << 20)
7764#define SDE_AUDIO_TRANS_MASK (3 << 20)
7765#define SDE_POISON (1 << 19)
7766/* 18 reserved */
7767#define SDE_FDI_RXB (1 << 17)
7768#define SDE_FDI_RXA (1 << 16)
7769#define SDE_FDI_MASK (3 << 16)
7770#define SDE_AUXD (1 << 15)
7771#define SDE_AUXC (1 << 14)
7772#define SDE_AUXB (1 << 13)
7773#define SDE_AUX_MASK (7 << 13)
7774/* 12 reserved */
b9055052
ZW
7775#define SDE_CRT_HOTPLUG (1 << 11)
7776#define SDE_PORTD_HOTPLUG (1 << 10)
7777#define SDE_PORTC_HOTPLUG (1 << 9)
7778#define SDE_PORTB_HOTPLUG (1 << 8)
7779#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
7780#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
7781 SDE_SDVOB_HOTPLUG | \
7782 SDE_PORTB_HOTPLUG | \
7783 SDE_PORTC_HOTPLUG | \
7784 SDE_PORTD_HOTPLUG)
776ad806
JB
7785#define SDE_TRANSB_CRC_DONE (1 << 5)
7786#define SDE_TRANSB_CRC_ERR (1 << 4)
7787#define SDE_TRANSB_FIFO_UNDER (1 << 3)
7788#define SDE_TRANSA_CRC_DONE (1 << 2)
7789#define SDE_TRANSA_CRC_ERR (1 << 1)
7790#define SDE_TRANSA_FIFO_UNDER (1 << 0)
7791#define SDE_TRANS_MASK (0x3f)
23e81d69 7792
31604222 7793/* south display engine interrupt: CPT - CNP */
23e81d69
AJ
7794#define SDE_AUDIO_POWER_D_CPT (1 << 31)
7795#define SDE_AUDIO_POWER_C_CPT (1 << 30)
7796#define SDE_AUDIO_POWER_B_CPT (1 << 29)
7797#define SDE_AUDIO_POWER_SHIFT_CPT 29
7798#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
7799#define SDE_AUXD_CPT (1 << 27)
7800#define SDE_AUXC_CPT (1 << 26)
7801#define SDE_AUXB_CPT (1 << 25)
7802#define SDE_AUX_MASK_CPT (7 << 25)
26951caf 7803#define SDE_PORTE_HOTPLUG_SPT (1 << 25)
74c0b395 7804#define SDE_PORTA_HOTPLUG_SPT (1 << 24)
8db9d77b
ZW
7805#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
7806#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
7807#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 7808#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 7809#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 7810#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 7811 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
7812 SDE_PORTD_HOTPLUG_CPT | \
7813 SDE_PORTC_HOTPLUG_CPT | \
7814 SDE_PORTB_HOTPLUG_CPT)
26951caf
XZ
7815#define SDE_HOTPLUG_MASK_SPT (SDE_PORTE_HOTPLUG_SPT | \
7816 SDE_PORTD_HOTPLUG_CPT | \
7817 SDE_PORTC_HOTPLUG_CPT | \
74c0b395
VS
7818 SDE_PORTB_HOTPLUG_CPT | \
7819 SDE_PORTA_HOTPLUG_SPT)
23e81d69 7820#define SDE_GMBUS_CPT (1 << 17)
8664281b 7821#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
7822#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
7823#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
7824#define SDE_FDI_RXC_CPT (1 << 8)
7825#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
7826#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
7827#define SDE_FDI_RXB_CPT (1 << 4)
7828#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
7829#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
7830#define SDE_FDI_RXA_CPT (1 << 0)
7831#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
7832 SDE_AUDIO_CP_REQ_B_CPT | \
7833 SDE_AUDIO_CP_REQ_A_CPT)
7834#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
7835 SDE_AUDIO_CP_CHG_B_CPT | \
7836 SDE_AUDIO_CP_CHG_A_CPT)
7837#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
7838 SDE_FDI_RXB_CPT | \
7839 SDE_FDI_RXA_CPT)
b9055052 7840
52dfdba0
LDM
7841/* south display engine interrupt: ICP/TGP */
7842#define SDE_TC6_HOTPLUG_TGP (1 << 29)
7843#define SDE_TC5_HOTPLUG_TGP (1 << 28)
31604222
AS
7844#define SDE_TC4_HOTPLUG_ICP (1 << 27)
7845#define SDE_TC3_HOTPLUG_ICP (1 << 26)
7846#define SDE_TC2_HOTPLUG_ICP (1 << 25)
7847#define SDE_TC1_HOTPLUG_ICP (1 << 24)
7848#define SDE_GMBUS_ICP (1 << 23)
52dfdba0 7849#define SDE_DDIC_HOTPLUG_TGP (1 << 18)
31604222
AS
7850#define SDE_DDIB_HOTPLUG_ICP (1 << 17)
7851#define SDE_DDIA_HOTPLUG_ICP (1 << 16)
b9fcddab
PZ
7852#define SDE_TC_HOTPLUG_ICP(tc_port) (1 << ((tc_port) + 24))
7853#define SDE_DDI_HOTPLUG_ICP(port) (1 << ((port) + 16))
31604222
AS
7854#define SDE_DDI_MASK_ICP (SDE_DDIB_HOTPLUG_ICP | \
7855 SDE_DDIA_HOTPLUG_ICP)
7856#define SDE_TC_MASK_ICP (SDE_TC4_HOTPLUG_ICP | \
7857 SDE_TC3_HOTPLUG_ICP | \
7858 SDE_TC2_HOTPLUG_ICP | \
7859 SDE_TC1_HOTPLUG_ICP)
52dfdba0
LDM
7860#define SDE_DDI_MASK_TGP (SDE_DDIC_HOTPLUG_TGP | \
7861 SDE_DDI_MASK_ICP)
7862#define SDE_TC_MASK_TGP (SDE_TC6_HOTPLUG_TGP | \
7863 SDE_TC5_HOTPLUG_TGP | \
7864 SDE_TC_MASK_ICP)
31604222 7865
f0f59a00
VS
7866#define SDEISR _MMIO(0xc4000)
7867#define SDEIMR _MMIO(0xc4004)
7868#define SDEIIR _MMIO(0xc4008)
7869#define SDEIER _MMIO(0xc400c)
b9055052 7870
f0f59a00 7871#define SERR_INT _MMIO(0xc4040)
5ee8ee86
PZ
7872#define SERR_INT_POISON (1 << 31)
7873#define SERR_INT_TRANS_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
8664281b 7874
b9055052 7875/* digital port hotplug */
f0f59a00 7876#define PCH_PORT_HOTPLUG _MMIO(0xc4030) /* SHOTPLUG_CTL */
195baa06 7877#define PORTA_HOTPLUG_ENABLE (1 << 28) /* LPT:LP+ & BXT */
d252bf68 7878#define BXT_DDIA_HPD_INVERT (1 << 27)
195baa06
VS
7879#define PORTA_HOTPLUG_STATUS_MASK (3 << 24) /* SPT+ & BXT */
7880#define PORTA_HOTPLUG_NO_DETECT (0 << 24) /* SPT+ & BXT */
7881#define PORTA_HOTPLUG_SHORT_DETECT (1 << 24) /* SPT+ & BXT */
7882#define PORTA_HOTPLUG_LONG_DETECT (2 << 24) /* SPT+ & BXT */
40bfd7a3
VS
7883#define PORTD_HOTPLUG_ENABLE (1 << 20)
7884#define PORTD_PULSE_DURATION_2ms (0 << 18) /* pre-LPT */
7885#define PORTD_PULSE_DURATION_4_5ms (1 << 18) /* pre-LPT */
7886#define PORTD_PULSE_DURATION_6ms (2 << 18) /* pre-LPT */
7887#define PORTD_PULSE_DURATION_100ms (3 << 18) /* pre-LPT */
7888#define PORTD_PULSE_DURATION_MASK (3 << 18) /* pre-LPT */
7889#define PORTD_HOTPLUG_STATUS_MASK (3 << 16)
b696519e
DL
7890#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
7891#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
7892#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
40bfd7a3 7893#define PORTC_HOTPLUG_ENABLE (1 << 12)
d252bf68 7894#define BXT_DDIC_HPD_INVERT (1 << 11)
40bfd7a3
VS
7895#define PORTC_PULSE_DURATION_2ms (0 << 10) /* pre-LPT */
7896#define PORTC_PULSE_DURATION_4_5ms (1 << 10) /* pre-LPT */
7897#define PORTC_PULSE_DURATION_6ms (2 << 10) /* pre-LPT */
7898#define PORTC_PULSE_DURATION_100ms (3 << 10) /* pre-LPT */
7899#define PORTC_PULSE_DURATION_MASK (3 << 10) /* pre-LPT */
7900#define PORTC_HOTPLUG_STATUS_MASK (3 << 8)
b696519e
DL
7901#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
7902#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
7903#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
40bfd7a3 7904#define PORTB_HOTPLUG_ENABLE (1 << 4)
d252bf68 7905#define BXT_DDIB_HPD_INVERT (1 << 3)
40bfd7a3
VS
7906#define PORTB_PULSE_DURATION_2ms (0 << 2) /* pre-LPT */
7907#define PORTB_PULSE_DURATION_4_5ms (1 << 2) /* pre-LPT */
7908#define PORTB_PULSE_DURATION_6ms (2 << 2) /* pre-LPT */
7909#define PORTB_PULSE_DURATION_100ms (3 << 2) /* pre-LPT */
7910#define PORTB_PULSE_DURATION_MASK (3 << 2) /* pre-LPT */
7911#define PORTB_HOTPLUG_STATUS_MASK (3 << 0)
b696519e
DL
7912#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
7913#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
7914#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
d252bf68
SS
7915#define BXT_DDI_HPD_INVERT_MASK (BXT_DDIA_HPD_INVERT | \
7916 BXT_DDIB_HPD_INVERT | \
7917 BXT_DDIC_HPD_INVERT)
b9055052 7918
f0f59a00 7919#define PCH_PORT_HOTPLUG2 _MMIO(0xc403C) /* SHOTPLUG_CTL2 SPT+ */
40bfd7a3
VS
7920#define PORTE_HOTPLUG_ENABLE (1 << 4)
7921#define PORTE_HOTPLUG_STATUS_MASK (3 << 0)
26951caf
XZ
7922#define PORTE_HOTPLUG_NO_DETECT (0 << 0)
7923#define PORTE_HOTPLUG_SHORT_DETECT (1 << 0)
7924#define PORTE_HOTPLUG_LONG_DETECT (2 << 0)
b9055052 7925
31604222
AS
7926/* This register is a reuse of PCH_PORT_HOTPLUG register. The
7927 * functionality covered in PCH_PORT_HOTPLUG is split into
7928 * SHOTPLUG_CTL_DDI and SHOTPLUG_CTL_TC.
7929 */
7930
ed3126fa
LDM
7931#define SHOTPLUG_CTL_DDI _MMIO(0xc4030)
7932#define SHOTPLUG_CTL_DDI_HPD_ENABLE(port) (0x8 << (4 * (port)))
7933#define SHOTPLUG_CTL_DDI_HPD_STATUS_MASK(port) (0x3 << (4 * (port)))
7934#define SHOTPLUG_CTL_DDI_HPD_NO_DETECT(port) (0x0 << (4 * (port)))
7935#define SHOTPLUG_CTL_DDI_HPD_SHORT_DETECT(port) (0x1 << (4 * (port)))
7936#define SHOTPLUG_CTL_DDI_HPD_LONG_DETECT(port) (0x2 << (4 * (port)))
7937#define SHOTPLUG_CTL_DDI_HPD_SHORT_LONG_DETECT(port) (0x3 << (4 * (port)))
31604222
AS
7938
7939#define SHOTPLUG_CTL_TC _MMIO(0xc4034)
7940#define ICP_TC_HPD_ENABLE(tc_port) (8 << (tc_port) * 4)
c7d2959f
AS
7941/* Icelake DSC Rate Control Range Parameter Registers */
7942#define DSCA_RC_RANGE_PARAMETERS_0 _MMIO(0x6B240)
7943#define DSCA_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6B240 + 4)
7944#define DSCC_RC_RANGE_PARAMETERS_0 _MMIO(0x6BA40)
7945#define DSCC_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6BA40 + 4)
7946#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB (0x78208)
7947#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB (0x78208 + 4)
7948#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB (0x78308)
7949#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB (0x78308 + 4)
7950#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC (0x78408)
7951#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC (0x78408 + 4)
7952#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC (0x78508)
7953#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC (0x78508 + 4)
7954#define ICL_DSC0_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7955 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB, \
7956 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC)
7957#define ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7958 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB, \
7959 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC)
7960#define ICL_DSC1_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7961 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB, \
7962 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC)
7963#define ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7964 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB, \
7965 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC)
7966#define RC_BPG_OFFSET_SHIFT 10
7967#define RC_MAX_QP_SHIFT 5
7968#define RC_MIN_QP_SHIFT 0
7969
7970#define DSCA_RC_RANGE_PARAMETERS_1 _MMIO(0x6B248)
7971#define DSCA_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6B248 + 4)
7972#define DSCC_RC_RANGE_PARAMETERS_1 _MMIO(0x6BA48)
7973#define DSCC_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6BA48 + 4)
7974#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB (0x78210)
7975#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB (0x78210 + 4)
7976#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB (0x78310)
7977#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB (0x78310 + 4)
7978#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC (0x78410)
7979#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC (0x78410 + 4)
7980#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC (0x78510)
7981#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC (0x78510 + 4)
7982#define ICL_DSC0_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7983 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB, \
7984 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC)
7985#define ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7986 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB, \
7987 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC)
7988#define ICL_DSC1_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7989 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB, \
7990 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC)
7991#define ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7992 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB, \
7993 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC)
7994
7995#define DSCA_RC_RANGE_PARAMETERS_2 _MMIO(0x6B250)
7996#define DSCA_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6B250 + 4)
7997#define DSCC_RC_RANGE_PARAMETERS_2 _MMIO(0x6BA50)
7998#define DSCC_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6BA50 + 4)
7999#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB (0x78218)
8000#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB (0x78218 + 4)
8001#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB (0x78318)
8002#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB (0x78318 + 4)
8003#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC (0x78418)
8004#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC (0x78418 + 4)
8005#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC (0x78518)
8006#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC (0x78518 + 4)
8007#define ICL_DSC0_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8008 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB, \
8009 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC)
8010#define ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8011 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB, \
8012 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC)
8013#define ICL_DSC1_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8014 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB, \
8015 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC)
8016#define ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8017 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB, \
8018 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC)
8019
8020#define DSCA_RC_RANGE_PARAMETERS_3 _MMIO(0x6B258)
8021#define DSCA_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6B258 + 4)
8022#define DSCC_RC_RANGE_PARAMETERS_3 _MMIO(0x6BA58)
8023#define DSCC_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6BA58 + 4)
8024#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB (0x78220)
8025#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB (0x78220 + 4)
8026#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB (0x78320)
8027#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB (0x78320 + 4)
8028#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC (0x78420)
8029#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC (0x78420 + 4)
8030#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC (0x78520)
8031#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC (0x78520 + 4)
8032#define ICL_DSC0_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8033 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB, \
8034 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC)
8035#define ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8036 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB, \
8037 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC)
8038#define ICL_DSC1_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8039 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB, \
8040 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC)
8041#define ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8042 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB, \
8043 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC)
8044
31604222
AS
8045#define ICP_TC_HPD_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
8046#define ICP_TC_HPD_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
8047
ed3126fa
LDM
8048#define ICP_DDI_HPD_ENABLE_MASK (SHOTPLUG_CTL_DDI_HPD_ENABLE(PORT_B) | \
8049 SHOTPLUG_CTL_DDI_HPD_ENABLE(PORT_A))
52dfdba0
LDM
8050#define ICP_TC_HPD_ENABLE_MASK (ICP_TC_HPD_ENABLE(PORT_TC4) | \
8051 ICP_TC_HPD_ENABLE(PORT_TC3) | \
8052 ICP_TC_HPD_ENABLE(PORT_TC2) | \
8053 ICP_TC_HPD_ENABLE(PORT_TC1))
ed3126fa
LDM
8054#define TGP_DDI_HPD_ENABLE_MASK (SHOTPLUG_CTL_DDI_HPD_ENABLE(PORT_C) | \
8055 SHOTPLUG_CTL_DDI_HPD_ENABLE(PORT_B) | \
8056 SHOTPLUG_CTL_DDI_HPD_ENABLE(PORT_A))
52dfdba0
LDM
8057#define TGP_TC_HPD_ENABLE_MASK (ICP_TC_HPD_ENABLE(PORT_TC6) | \
8058 ICP_TC_HPD_ENABLE(PORT_TC5) | \
8059 ICP_TC_HPD_ENABLE_MASK)
8060
9db4a9c7
JB
8061#define _PCH_DPLL_A 0xc6014
8062#define _PCH_DPLL_B 0xc6018
9e8789ec 8063#define PCH_DPLL(pll) _MMIO((pll) == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 8064
9db4a9c7 8065#define _PCH_FPA0 0xc6040
5ee8ee86 8066#define FP_CB_TUNE (0x3 << 22)
9db4a9c7
JB
8067#define _PCH_FPA1 0xc6044
8068#define _PCH_FPB0 0xc6048
8069#define _PCH_FPB1 0xc604c
9e8789ec
PZ
8070#define PCH_FP0(pll) _MMIO((pll) == 0 ? _PCH_FPA0 : _PCH_FPB0)
8071#define PCH_FP1(pll) _MMIO((pll) == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052 8072
f0f59a00 8073#define PCH_DPLL_TEST _MMIO(0xc606c)
b9055052 8074
f0f59a00 8075#define PCH_DREF_CONTROL _MMIO(0xC6200)
b9055052 8076#define DREF_CONTROL_MASK 0x7fc3
5ee8ee86
PZ
8077#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0 << 13)
8078#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2 << 13)
8079#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3 << 13)
8080#define DREF_CPU_SOURCE_OUTPUT_MASK (3 << 13)
8081#define DREF_SSC_SOURCE_DISABLE (0 << 11)
8082#define DREF_SSC_SOURCE_ENABLE (2 << 11)
8083#define DREF_SSC_SOURCE_MASK (3 << 11)
8084#define DREF_NONSPREAD_SOURCE_DISABLE (0 << 9)
8085#define DREF_NONSPREAD_CK505_ENABLE (1 << 9)
8086#define DREF_NONSPREAD_SOURCE_ENABLE (2 << 9)
8087#define DREF_NONSPREAD_SOURCE_MASK (3 << 9)
8088#define DREF_SUPERSPREAD_SOURCE_DISABLE (0 << 7)
8089#define DREF_SUPERSPREAD_SOURCE_ENABLE (2 << 7)
8090#define DREF_SUPERSPREAD_SOURCE_MASK (3 << 7)
8091#define DREF_SSC4_DOWNSPREAD (0 << 6)
8092#define DREF_SSC4_CENTERSPREAD (1 << 6)
8093#define DREF_SSC1_DISABLE (0 << 1)
8094#define DREF_SSC1_ENABLE (1 << 1)
b9055052
ZW
8095#define DREF_SSC4_DISABLE (0)
8096#define DREF_SSC4_ENABLE (1)
8097
f0f59a00 8098#define PCH_RAWCLK_FREQ _MMIO(0xc6204)
b9055052 8099#define FDL_TP1_TIMER_SHIFT 12
5ee8ee86 8100#define FDL_TP1_TIMER_MASK (3 << 12)
b9055052 8101#define FDL_TP2_TIMER_SHIFT 10
5ee8ee86 8102#define FDL_TP2_TIMER_MASK (3 << 10)
b9055052 8103#define RAWCLK_FREQ_MASK 0x3ff
9d81a997
RV
8104#define CNP_RAWCLK_DIV_MASK (0x3ff << 16)
8105#define CNP_RAWCLK_DIV(div) ((div) << 16)
8106#define CNP_RAWCLK_FRAC_MASK (0xf << 26)
228a5cf3 8107#define CNP_RAWCLK_DEN(den) ((den) << 26)
4ef99abd 8108#define ICP_RAWCLK_NUM(num) ((num) << 11)
b9055052 8109
f0f59a00 8110#define PCH_DPLL_TMR_CFG _MMIO(0xc6208)
b9055052 8111
f0f59a00
VS
8112#define PCH_SSC4_PARMS _MMIO(0xc6210)
8113#define PCH_SSC4_AUX_PARMS _MMIO(0xc6214)
b9055052 8114
f0f59a00 8115#define PCH_DPLL_SEL _MMIO(0xc7000)
68d97538 8116#define TRANS_DPLLB_SEL(pipe) (1 << ((pipe) * 4))
11887397 8117#define TRANS_DPLLA_SEL(pipe) 0
68d97538 8118#define TRANS_DPLL_ENABLE(pipe) (1 << ((pipe) * 4 + 3))
8db9d77b 8119
b9055052
ZW
8120/* transcoder */
8121
275f01b2
DV
8122#define _PCH_TRANS_HTOTAL_A 0xe0000
8123#define TRANS_HTOTAL_SHIFT 16
8124#define TRANS_HACTIVE_SHIFT 0
8125#define _PCH_TRANS_HBLANK_A 0xe0004
8126#define TRANS_HBLANK_END_SHIFT 16
8127#define TRANS_HBLANK_START_SHIFT 0
8128#define _PCH_TRANS_HSYNC_A 0xe0008
8129#define TRANS_HSYNC_END_SHIFT 16
8130#define TRANS_HSYNC_START_SHIFT 0
8131#define _PCH_TRANS_VTOTAL_A 0xe000c
8132#define TRANS_VTOTAL_SHIFT 16
8133#define TRANS_VACTIVE_SHIFT 0
8134#define _PCH_TRANS_VBLANK_A 0xe0010
8135#define TRANS_VBLANK_END_SHIFT 16
8136#define TRANS_VBLANK_START_SHIFT 0
8137#define _PCH_TRANS_VSYNC_A 0xe0014
af7187b7 8138#define TRANS_VSYNC_END_SHIFT 16
275f01b2
DV
8139#define TRANS_VSYNC_START_SHIFT 0
8140#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 8141
e3b95f1e
DV
8142#define _PCH_TRANSA_DATA_M1 0xe0030
8143#define _PCH_TRANSA_DATA_N1 0xe0034
8144#define _PCH_TRANSA_DATA_M2 0xe0038
8145#define _PCH_TRANSA_DATA_N2 0xe003c
8146#define _PCH_TRANSA_LINK_M1 0xe0040
8147#define _PCH_TRANSA_LINK_N1 0xe0044
8148#define _PCH_TRANSA_LINK_M2 0xe0048
8149#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 8150
2dcbc34d 8151/* Per-transcoder DIP controls (PCH) */
b055c8f3
JB
8152#define _VIDEO_DIP_CTL_A 0xe0200
8153#define _VIDEO_DIP_DATA_A 0xe0208
8154#define _VIDEO_DIP_GCP_A 0xe0210
6d67415f
VS
8155#define GCP_COLOR_INDICATION (1 << 2)
8156#define GCP_DEFAULT_PHASE_ENABLE (1 << 1)
8157#define GCP_AV_MUTE (1 << 0)
b055c8f3
JB
8158
8159#define _VIDEO_DIP_CTL_B 0xe1200
8160#define _VIDEO_DIP_DATA_B 0xe1208
8161#define _VIDEO_DIP_GCP_B 0xe1210
8162
f0f59a00
VS
8163#define TVIDEO_DIP_CTL(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
8164#define TVIDEO_DIP_DATA(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
8165#define TVIDEO_DIP_GCP(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
b055c8f3 8166
2dcbc34d 8167/* Per-transcoder DIP controls (VLV) */
086f8e84
VS
8168#define _VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
8169#define _VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
8170#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 8171
086f8e84
VS
8172#define _VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
8173#define _VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
8174#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8 8175
086f8e84
VS
8176#define _CHV_VIDEO_DIP_CTL_C (VLV_DISPLAY_BASE + 0x611f0)
8177#define _CHV_VIDEO_DIP_DATA_C (VLV_DISPLAY_BASE + 0x611f4)
8178#define _CHV_VIDEO_DIP_GDCP_PAYLOAD_C (VLV_DISPLAY_BASE + 0x611f8)
2dcbc34d 8179
90b107c8 8180#define VLV_TVIDEO_DIP_CTL(pipe) \
f0f59a00 8181 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_CTL_A, \
086f8e84 8182 _VLV_VIDEO_DIP_CTL_B, _CHV_VIDEO_DIP_CTL_C)
90b107c8 8183#define VLV_TVIDEO_DIP_DATA(pipe) \
f0f59a00 8184 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_DATA_A, \
086f8e84 8185 _VLV_VIDEO_DIP_DATA_B, _CHV_VIDEO_DIP_DATA_C)
90b107c8 8186#define VLV_TVIDEO_DIP_GCP(pipe) \
f0f59a00 8187 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_GDCP_PAYLOAD_A, \
086f8e84 8188 _VLV_VIDEO_DIP_GDCP_PAYLOAD_B, _CHV_VIDEO_DIP_GDCP_PAYLOAD_C)
90b107c8 8189
8c5f5f7c 8190/* Haswell DIP controls */
f0f59a00 8191
086f8e84
VS
8192#define _HSW_VIDEO_DIP_CTL_A 0x60200
8193#define _HSW_VIDEO_DIP_AVI_DATA_A 0x60220
8194#define _HSW_VIDEO_DIP_VS_DATA_A 0x60260
8195#define _HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
8196#define _HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
8197#define _HSW_VIDEO_DIP_VSC_DATA_A 0x60320
44b42ebf 8198#define _GLK_VIDEO_DIP_DRM_DATA_A 0x60440
086f8e84
VS
8199#define _HSW_VIDEO_DIP_AVI_ECC_A 0x60240
8200#define _HSW_VIDEO_DIP_VS_ECC_A 0x60280
8201#define _HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
8202#define _HSW_VIDEO_DIP_GMP_ECC_A 0x60300
8203#define _HSW_VIDEO_DIP_VSC_ECC_A 0x60344
8204#define _HSW_VIDEO_DIP_GCP_A 0x60210
8205
8206#define _HSW_VIDEO_DIP_CTL_B 0x61200
8207#define _HSW_VIDEO_DIP_AVI_DATA_B 0x61220
8208#define _HSW_VIDEO_DIP_VS_DATA_B 0x61260
8209#define _HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
8210#define _HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
8211#define _HSW_VIDEO_DIP_VSC_DATA_B 0x61320
44b42ebf 8212#define _GLK_VIDEO_DIP_DRM_DATA_B 0x61440
086f8e84
VS
8213#define _HSW_VIDEO_DIP_BVI_ECC_B 0x61240
8214#define _HSW_VIDEO_DIP_VS_ECC_B 0x61280
8215#define _HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
8216#define _HSW_VIDEO_DIP_GMP_ECC_B 0x61300
8217#define _HSW_VIDEO_DIP_VSC_ECC_B 0x61344
8218#define _HSW_VIDEO_DIP_GCP_B 0x61210
8c5f5f7c 8219
7af2be6d
AS
8220/* Icelake PPS_DATA and _ECC DIP Registers.
8221 * These are available for transcoders B,C and eDP.
8222 * Adding the _A so as to reuse the _MMIO_TRANS2
8223 * definition, with which it offsets to the right location.
8224 */
8225
8226#define _ICL_VIDEO_DIP_PPS_DATA_A 0x60350
8227#define _ICL_VIDEO_DIP_PPS_DATA_B 0x61350
8228#define _ICL_VIDEO_DIP_PPS_ECC_A 0x603D4
8229#define _ICL_VIDEO_DIP_PPS_ECC_B 0x613D4
8230
f0f59a00 8231#define HSW_TVIDEO_DIP_CTL(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_CTL_A)
5cb3c1a1 8232#define HSW_TVIDEO_DIP_GCP(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GCP_A)
f0f59a00
VS
8233#define HSW_TVIDEO_DIP_AVI_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_AVI_DATA_A + (i) * 4)
8234#define HSW_TVIDEO_DIP_VS_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VS_DATA_A + (i) * 4)
8235#define HSW_TVIDEO_DIP_SPD_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_SPD_DATA_A + (i) * 4)
5cb3c1a1 8236#define HSW_TVIDEO_DIP_GMP_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GMP_DATA_A + (i) * 4)
f0f59a00 8237#define HSW_TVIDEO_DIP_VSC_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VSC_DATA_A + (i) * 4)
44b42ebf 8238#define GLK_TVIDEO_DIP_DRM_DATA(trans, i) _MMIO_TRANS2(trans, _GLK_VIDEO_DIP_DRM_DATA_A + (i) * 4)
7af2be6d
AS
8239#define ICL_VIDEO_DIP_PPS_DATA(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_DATA_A + (i) * 4)
8240#define ICL_VIDEO_DIP_PPS_ECC(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_ECC_A + (i) * 4)
f0f59a00
VS
8241
8242#define _HSW_STEREO_3D_CTL_A 0x70020
5ee8ee86 8243#define S3D_ENABLE (1 << 31)
f0f59a00
VS
8244#define _HSW_STEREO_3D_CTL_B 0x71020
8245
8246#define HSW_STEREO_3D_CTL(trans) _MMIO_PIPE2(trans, _HSW_STEREO_3D_CTL_A)
3f51e471 8247
275f01b2
DV
8248#define _PCH_TRANS_HTOTAL_B 0xe1000
8249#define _PCH_TRANS_HBLANK_B 0xe1004
8250#define _PCH_TRANS_HSYNC_B 0xe1008
8251#define _PCH_TRANS_VTOTAL_B 0xe100c
8252#define _PCH_TRANS_VBLANK_B 0xe1010
8253#define _PCH_TRANS_VSYNC_B 0xe1014
f0f59a00 8254#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
275f01b2 8255
f0f59a00
VS
8256#define PCH_TRANS_HTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
8257#define PCH_TRANS_HBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
8258#define PCH_TRANS_HSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
8259#define PCH_TRANS_VTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
8260#define PCH_TRANS_VBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
8261#define PCH_TRANS_VSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
8262#define PCH_TRANS_VSYNCSHIFT(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 8263
e3b95f1e
DV
8264#define _PCH_TRANSB_DATA_M1 0xe1030
8265#define _PCH_TRANSB_DATA_N1 0xe1034
8266#define _PCH_TRANSB_DATA_M2 0xe1038
8267#define _PCH_TRANSB_DATA_N2 0xe103c
8268#define _PCH_TRANSB_LINK_M1 0xe1040
8269#define _PCH_TRANSB_LINK_N1 0xe1044
8270#define _PCH_TRANSB_LINK_M2 0xe1048
8271#define _PCH_TRANSB_LINK_N2 0xe104c
8272
f0f59a00
VS
8273#define PCH_TRANS_DATA_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
8274#define PCH_TRANS_DATA_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
8275#define PCH_TRANS_DATA_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
8276#define PCH_TRANS_DATA_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
8277#define PCH_TRANS_LINK_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
8278#define PCH_TRANS_LINK_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
8279#define PCH_TRANS_LINK_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
8280#define PCH_TRANS_LINK_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 8281
ab9412ba
DV
8282#define _PCH_TRANSACONF 0xf0008
8283#define _PCH_TRANSBCONF 0xf1008
f0f59a00
VS
8284#define PCH_TRANSCONF(pipe) _MMIO_PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
8285#define LPT_TRANSCONF PCH_TRANSCONF(PIPE_A) /* lpt has only one transcoder */
5ee8ee86
PZ
8286#define TRANS_DISABLE (0 << 31)
8287#define TRANS_ENABLE (1 << 31)
8288#define TRANS_STATE_MASK (1 << 30)
8289#define TRANS_STATE_DISABLE (0 << 30)
8290#define TRANS_STATE_ENABLE (1 << 30)
8291#define TRANS_FSYNC_DELAY_HB1 (0 << 27)
8292#define TRANS_FSYNC_DELAY_HB2 (1 << 27)
8293#define TRANS_FSYNC_DELAY_HB3 (2 << 27)
8294#define TRANS_FSYNC_DELAY_HB4 (3 << 27)
8295#define TRANS_INTERLACE_MASK (7 << 21)
8296#define TRANS_PROGRESSIVE (0 << 21)
8297#define TRANS_INTERLACED (3 << 21)
8298#define TRANS_LEGACY_INTERLACED_ILK (2 << 21)
8299#define TRANS_8BPC (0 << 5)
8300#define TRANS_10BPC (1 << 5)
8301#define TRANS_6BPC (2 << 5)
8302#define TRANS_12BPC (3 << 5)
b9055052 8303
ce40141f
DV
8304#define _TRANSA_CHICKEN1 0xf0060
8305#define _TRANSB_CHICKEN1 0xf1060
f0f59a00 8306#define TRANS_CHICKEN1(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
5ee8ee86
PZ
8307#define TRANS_CHICKEN1_HDMIUNIT_GC_DISABLE (1 << 10)
8308#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1 << 4)
3bcf603f
JB
8309#define _TRANSA_CHICKEN2 0xf0064
8310#define _TRANSB_CHICKEN2 0xf1064
f0f59a00 8311#define TRANS_CHICKEN2(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
5ee8ee86
PZ
8312#define TRANS_CHICKEN2_TIMING_OVERRIDE (1 << 31)
8313#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1 << 29)
8314#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3 << 27)
8315#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1 << 26)
8316#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1 << 25)
3bcf603f 8317
f0f59a00 8318#define SOUTH_CHICKEN1 _MMIO(0xc2000)
291427f5
JB
8319#define FDIA_PHASE_SYNC_SHIFT_OVR 19
8320#define FDIA_PHASE_SYNC_SHIFT_EN 18
5ee8ee86
PZ
8321#define FDI_PHASE_SYNC_OVR(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
8322#define FDI_PHASE_SYNC_EN(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
01a415fd 8323#define FDI_BC_BIFURCATION_SELECT (1 << 12)
3b92e263
RV
8324#define CHASSIS_CLK_REQ_DURATION_MASK (0xf << 8)
8325#define CHASSIS_CLK_REQ_DURATION(x) ((x) << 8)
5ee8ee86 8326#define SPT_PWM_GRANULARITY (1 << 0)
f0f59a00 8327#define SOUTH_CHICKEN2 _MMIO(0xc2004)
5ee8ee86
PZ
8328#define FDI_MPHY_IOSFSB_RESET_STATUS (1 << 13)
8329#define FDI_MPHY_IOSFSB_RESET_CTL (1 << 12)
8330#define LPT_PWM_GRANULARITY (1 << 5)
8331#define DPLS_EDP_PPS_FIX_DIS (1 << 0)
645c62a5 8332
f0f59a00
VS
8333#define _FDI_RXA_CHICKEN 0xc200c
8334#define _FDI_RXB_CHICKEN 0xc2010
5ee8ee86
PZ
8335#define FDI_RX_PHASE_SYNC_POINTER_OVR (1 << 1)
8336#define FDI_RX_PHASE_SYNC_POINTER_EN (1 << 0)
f0f59a00 8337#define FDI_RX_CHICKEN(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 8338
f0f59a00 8339#define SOUTH_DSPCLK_GATE_D _MMIO(0xc2020)
5ee8ee86
PZ
8340#define PCH_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 31)
8341#define PCH_DPLUNIT_CLOCK_GATE_DISABLE (1 << 30)
8342#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1 << 29)
8343#define PCH_CPUNIT_CLOCK_GATE_DISABLE (1 << 14)
8344#define CNP_PWM_CGE_GATING_DISABLE (1 << 13)
8345#define PCH_LP_PARTITION_LEVEL_DISABLE (1 << 12)
382b0936 8346
b9055052 8347/* CPU: FDI_TX */
f0f59a00
VS
8348#define _FDI_TXA_CTL 0x60100
8349#define _FDI_TXB_CTL 0x61100
8350#define FDI_TX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
5ee8ee86
PZ
8351#define FDI_TX_DISABLE (0 << 31)
8352#define FDI_TX_ENABLE (1 << 31)
8353#define FDI_LINK_TRAIN_PATTERN_1 (0 << 28)
8354#define FDI_LINK_TRAIN_PATTERN_2 (1 << 28)
8355#define FDI_LINK_TRAIN_PATTERN_IDLE (2 << 28)
8356#define FDI_LINK_TRAIN_NONE (3 << 28)
8357#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0 << 25)
8358#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1 << 25)
8359#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2 << 25)
8360#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3 << 25)
8361#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0 << 22)
8362#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1 << 22)
8363#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2 << 22)
8364#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3 << 22)
8db9d77b
ZW
8365/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
8366 SNB has different settings. */
8367/* SNB A-stepping */
5ee8ee86
PZ
8368#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8369#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8370#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8371#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8372/* SNB B-stepping */
5ee8ee86
PZ
8373#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0 << 22)
8374#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a << 22)
8375#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39 << 22)
8376#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38 << 22)
8377#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f << 22)
627eb5a3
DV
8378#define FDI_DP_PORT_WIDTH_SHIFT 19
8379#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
8380#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
5ee8ee86 8381#define FDI_TX_ENHANCE_FRAME_ENABLE (1 << 18)
f2b115e6 8382/* Ironlake: hardwired to 1 */
5ee8ee86 8383#define FDI_TX_PLL_ENABLE (1 << 14)
357555c0
JB
8384
8385/* Ivybridge has different bits for lolz */
5ee8ee86
PZ
8386#define FDI_LINK_TRAIN_PATTERN_1_IVB (0 << 8)
8387#define FDI_LINK_TRAIN_PATTERN_2_IVB (1 << 8)
8388#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2 << 8)
8389#define FDI_LINK_TRAIN_NONE_IVB (3 << 8)
357555c0 8390
b9055052 8391/* both Tx and Rx */
5ee8ee86
PZ
8392#define FDI_COMPOSITE_SYNC (1 << 11)
8393#define FDI_LINK_TRAIN_AUTO (1 << 10)
8394#define FDI_SCRAMBLING_ENABLE (0 << 7)
8395#define FDI_SCRAMBLING_DISABLE (1 << 7)
b9055052
ZW
8396
8397/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
8398#define _FDI_RXA_CTL 0xf000c
8399#define _FDI_RXB_CTL 0xf100c
f0f59a00 8400#define FDI_RX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
5ee8ee86 8401#define FDI_RX_ENABLE (1 << 31)
b9055052 8402/* train, dp width same as FDI_TX */
5ee8ee86
PZ
8403#define FDI_FS_ERRC_ENABLE (1 << 27)
8404#define FDI_FE_ERRC_ENABLE (1 << 26)
8405#define FDI_RX_POLARITY_REVERSED_LPT (1 << 16)
8406#define FDI_8BPC (0 << 16)
8407#define FDI_10BPC (1 << 16)
8408#define FDI_6BPC (2 << 16)
8409#define FDI_12BPC (3 << 16)
8410#define FDI_RX_LINK_REVERSAL_OVERRIDE (1 << 15)
8411#define FDI_DMI_LINK_REVERSE_MASK (1 << 14)
8412#define FDI_RX_PLL_ENABLE (1 << 13)
8413#define FDI_FS_ERR_CORRECT_ENABLE (1 << 11)
8414#define FDI_FE_ERR_CORRECT_ENABLE (1 << 10)
8415#define FDI_FS_ERR_REPORT_ENABLE (1 << 9)
8416#define FDI_FE_ERR_REPORT_ENABLE (1 << 8)
8417#define FDI_RX_ENHANCE_FRAME_ENABLE (1 << 6)
8418#define FDI_PCDCLK (1 << 4)
8db9d77b 8419/* CPT */
5ee8ee86
PZ
8420#define FDI_AUTO_TRAINING (1 << 10)
8421#define FDI_LINK_TRAIN_PATTERN_1_CPT (0 << 8)
8422#define FDI_LINK_TRAIN_PATTERN_2_CPT (1 << 8)
8423#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2 << 8)
8424#define FDI_LINK_TRAIN_NORMAL_CPT (3 << 8)
8425#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3 << 8)
b9055052 8426
04945641
PZ
8427#define _FDI_RXA_MISC 0xf0010
8428#define _FDI_RXB_MISC 0xf1010
5ee8ee86
PZ
8429#define FDI_RX_PWRDN_LANE1_MASK (3 << 26)
8430#define FDI_RX_PWRDN_LANE1_VAL(x) ((x) << 26)
8431#define FDI_RX_PWRDN_LANE0_MASK (3 << 24)
8432#define FDI_RX_PWRDN_LANE0_VAL(x) ((x) << 24)
8433#define FDI_RX_TP1_TO_TP2_48 (2 << 20)
8434#define FDI_RX_TP1_TO_TP2_64 (3 << 20)
8435#define FDI_RX_FDI_DELAY_90 (0x90 << 0)
f0f59a00 8436#define FDI_RX_MISC(pipe) _MMIO_PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
04945641 8437
f0f59a00
VS
8438#define _FDI_RXA_TUSIZE1 0xf0030
8439#define _FDI_RXA_TUSIZE2 0xf0038
8440#define _FDI_RXB_TUSIZE1 0xf1030
8441#define _FDI_RXB_TUSIZE2 0xf1038
8442#define FDI_RX_TUSIZE1(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
8443#define FDI_RX_TUSIZE2(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
8444
8445/* FDI_RX interrupt register format */
5ee8ee86
PZ
8446#define FDI_RX_INTER_LANE_ALIGN (1 << 10)
8447#define FDI_RX_SYMBOL_LOCK (1 << 9) /* train 2 */
8448#define FDI_RX_BIT_LOCK (1 << 8) /* train 1 */
8449#define FDI_RX_TRAIN_PATTERN_2_FAIL (1 << 7)
8450#define FDI_RX_FS_CODE_ERR (1 << 6)
8451#define FDI_RX_FE_CODE_ERR (1 << 5)
8452#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1 << 4)
8453#define FDI_RX_HDCP_LINK_FAIL (1 << 3)
8454#define FDI_RX_PIXEL_FIFO_OVERFLOW (1 << 2)
8455#define FDI_RX_CROSS_CLOCK_OVERFLOW (1 << 1)
8456#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1 << 0)
b9055052 8457
f0f59a00
VS
8458#define _FDI_RXA_IIR 0xf0014
8459#define _FDI_RXA_IMR 0xf0018
8460#define _FDI_RXB_IIR 0xf1014
8461#define _FDI_RXB_IMR 0xf1018
8462#define FDI_RX_IIR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
8463#define FDI_RX_IMR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052 8464
f0f59a00
VS
8465#define FDI_PLL_CTL_1 _MMIO(0xfe000)
8466#define FDI_PLL_CTL_2 _MMIO(0xfe004)
b9055052 8467
f0f59a00 8468#define PCH_LVDS _MMIO(0xe1180)
b9055052
ZW
8469#define LVDS_DETECTED (1 << 1)
8470
f0f59a00
VS
8471#define _PCH_DP_B 0xe4100
8472#define PCH_DP_B _MMIO(_PCH_DP_B)
750a951f
VS
8473#define _PCH_DPB_AUX_CH_CTL 0xe4110
8474#define _PCH_DPB_AUX_CH_DATA1 0xe4114
8475#define _PCH_DPB_AUX_CH_DATA2 0xe4118
8476#define _PCH_DPB_AUX_CH_DATA3 0xe411c
8477#define _PCH_DPB_AUX_CH_DATA4 0xe4120
8478#define _PCH_DPB_AUX_CH_DATA5 0xe4124
5eb08b69 8479
f0f59a00
VS
8480#define _PCH_DP_C 0xe4200
8481#define PCH_DP_C _MMIO(_PCH_DP_C)
750a951f
VS
8482#define _PCH_DPC_AUX_CH_CTL 0xe4210
8483#define _PCH_DPC_AUX_CH_DATA1 0xe4214
8484#define _PCH_DPC_AUX_CH_DATA2 0xe4218
8485#define _PCH_DPC_AUX_CH_DATA3 0xe421c
8486#define _PCH_DPC_AUX_CH_DATA4 0xe4220
8487#define _PCH_DPC_AUX_CH_DATA5 0xe4224
5eb08b69 8488
f0f59a00
VS
8489#define _PCH_DP_D 0xe4300
8490#define PCH_DP_D _MMIO(_PCH_DP_D)
750a951f
VS
8491#define _PCH_DPD_AUX_CH_CTL 0xe4310
8492#define _PCH_DPD_AUX_CH_DATA1 0xe4314
8493#define _PCH_DPD_AUX_CH_DATA2 0xe4318
8494#define _PCH_DPD_AUX_CH_DATA3 0xe431c
8495#define _PCH_DPD_AUX_CH_DATA4 0xe4320
8496#define _PCH_DPD_AUX_CH_DATA5 0xe4324
8497
bdabdb63
VS
8498#define PCH_DP_AUX_CH_CTL(aux_ch) _MMIO_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_CTL, _PCH_DPC_AUX_CH_CTL)
8499#define PCH_DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_DATA1, _PCH_DPC_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
5eb08b69 8500
8db9d77b 8501/* CPT */
086f8e84
VS
8502#define _TRANS_DP_CTL_A 0xe0300
8503#define _TRANS_DP_CTL_B 0xe1300
8504#define _TRANS_DP_CTL_C 0xe2300
f0f59a00 8505#define TRANS_DP_CTL(pipe) _MMIO_PIPE(pipe, _TRANS_DP_CTL_A, _TRANS_DP_CTL_B)
5ee8ee86 8506#define TRANS_DP_OUTPUT_ENABLE (1 << 31)
f67dc6d8
VS
8507#define TRANS_DP_PORT_SEL_MASK (3 << 29)
8508#define TRANS_DP_PORT_SEL_NONE (3 << 29)
8509#define TRANS_DP_PORT_SEL(port) (((port) - PORT_B) << 29)
5ee8ee86
PZ
8510#define TRANS_DP_AUDIO_ONLY (1 << 26)
8511#define TRANS_DP_ENH_FRAMING (1 << 18)
8512#define TRANS_DP_8BPC (0 << 9)
8513#define TRANS_DP_10BPC (1 << 9)
8514#define TRANS_DP_6BPC (2 << 9)
8515#define TRANS_DP_12BPC (3 << 9)
8516#define TRANS_DP_BPC_MASK (3 << 9)
8517#define TRANS_DP_VSYNC_ACTIVE_HIGH (1 << 4)
8db9d77b 8518#define TRANS_DP_VSYNC_ACTIVE_LOW 0
5ee8ee86 8519#define TRANS_DP_HSYNC_ACTIVE_HIGH (1 << 3)
8db9d77b 8520#define TRANS_DP_HSYNC_ACTIVE_LOW 0
5ee8ee86 8521#define TRANS_DP_SYNC_MASK (3 << 3)
8db9d77b
ZW
8522
8523/* SNB eDP training params */
8524/* SNB A-stepping */
5ee8ee86
PZ
8525#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8526#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8527#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8528#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8529/* SNB B-stepping */
5ee8ee86
PZ
8530#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0 << 22)
8531#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1 << 22)
8532#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a << 22)
8533#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39 << 22)
8534#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38 << 22)
8535#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f << 22)
8db9d77b 8536
1a2eb460 8537/* IVB */
5ee8ee86
PZ
8538#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 << 22)
8539#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a << 22)
8540#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f << 22)
8541#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 << 22)
8542#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 << 22)
8543#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 << 22)
8544#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x3e << 22)
1a2eb460
KP
8545
8546/* legacy values */
5ee8ee86
PZ
8547#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 << 22)
8548#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 << 22)
8549#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 << 22)
8550#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 << 22)
8551#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 << 22)
1a2eb460 8552
5ee8ee86 8553#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f << 22)
1a2eb460 8554
f0f59a00 8555#define VLV_PMWGICZ _MMIO(0x1300a4)
9e72b46c 8556
274008e8
SAK
8557#define RC6_LOCATION _MMIO(0xD40)
8558#define RC6_CTX_IN_DRAM (1 << 0)
8559#define RC6_CTX_BASE _MMIO(0xD48)
8560#define RC6_CTX_BASE_MASK 0xFFFFFFF0
8561#define PWRCTX_MAXCNT_RCSUNIT _MMIO(0x2054)
8562#define PWRCTX_MAXCNT_VCSUNIT0 _MMIO(0x12054)
8563#define PWRCTX_MAXCNT_BCSUNIT _MMIO(0x22054)
8564#define PWRCTX_MAXCNT_VECSUNIT _MMIO(0x1A054)
8565#define PWRCTX_MAXCNT_VCSUNIT1 _MMIO(0x1C054)
8566#define IDLE_TIME_MASK 0xFFFFF
f0f59a00
VS
8567#define FORCEWAKE _MMIO(0xA18C)
8568#define FORCEWAKE_VLV _MMIO(0x1300b0)
8569#define FORCEWAKE_ACK_VLV _MMIO(0x1300b4)
8570#define FORCEWAKE_MEDIA_VLV _MMIO(0x1300b8)
8571#define FORCEWAKE_ACK_MEDIA_VLV _MMIO(0x1300bc)
8572#define FORCEWAKE_ACK_HSW _MMIO(0x130044)
8573#define FORCEWAKE_ACK _MMIO(0x130090)
8574#define VLV_GTLC_WAKE_CTRL _MMIO(0x130090)
981a5aea
ID
8575#define VLV_GTLC_RENDER_CTX_EXISTS (1 << 25)
8576#define VLV_GTLC_MEDIA_CTX_EXISTS (1 << 24)
8577#define VLV_GTLC_ALLOWWAKEREQ (1 << 0)
8578
f0f59a00 8579#define VLV_GTLC_PW_STATUS _MMIO(0x130094)
981a5aea
ID
8580#define VLV_GTLC_ALLOWWAKEACK (1 << 0)
8581#define VLV_GTLC_ALLOWWAKEERR (1 << 1)
8582#define VLV_GTLC_PW_MEDIA_STATUS_MASK (1 << 5)
8583#define VLV_GTLC_PW_RENDER_STATUS_MASK (1 << 7)
f0f59a00
VS
8584#define FORCEWAKE_MT _MMIO(0xa188) /* multi-threaded */
8585#define FORCEWAKE_MEDIA_GEN9 _MMIO(0xa270)
a89a70a8
DCS
8586#define FORCEWAKE_MEDIA_VDBOX_GEN11(n) _MMIO(0xa540 + (n) * 4)
8587#define FORCEWAKE_MEDIA_VEBOX_GEN11(n) _MMIO(0xa560 + (n) * 4)
f0f59a00
VS
8588#define FORCEWAKE_RENDER_GEN9 _MMIO(0xa278)
8589#define FORCEWAKE_BLITTER_GEN9 _MMIO(0xa188)
8590#define FORCEWAKE_ACK_MEDIA_GEN9 _MMIO(0x0D88)
a89a70a8
DCS
8591#define FORCEWAKE_ACK_MEDIA_VDBOX_GEN11(n) _MMIO(0x0D50 + (n) * 4)
8592#define FORCEWAKE_ACK_MEDIA_VEBOX_GEN11(n) _MMIO(0x0D70 + (n) * 4)
f0f59a00
VS
8593#define FORCEWAKE_ACK_RENDER_GEN9 _MMIO(0x0D84)
8594#define FORCEWAKE_ACK_BLITTER_GEN9 _MMIO(0x130044)
71306303
MK
8595#define FORCEWAKE_KERNEL BIT(0)
8596#define FORCEWAKE_USER BIT(1)
8597#define FORCEWAKE_KERNEL_FALLBACK BIT(15)
f0f59a00
VS
8598#define FORCEWAKE_MT_ACK _MMIO(0x130040)
8599#define ECOBUS _MMIO(0xa180)
5ee8ee86 8600#define FORCEWAKE_MT_ENABLE (1 << 5)
f0f59a00 8601#define VLV_SPAREG2H _MMIO(0xA194)
f2dd7578
AG
8602#define GEN9_PWRGT_DOMAIN_STATUS _MMIO(0xA2A0)
8603#define GEN9_PWRGT_MEDIA_STATUS_MASK (1 << 0)
8604#define GEN9_PWRGT_RENDER_STATUS_MASK (1 << 1)
8fd26859 8605
5d869230
MT
8606#define POWERGATE_ENABLE _MMIO(0xa210)
8607#define VDN_HCP_POWERGATE_ENABLE(n) BIT(((n) * 2) + 3)
8608#define VDN_MFX_POWERGATE_ENABLE(n) BIT(((n) * 2) + 4)
8609
f0f59a00 8610#define GTFIFODBG _MMIO(0x120000)
297b32ec
VS
8611#define GT_FIFO_SBDEDICATE_FREE_ENTRY_CHV (0x1f << 20)
8612#define GT_FIFO_FREE_ENTRIES_CHV (0x7f << 13)
5ee8ee86
PZ
8613#define GT_FIFO_SBDROPERR (1 << 6)
8614#define GT_FIFO_BLOBDROPERR (1 << 5)
8615#define GT_FIFO_SB_READ_ABORTERR (1 << 4)
8616#define GT_FIFO_DROPERR (1 << 3)
8617#define GT_FIFO_OVFERR (1 << 2)
8618#define GT_FIFO_IAWRERR (1 << 1)
8619#define GT_FIFO_IARDERR (1 << 0)
dd202c6d 8620
f0f59a00 8621#define GTFIFOCTL _MMIO(0x120008)
46520e2b 8622#define GT_FIFO_FREE_ENTRIES_MASK 0x7f
95736720 8623#define GT_FIFO_NUM_RESERVED_ENTRIES 20
a04f90a3
D
8624#define GT_FIFO_CTL_BLOCK_ALL_POLICY_STALL (1 << 12)
8625#define GT_FIFO_CTL_RC6_POLICY_STALL (1 << 11)
91355834 8626
f0f59a00 8627#define HSW_IDICR _MMIO(0x9008)
05e21cc4 8628#define IDIHASHMSK(x) (((x) & 0x3f) << 16)
3accaf7e 8629#define HSW_EDRAM_CAP _MMIO(0x120010)
2db59d53 8630#define EDRAM_ENABLED 0x1
c02e85a0
MK
8631#define EDRAM_NUM_BANKS(cap) (((cap) >> 1) & 0xf)
8632#define EDRAM_WAYS_IDX(cap) (((cap) >> 5) & 0x7)
8633#define EDRAM_SETS_IDX(cap) (((cap) >> 8) & 0x3)
05e21cc4 8634
f0f59a00 8635#define GEN6_UCGCTL1 _MMIO(0x9400)
8aeb7f62 8636# define GEN6_GAMUNIT_CLOCK_GATE_DISABLE (1 << 22)
e4443e45 8637# define GEN6_EU_TCUNIT_CLOCK_GATE_DISABLE (1 << 16)
80e829fa 8638# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 8639# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 8640
f0f59a00 8641#define GEN6_UCGCTL2 _MMIO(0x9404)
f9fc42f4 8642# define GEN6_VFUNIT_CLOCK_GATE_DISABLE (1 << 31)
0f846f81 8643# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 8644# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 8645# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 8646# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 8647# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 8648
f0f59a00 8649#define GEN6_UCGCTL3 _MMIO(0x9408)
d7965152 8650# define GEN6_OACSUNIT_CLOCK_GATE_DISABLE (1 << 20)
9e72b46c 8651
f0f59a00 8652#define GEN7_UCGCTL4 _MMIO(0x940c)
5ee8ee86
PZ
8653#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1 << 25)
8654#define GEN8_EU_GAUNIT_CLOCK_GATE_DISABLE (1 << 14)
e3f33d46 8655
f0f59a00
VS
8656#define GEN6_RCGCTL1 _MMIO(0x9410)
8657#define GEN6_RCGCTL2 _MMIO(0x9414)
8658#define GEN6_RSTCTL _MMIO(0x9420)
9e72b46c 8659
f0f59a00 8660#define GEN8_UCGCTL6 _MMIO(0x9430)
5ee8ee86
PZ
8661#define GEN8_GAPSUNIT_CLOCK_GATE_DISABLE (1 << 24)
8662#define GEN8_SDEUNIT_CLOCK_GATE_DISABLE (1 << 14)
8663#define GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ (1 << 28)
4f1ca9e9 8664
f0f59a00
VS
8665#define GEN6_GFXPAUSE _MMIO(0xA000)
8666#define GEN6_RPNSWREQ _MMIO(0xA008)
5ee8ee86
PZ
8667#define GEN6_TURBO_DISABLE (1 << 31)
8668#define GEN6_FREQUENCY(x) ((x) << 25)
8669#define HSW_FREQUENCY(x) ((x) << 24)
8670#define GEN9_FREQUENCY(x) ((x) << 23)
8671#define GEN6_OFFSET(x) ((x) << 19)
8672#define GEN6_AGGRESSIVE_TURBO (0 << 15)
f0f59a00
VS
8673#define GEN6_RC_VIDEO_FREQ _MMIO(0xA00C)
8674#define GEN6_RC_CONTROL _MMIO(0xA090)
5ee8ee86
PZ
8675#define GEN6_RC_CTL_RC6pp_ENABLE (1 << 16)
8676#define GEN6_RC_CTL_RC6p_ENABLE (1 << 17)
8677#define GEN6_RC_CTL_RC6_ENABLE (1 << 18)
8678#define GEN6_RC_CTL_RC1e_ENABLE (1 << 20)
8679#define GEN6_RC_CTL_RC7_ENABLE (1 << 22)
8680#define VLV_RC_CTL_CTX_RST_PARALLEL (1 << 24)
8681#define GEN7_RC_CTL_TO_MODE (1 << 28)
8682#define GEN6_RC_CTL_EI_MODE(x) ((x) << 27)
8683#define GEN6_RC_CTL_HW_ENABLE (1 << 31)
f0f59a00
VS
8684#define GEN6_RP_DOWN_TIMEOUT _MMIO(0xA010)
8685#define GEN6_RP_INTERRUPT_LIMITS _MMIO(0xA014)
8686#define GEN6_RPSTAT1 _MMIO(0xA01C)
ccab5c82 8687#define GEN6_CAGF_SHIFT 8
f82855d3 8688#define HSW_CAGF_SHIFT 7
de43ae9d 8689#define GEN9_CAGF_SHIFT 23
ccab5c82 8690#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 8691#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
de43ae9d 8692#define GEN9_CAGF_MASK (0x1ff << GEN9_CAGF_SHIFT)
f0f59a00 8693#define GEN6_RP_CONTROL _MMIO(0xA024)
5ee8ee86
PZ
8694#define GEN6_RP_MEDIA_TURBO (1 << 11)
8695#define GEN6_RP_MEDIA_MODE_MASK (3 << 9)
8696#define GEN6_RP_MEDIA_HW_TURBO_MODE (3 << 9)
8697#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2 << 9)
8698#define GEN6_RP_MEDIA_HW_MODE (1 << 9)
8699#define GEN6_RP_MEDIA_SW_MODE (0 << 9)
8700#define GEN6_RP_MEDIA_IS_GFX (1 << 8)
8701#define GEN6_RP_ENABLE (1 << 7)
8702#define GEN6_RP_UP_IDLE_MIN (0x1 << 3)
8703#define GEN6_RP_UP_BUSY_AVG (0x2 << 3)
8704#define GEN6_RP_UP_BUSY_CONT (0x4 << 3)
8705#define GEN6_RP_DOWN_IDLE_AVG (0x2 << 0)
8706#define GEN6_RP_DOWN_IDLE_CONT (0x1 << 0)
f0f59a00
VS
8707#define GEN6_RP_UP_THRESHOLD _MMIO(0xA02C)
8708#define GEN6_RP_DOWN_THRESHOLD _MMIO(0xA030)
8709#define GEN6_RP_CUR_UP_EI _MMIO(0xA050)
7466c291
CW
8710#define GEN6_RP_EI_MASK 0xffffff
8711#define GEN6_CURICONT_MASK GEN6_RP_EI_MASK
f0f59a00 8712#define GEN6_RP_CUR_UP _MMIO(0xA054)
7466c291 8713#define GEN6_CURBSYTAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8714#define GEN6_RP_PREV_UP _MMIO(0xA058)
8715#define GEN6_RP_CUR_DOWN_EI _MMIO(0xA05C)
7466c291 8716#define GEN6_CURIAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8717#define GEN6_RP_CUR_DOWN _MMIO(0xA060)
8718#define GEN6_RP_PREV_DOWN _MMIO(0xA064)
8719#define GEN6_RP_UP_EI _MMIO(0xA068)
8720#define GEN6_RP_DOWN_EI _MMIO(0xA06C)
8721#define GEN6_RP_IDLE_HYSTERSIS _MMIO(0xA070)
8722#define GEN6_RPDEUHWTC _MMIO(0xA080)
8723#define GEN6_RPDEUC _MMIO(0xA084)
8724#define GEN6_RPDEUCSW _MMIO(0xA088)
8725#define GEN6_RC_STATE _MMIO(0xA094)
fc619841
ID
8726#define RC_SW_TARGET_STATE_SHIFT 16
8727#define RC_SW_TARGET_STATE_MASK (7 << RC_SW_TARGET_STATE_SHIFT)
f0f59a00
VS
8728#define GEN6_RC1_WAKE_RATE_LIMIT _MMIO(0xA098)
8729#define GEN6_RC6_WAKE_RATE_LIMIT _MMIO(0xA09C)
8730#define GEN6_RC6pp_WAKE_RATE_LIMIT _MMIO(0xA0A0)
0aab201b 8731#define GEN10_MEDIA_WAKE_RATE_LIMIT _MMIO(0xA0A0)
f0f59a00
VS
8732#define GEN6_RC_EVALUATION_INTERVAL _MMIO(0xA0A8)
8733#define GEN6_RC_IDLE_HYSTERSIS _MMIO(0xA0AC)
8734#define GEN6_RC_SLEEP _MMIO(0xA0B0)
8735#define GEN6_RCUBMABDTMR _MMIO(0xA0B0)
8736#define GEN6_RC1e_THRESHOLD _MMIO(0xA0B4)
8737#define GEN6_RC6_THRESHOLD _MMIO(0xA0B8)
8738#define GEN6_RC6p_THRESHOLD _MMIO(0xA0BC)
8739#define VLV_RCEDATA _MMIO(0xA0BC)
8740#define GEN6_RC6pp_THRESHOLD _MMIO(0xA0C0)
8741#define GEN6_PMINTRMSK _MMIO(0xA168)
5ee8ee86
PZ
8742#define GEN8_PMINTR_DISABLE_REDIRECT_TO_GUC (1 << 31)
8743#define ARAT_EXPIRED_INTRMSK (1 << 9)
fc619841 8744#define GEN8_MISC_CTRL0 _MMIO(0xA180)
f0f59a00
VS
8745#define VLV_PWRDWNUPCTL _MMIO(0xA294)
8746#define GEN9_MEDIA_PG_IDLE_HYSTERESIS _MMIO(0xA0C4)
8747#define GEN9_RENDER_PG_IDLE_HYSTERESIS _MMIO(0xA0C8)
8748#define GEN9_PG_ENABLE _MMIO(0xA210)
2ea74141
MK
8749#define GEN9_RENDER_PG_ENABLE REG_BIT(0)
8750#define GEN9_MEDIA_PG_ENABLE REG_BIT(1)
8751#define GEN11_MEDIA_SAMPLER_PG_ENABLE REG_BIT(2)
fc619841
ID
8752#define GEN8_PUSHBUS_CONTROL _MMIO(0xA248)
8753#define GEN8_PUSHBUS_ENABLE _MMIO(0xA250)
8754#define GEN8_PUSHBUS_SHIFT _MMIO(0xA25C)
8fd26859 8755
f0f59a00 8756#define VLV_CHICKEN_3 _MMIO(VLV_DISPLAY_BASE + 0x7040C)
a9da9bce
GS
8757#define PIXEL_OVERLAP_CNT_MASK (3 << 30)
8758#define PIXEL_OVERLAP_CNT_SHIFT 30
8759
f0f59a00
VS
8760#define GEN6_PMISR _MMIO(0x44020)
8761#define GEN6_PMIMR _MMIO(0x44024) /* rps_lock */
8762#define GEN6_PMIIR _MMIO(0x44028)
8763#define GEN6_PMIER _MMIO(0x4402C)
5ee8ee86
PZ
8764#define GEN6_PM_MBOX_EVENT (1 << 25)
8765#define GEN6_PM_THERMAL_EVENT (1 << 24)
917dc6b5
MK
8766
8767/*
8768 * For Gen11 these are in the upper word of the GPM_WGBOXPERF
8769 * registers. Shifting is handled on accessing the imr and ier.
8770 */
5ee8ee86
PZ
8771#define GEN6_PM_RP_DOWN_TIMEOUT (1 << 6)
8772#define GEN6_PM_RP_UP_THRESHOLD (1 << 5)
8773#define GEN6_PM_RP_DOWN_THRESHOLD (1 << 4)
8774#define GEN6_PM_RP_UP_EI_EXPIRED (1 << 2)
8775#define GEN6_PM_RP_DOWN_EI_EXPIRED (1 << 1)
4668f695
CW
8776#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_EI_EXPIRED | \
8777 GEN6_PM_RP_UP_THRESHOLD | \
8778 GEN6_PM_RP_DOWN_EI_EXPIRED | \
8779 GEN6_PM_RP_DOWN_THRESHOLD | \
4912d041 8780 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 8781
f0f59a00 8782#define GEN7_GT_SCRATCH(i) _MMIO(0x4F100 + (i) * 4)
9e72b46c
ID
8783#define GEN7_GT_SCRATCH_REG_NUM 8
8784
f0f59a00 8785#define VLV_GTLC_SURVIVABILITY_REG _MMIO(0x130098)
5ee8ee86
PZ
8786#define VLV_GFX_CLK_STATUS_BIT (1 << 3)
8787#define VLV_GFX_CLK_FORCE_ON_BIT (1 << 2)
76c3552f 8788
f0f59a00
VS
8789#define GEN6_GT_GFX_RC6_LOCKED _MMIO(0x138104)
8790#define VLV_COUNTER_CONTROL _MMIO(0x138104)
5ee8ee86
PZ
8791#define VLV_COUNT_RANGE_HIGH (1 << 15)
8792#define VLV_MEDIA_RC0_COUNT_EN (1 << 5)
8793#define VLV_RENDER_RC0_COUNT_EN (1 << 4)
8794#define VLV_MEDIA_RC6_COUNT_EN (1 << 1)
8795#define VLV_RENDER_RC6_COUNT_EN (1 << 0)
f0f59a00
VS
8796#define GEN6_GT_GFX_RC6 _MMIO(0x138108)
8797#define VLV_GT_RENDER_RC6 _MMIO(0x138108)
8798#define VLV_GT_MEDIA_RC6 _MMIO(0x13810C)
9cc19be5 8799
f0f59a00
VS
8800#define GEN6_GT_GFX_RC6p _MMIO(0x13810C)
8801#define GEN6_GT_GFX_RC6pp _MMIO(0x138110)
8802#define VLV_RENDER_C0_COUNT _MMIO(0x138118)
8803#define VLV_MEDIA_C0_COUNT _MMIO(0x13811C)
cce66a28 8804
f0f59a00 8805#define GEN6_PCODE_MAILBOX _MMIO(0x138124)
5ee8ee86 8806#define GEN6_PCODE_READY (1 << 31)
87660502
L
8807#define GEN6_PCODE_ERROR_MASK 0xFF
8808#define GEN6_PCODE_SUCCESS 0x0
8809#define GEN6_PCODE_ILLEGAL_CMD 0x1
8810#define GEN6_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x2
8811#define GEN6_PCODE_TIMEOUT 0x3
8812#define GEN6_PCODE_UNIMPLEMENTED_CMD 0xFF
8813#define GEN7_PCODE_TIMEOUT 0x2
8814#define GEN7_PCODE_ILLEGAL_DATA 0x3
8815#define GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x10
3e8ddd9e
VS
8816#define GEN6_PCODE_WRITE_RC6VIDS 0x4
8817#define GEN6_PCODE_READ_RC6VIDS 0x5
9043ae02
DL
8818#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
8819#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
b432e5cf 8820#define BDW_PCODE_DISPLAY_FREQ_CHANGE_REQ 0x18
57520bc5
DL
8821#define GEN9_PCODE_READ_MEM_LATENCY 0x6
8822#define GEN9_MEM_LATENCY_LEVEL_MASK 0xFF
8823#define GEN9_MEM_LATENCY_LEVEL_1_5_SHIFT 8
8824#define GEN9_MEM_LATENCY_LEVEL_2_6_SHIFT 16
8825#define GEN9_MEM_LATENCY_LEVEL_3_7_SHIFT 24
ee5e5e7a 8826#define SKL_PCODE_LOAD_HDCP_KEYS 0x5
5d96d8af
DL
8827#define SKL_PCODE_CDCLK_CONTROL 0x7
8828#define SKL_CDCLK_PREPARE_FOR_CHANGE 0x3
8829#define SKL_CDCLK_READY_FOR_CHANGE 0x1
9043ae02
DL
8830#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
8831#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
8832#define GEN6_READ_OC_PARAMS 0xc
c457d9cf
VS
8833#define ICL_PCODE_MEM_SUBSYSYSTEM_INFO 0xd
8834#define ICL_PCODE_MEM_SS_READ_GLOBAL_INFO (0x0 << 8)
8835#define ICL_PCODE_MEM_SS_READ_QGV_POINT_INFO(point) (((point) << 16) | (0x1 << 8))
515b2392
PZ
8836#define GEN6_PCODE_READ_D_COMP 0x10
8837#define GEN6_PCODE_WRITE_D_COMP 0x11
f8437dd1 8838#define HSW_PCODE_DE_WRITE_FREQ_REQ 0x17
2a114cc1 8839#define DISPLAY_IPS_CONTROL 0x19
61843f0e
VS
8840 /* See also IPS_CTL */
8841#define IPS_PCODE_CONTROL (1 << 30)
3e8ddd9e 8842#define HSW_PCODE_DYNAMIC_DUTY_CYCLE_CONTROL 0x1A
656d1b89
L
8843#define GEN9_PCODE_SAGV_CONTROL 0x21
8844#define GEN9_SAGV_DISABLE 0x0
8845#define GEN9_SAGV_IS_DISABLED 0x1
8846#define GEN9_SAGV_ENABLE 0x3
f0f59a00 8847#define GEN6_PCODE_DATA _MMIO(0x138128)
23b2f8bb 8848#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 8849#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
f0f59a00 8850#define GEN6_PCODE_DATA1 _MMIO(0x13812C)
8fd26859 8851
f0f59a00 8852#define GEN6_GT_CORE_STATUS _MMIO(0x138060)
5ee8ee86 8853#define GEN6_CORE_CPD_STATE_MASK (7 << 4)
4d85529d
BW
8854#define GEN6_RCn_MASK 7
8855#define GEN6_RC0 0
8856#define GEN6_RC3 2
8857#define GEN6_RC6 3
8858#define GEN6_RC7 4
8859
f0f59a00 8860#define GEN8_GT_SLICE_INFO _MMIO(0x138064)
91bedd34
ŁD
8861#define GEN8_LSLICESTAT_MASK 0x7
8862
f0f59a00
VS
8863#define CHV_POWER_SS0_SIG1 _MMIO(0xa720)
8864#define CHV_POWER_SS1_SIG1 _MMIO(0xa728)
5ee8ee86
PZ
8865#define CHV_SS_PG_ENABLE (1 << 1)
8866#define CHV_EU08_PG_ENABLE (1 << 9)
8867#define CHV_EU19_PG_ENABLE (1 << 17)
8868#define CHV_EU210_PG_ENABLE (1 << 25)
5575f03a 8869
f0f59a00
VS
8870#define CHV_POWER_SS0_SIG2 _MMIO(0xa724)
8871#define CHV_POWER_SS1_SIG2 _MMIO(0xa72c)
5ee8ee86 8872#define CHV_EU311_PG_ENABLE (1 << 1)
5575f03a 8873
5ee8ee86 8874#define GEN9_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + (slice) * 0x4)
f8c3dcf9
RV
8875#define GEN10_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + ((slice) / 3) * 0x34 + \
8876 ((slice) % 3) * 0x4)
7f992aba 8877#define GEN9_PGCTL_SLICE_ACK (1 << 0)
5ee8ee86 8878#define GEN9_PGCTL_SS_ACK(subslice) (1 << (2 + (subslice) * 2))
f8c3dcf9 8879#define GEN10_PGCTL_VALID_SS_MASK(slice) ((slice) == 0 ? 0x7F : 0x1F)
7f992aba 8880
5ee8ee86 8881#define GEN9_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + (slice) * 0x8)
f8c3dcf9
RV
8882#define GEN10_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + ((slice) / 3) * 0x30 + \
8883 ((slice) % 3) * 0x8)
5ee8ee86 8884#define GEN9_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + (slice) * 0x8)
f8c3dcf9
RV
8885#define GEN10_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + ((slice) / 3) * 0x30 + \
8886 ((slice) % 3) * 0x8)
7f992aba
JM
8887#define GEN9_PGCTL_SSA_EU08_ACK (1 << 0)
8888#define GEN9_PGCTL_SSA_EU19_ACK (1 << 2)
8889#define GEN9_PGCTL_SSA_EU210_ACK (1 << 4)
8890#define GEN9_PGCTL_SSA_EU311_ACK (1 << 6)
8891#define GEN9_PGCTL_SSB_EU08_ACK (1 << 8)
8892#define GEN9_PGCTL_SSB_EU19_ACK (1 << 10)
8893#define GEN9_PGCTL_SSB_EU210_ACK (1 << 12)
8894#define GEN9_PGCTL_SSB_EU311_ACK (1 << 14)
8895
f0f59a00 8896#define GEN7_MISCCPCTL _MMIO(0x9424)
5ee8ee86
PZ
8897#define GEN7_DOP_CLOCK_GATE_ENABLE (1 << 0)
8898#define GEN8_DOP_CLOCK_GATE_CFCLK_ENABLE (1 << 2)
8899#define GEN8_DOP_CLOCK_GATE_GUC_ENABLE (1 << 4)
8900#define GEN8_DOP_CLOCK_GATE_MEDIA_ENABLE (1 << 6)
e3689190 8901
5bcebe76
OM
8902#define GEN8_GARBCNTL _MMIO(0xB004)
8903#define GEN9_GAPS_TSV_CREDIT_DISABLE (1 << 7)
8904#define GEN11_ARBITRATION_PRIO_ORDER_MASK (0x3f << 22)
d41bab68
OM
8905#define GEN11_HASH_CTRL_EXCL_MASK (0x7f << 0)
8906#define GEN11_HASH_CTRL_EXCL_BIT0 (1 << 0)
8907
8908#define GEN11_GLBLINVL _MMIO(0xB404)
8909#define GEN11_BANK_HASH_ADDR_EXCL_MASK (0x7f << 5)
8910#define GEN11_BANK_HASH_ADDR_EXCL_BIT0 (1 << 5)
245d9667 8911
d65dc3e4
OM
8912#define GEN10_DFR_RATIO_EN_AND_CHICKEN _MMIO(0x9550)
8913#define DFR_DISABLE (1 << 9)
8914
f4a35714
OM
8915#define GEN11_GACB_PERF_CTRL _MMIO(0x4B80)
8916#define GEN11_HASH_CTRL_MASK (0x3 << 12 | 0xf << 0)
8917#define GEN11_HASH_CTRL_BIT0 (1 << 0)
8918#define GEN11_HASH_CTRL_BIT4 (1 << 12)
8919
6b967dc3
OM
8920#define GEN11_LSN_UNSLCVC _MMIO(0xB43C)
8921#define GEN11_LSN_UNSLCVC_GAFS_HALF_CL2_MAXALLOC (1 << 9)
8922#define GEN11_LSN_UNSLCVC_GAFS_HALF_SF_MAXALLOC (1 << 7)
8923
f57f9371 8924#define GEN10_SAMPLER_MODE _MMIO(0xE18C)
397049a0 8925#define GEN11_SAMPLER_ENABLE_HEADLESS_MSG REG_BIT(5)
f57f9371 8926
e3689190 8927/* IVYBRIDGE DPF */
f0f59a00 8928#define GEN7_L3CDERRST1(slice) _MMIO(0xB008 + (slice) * 0x200) /* L3CD Error Status 1 */
5ee8ee86
PZ
8929#define GEN7_L3CDERRST1_ROW_MASK (0x7ff << 14)
8930#define GEN7_PARITY_ERROR_VALID (1 << 13)
8931#define GEN7_L3CDERRST1_BANK_MASK (3 << 11)
8932#define GEN7_L3CDERRST1_SUBBANK_MASK (7 << 8)
e3689190 8933#define GEN7_PARITY_ERROR_ROW(reg) \
9e8789ec 8934 (((reg) & GEN7_L3CDERRST1_ROW_MASK) >> 14)
e3689190 8935#define GEN7_PARITY_ERROR_BANK(reg) \
9e8789ec 8936 (((reg) & GEN7_L3CDERRST1_BANK_MASK) >> 11)
e3689190 8937#define GEN7_PARITY_ERROR_SUBBANK(reg) \
9e8789ec 8938 (((reg) & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
5ee8ee86 8939#define GEN7_L3CDERRST1_ENABLE (1 << 7)
e3689190 8940
f0f59a00 8941#define GEN7_L3LOG(slice, i) _MMIO(0xB070 + (slice) * 0x200 + (i) * 4)
b9524a1e
BW
8942#define GEN7_L3LOG_SIZE 0x80
8943
f0f59a00
VS
8944#define GEN7_HALF_SLICE_CHICKEN1 _MMIO(0xe100) /* IVB GT1 + VLV */
8945#define GEN7_HALF_SLICE_CHICKEN1_GT2 _MMIO(0xf100)
5ee8ee86
PZ
8946#define GEN7_MAX_PS_THREAD_DEP (8 << 12)
8947#define GEN7_SINGLE_SUBSCAN_DISPATCH_ENABLE (1 << 10)
8948#define GEN7_SBE_SS_CACHE_DISPATCH_PORT_SHARING_DISABLE (1 << 4)
8949#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1 << 3)
12f3382b 8950
f0f59a00 8951#define GEN9_HALF_SLICE_CHICKEN5 _MMIO(0xe188)
5ee8ee86
PZ
8952#define GEN9_DG_MIRROR_FIX_ENABLE (1 << 5)
8953#define GEN9_CCS_TLB_PREFETCH_ENABLE (1 << 3)
3ca5da43 8954
f0f59a00 8955#define GEN8_ROW_CHICKEN _MMIO(0xe4f0)
5ee8ee86
PZ
8956#define FLOW_CONTROL_ENABLE (1 << 15)
8957#define PARTIAL_INSTRUCTION_SHOOTDOWN_DISABLE (1 << 8)
8958#define STALL_DOP_GATING_DISABLE (1 << 5)
8959#define THROTTLE_12_5 (7 << 2)
8960#define DISABLE_EARLY_EOT (1 << 1)
c8966e10 8961
f0f59a00
VS
8962#define GEN7_ROW_CHICKEN2 _MMIO(0xe4f4)
8963#define GEN7_ROW_CHICKEN2_GT2 _MMIO(0xf4f4)
3c7ab278
OM
8964#define DOP_CLOCK_GATING_DISABLE (1 << 0)
8965#define PUSH_CONSTANT_DEREF_DISABLE (1 << 8)
8966#define GEN11_TDL_CLOCK_GATING_FIX_DISABLE (1 << 1)
8ab43976 8967
f0f59a00 8968#define HSW_ROW_CHICKEN3 _MMIO(0xe49c)
f3fc4884
FJ
8969#define HSW_ROW_CHICKEN3_L3_GLOBAL_ATOMICS_DISABLE (1 << 6)
8970
f0f59a00 8971#define HALF_SLICE_CHICKEN2 _MMIO(0xe180)
5ee8ee86 8972#define GEN8_ST_PO_DISABLE (1 << 13)
6b6d5626 8973
f0f59a00 8974#define HALF_SLICE_CHICKEN3 _MMIO(0xe184)
5ee8ee86
PZ
8975#define HSW_SAMPLE_C_PERFORMANCE (1 << 9)
8976#define GEN8_CENTROID_PIXEL_OPT_DIS (1 << 8)
8977#define GEN9_DISABLE_OCL_OOB_SUPPRESS_LOGIC (1 << 5)
8978#define CNL_FAST_ANISO_L1_BANKING_FIX (1 << 4)
8979#define GEN8_SAMPLER_POWER_BYPASS_DIS (1 << 1)
fd392b60 8980
f0f59a00 8981#define GEN9_HALF_SLICE_CHICKEN7 _MMIO(0xe194)
5ee8ee86
PZ
8982#define GEN9_SAMPLER_HASH_COMPRESSED_READ_ADDR (1 << 8)
8983#define GEN9_ENABLE_YV12_BUGFIX (1 << 4)
8984#define GEN9_ENABLE_GPGPU_PREEMPTION (1 << 2)
cac23df4 8985
c46f111f 8986/* Audio */
ed5eb1b7 8987#define G4X_AUD_VID_DID _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x62020)
c46f111f
JN
8988#define INTEL_AUDIO_DEVCL 0x808629FB
8989#define INTEL_AUDIO_DEVBLC 0x80862801
8990#define INTEL_AUDIO_DEVCTG 0x80862802
e0dac65e 8991
f0f59a00 8992#define G4X_AUD_CNTL_ST _MMIO(0x620B4)
c46f111f
JN
8993#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
8994#define G4X_ELDV_DEVCTG (1 << 14)
8995#define G4X_ELD_ADDR_MASK (0xf << 5)
8996#define G4X_ELD_ACK (1 << 4)
f0f59a00 8997#define G4X_HDMIW_HDMIEDID _MMIO(0x6210C)
e0dac65e 8998
c46f111f
JN
8999#define _IBX_HDMIW_HDMIEDID_A 0xE2050
9000#define _IBX_HDMIW_HDMIEDID_B 0xE2150
f0f59a00
VS
9001#define IBX_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _IBX_HDMIW_HDMIEDID_A, \
9002 _IBX_HDMIW_HDMIEDID_B)
c46f111f
JN
9003#define _IBX_AUD_CNTL_ST_A 0xE20B4
9004#define _IBX_AUD_CNTL_ST_B 0xE21B4
f0f59a00
VS
9005#define IBX_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CNTL_ST_A, \
9006 _IBX_AUD_CNTL_ST_B)
c46f111f
JN
9007#define IBX_ELD_BUFFER_SIZE_MASK (0x1f << 10)
9008#define IBX_ELD_ADDRESS_MASK (0x1f << 5)
9009#define IBX_ELD_ACK (1 << 4)
f0f59a00 9010#define IBX_AUD_CNTL_ST2 _MMIO(0xE20C0)
82910ac6
JN
9011#define IBX_CP_READY(port) ((1 << 1) << (((port) - 1) * 4))
9012#define IBX_ELD_VALID(port) ((1 << 0) << (((port) - 1) * 4))
1202b4c6 9013
c46f111f
JN
9014#define _CPT_HDMIW_HDMIEDID_A 0xE5050
9015#define _CPT_HDMIW_HDMIEDID_B 0xE5150
f0f59a00 9016#define CPT_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _CPT_HDMIW_HDMIEDID_A, _CPT_HDMIW_HDMIEDID_B)
c46f111f
JN
9017#define _CPT_AUD_CNTL_ST_A 0xE50B4
9018#define _CPT_AUD_CNTL_ST_B 0xE51B4
f0f59a00
VS
9019#define CPT_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CNTL_ST_A, _CPT_AUD_CNTL_ST_B)
9020#define CPT_AUD_CNTRL_ST2 _MMIO(0xE50C0)
e0dac65e 9021
c46f111f
JN
9022#define _VLV_HDMIW_HDMIEDID_A (VLV_DISPLAY_BASE + 0x62050)
9023#define _VLV_HDMIW_HDMIEDID_B (VLV_DISPLAY_BASE + 0x62150)
f0f59a00 9024#define VLV_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _VLV_HDMIW_HDMIEDID_A, _VLV_HDMIW_HDMIEDID_B)
c46f111f
JN
9025#define _VLV_AUD_CNTL_ST_A (VLV_DISPLAY_BASE + 0x620B4)
9026#define _VLV_AUD_CNTL_ST_B (VLV_DISPLAY_BASE + 0x621B4)
f0f59a00
VS
9027#define VLV_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CNTL_ST_A, _VLV_AUD_CNTL_ST_B)
9028#define VLV_AUD_CNTL_ST2 _MMIO(VLV_DISPLAY_BASE + 0x620C0)
9ca2fe73 9029
ae662d31
EA
9030/* These are the 4 32-bit write offset registers for each stream
9031 * output buffer. It determines the offset from the
9032 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
9033 */
f0f59a00 9034#define GEN7_SO_WRITE_OFFSET(n) _MMIO(0x5280 + (n) * 4)
ae662d31 9035
c46f111f
JN
9036#define _IBX_AUD_CONFIG_A 0xe2000
9037#define _IBX_AUD_CONFIG_B 0xe2100
f0f59a00 9038#define IBX_AUD_CFG(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CONFIG_A, _IBX_AUD_CONFIG_B)
c46f111f
JN
9039#define _CPT_AUD_CONFIG_A 0xe5000
9040#define _CPT_AUD_CONFIG_B 0xe5100
f0f59a00 9041#define CPT_AUD_CFG(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CONFIG_A, _CPT_AUD_CONFIG_B)
c46f111f
JN
9042#define _VLV_AUD_CONFIG_A (VLV_DISPLAY_BASE + 0x62000)
9043#define _VLV_AUD_CONFIG_B (VLV_DISPLAY_BASE + 0x62100)
f0f59a00 9044#define VLV_AUD_CFG(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CONFIG_A, _VLV_AUD_CONFIG_B)
9ca2fe73 9045
b6daa025
WF
9046#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
9047#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
9048#define AUD_CONFIG_UPPER_N_SHIFT 20
c46f111f 9049#define AUD_CONFIG_UPPER_N_MASK (0xff << 20)
b6daa025 9050#define AUD_CONFIG_LOWER_N_SHIFT 4
c46f111f 9051#define AUD_CONFIG_LOWER_N_MASK (0xfff << 4)
2561389a
JN
9052#define AUD_CONFIG_N_MASK (AUD_CONFIG_UPPER_N_MASK | AUD_CONFIG_LOWER_N_MASK)
9053#define AUD_CONFIG_N(n) \
9054 (((((n) >> 12) & 0xff) << AUD_CONFIG_UPPER_N_SHIFT) | \
9055 (((n) & 0xfff) << AUD_CONFIG_LOWER_N_SHIFT))
b6daa025 9056#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
1a91510d
JN
9057#define AUD_CONFIG_PIXEL_CLOCK_HDMI_MASK (0xf << 16)
9058#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25175 (0 << 16)
9059#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25200 (1 << 16)
9060#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27000 (2 << 16)
9061#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27027 (3 << 16)
9062#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54000 (4 << 16)
9063#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54054 (5 << 16)
9064#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74176 (6 << 16)
9065#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74250 (7 << 16)
9066#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148352 (8 << 16)
9067#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148500 (9 << 16)
b6daa025
WF
9068#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
9069
9a78b6cc 9070/* HSW Audio */
c46f111f
JN
9071#define _HSW_AUD_CONFIG_A 0x65000
9072#define _HSW_AUD_CONFIG_B 0x65100
3904fb78 9073#define HSW_AUD_CFG(trans) _MMIO_TRANS(trans, _HSW_AUD_CONFIG_A, _HSW_AUD_CONFIG_B)
c46f111f
JN
9074
9075#define _HSW_AUD_MISC_CTRL_A 0x65010
9076#define _HSW_AUD_MISC_CTRL_B 0x65110
3904fb78 9077#define HSW_AUD_MISC_CTRL(trans) _MMIO_TRANS(trans, _HSW_AUD_MISC_CTRL_A, _HSW_AUD_MISC_CTRL_B)
c46f111f 9078
6014ac12
LY
9079#define _HSW_AUD_M_CTS_ENABLE_A 0x65028
9080#define _HSW_AUD_M_CTS_ENABLE_B 0x65128
3904fb78 9081#define HSW_AUD_M_CTS_ENABLE(trans) _MMIO_TRANS(trans, _HSW_AUD_M_CTS_ENABLE_A, _HSW_AUD_M_CTS_ENABLE_B)
6014ac12
LY
9082#define AUD_M_CTS_M_VALUE_INDEX (1 << 21)
9083#define AUD_M_CTS_M_PROG_ENABLE (1 << 20)
9084#define AUD_CONFIG_M_MASK 0xfffff
9085
c46f111f
JN
9086#define _HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4
9087#define _HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4
3904fb78 9088#define HSW_AUD_DIP_ELD_CTRL(trans) _MMIO_TRANS(trans, _HSW_AUD_DIP_ELD_CTRL_ST_A, _HSW_AUD_DIP_ELD_CTRL_ST_B)
9a78b6cc
WX
9089
9090/* Audio Digital Converter */
c46f111f
JN
9091#define _HSW_AUD_DIG_CNVT_1 0x65080
9092#define _HSW_AUD_DIG_CNVT_2 0x65180
3904fb78 9093#define AUD_DIG_CNVT(trans) _MMIO_TRANS(trans, _HSW_AUD_DIG_CNVT_1, _HSW_AUD_DIG_CNVT_2)
c46f111f
JN
9094#define DIP_PORT_SEL_MASK 0x3
9095
9096#define _HSW_AUD_EDID_DATA_A 0x65050
9097#define _HSW_AUD_EDID_DATA_B 0x65150
3904fb78 9098#define HSW_AUD_EDID_DATA(trans) _MMIO_TRANS(trans, _HSW_AUD_EDID_DATA_A, _HSW_AUD_EDID_DATA_B)
c46f111f 9099
f0f59a00
VS
9100#define HSW_AUD_PIPE_CONV_CFG _MMIO(0x6507c)
9101#define HSW_AUD_PIN_ELD_CP_VLD _MMIO(0x650c0)
82910ac6
JN
9102#define AUDIO_INACTIVE(trans) ((1 << 3) << ((trans) * 4))
9103#define AUDIO_OUTPUT_ENABLE(trans) ((1 << 2) << ((trans) * 4))
9104#define AUDIO_CP_READY(trans) ((1 << 1) << ((trans) * 4))
9105#define AUDIO_ELD_VALID(trans) ((1 << 0) << ((trans) * 4))
9a78b6cc 9106
f0f59a00 9107#define HSW_AUD_CHICKENBIT _MMIO(0x65f10)
632f3ab9
LH
9108#define SKL_AUD_CODEC_WAKE_SIGNAL (1 << 15)
9109
9c3a16c8 9110/*
75e39688
ID
9111 * HSW - ICL power wells
9112 *
9113 * Platforms have up to 3 power well control register sets, each set
9114 * controlling up to 16 power wells via a request/status HW flag tuple:
9115 * - main (HSW_PWR_WELL_CTL[1-4])
9116 * - AUX (ICL_PWR_WELL_CTL_AUX[1-4])
9117 * - DDI (ICL_PWR_WELL_CTL_DDI[1-4])
9118 * Each control register set consists of up to 4 registers used by different
9119 * sources that can request a power well to be enabled:
9120 * - BIOS (HSW_PWR_WELL_CTL1/ICL_PWR_WELL_CTL_AUX1/ICL_PWR_WELL_CTL_DDI1)
9121 * - DRIVER (HSW_PWR_WELL_CTL2/ICL_PWR_WELL_CTL_AUX2/ICL_PWR_WELL_CTL_DDI2)
9122 * - KVMR (HSW_PWR_WELL_CTL3) (only in the main register set)
9123 * - DEBUG (HSW_PWR_WELL_CTL4/ICL_PWR_WELL_CTL_AUX4/ICL_PWR_WELL_CTL_DDI4)
9c3a16c8 9124 */
75e39688
ID
9125#define HSW_PWR_WELL_CTL1 _MMIO(0x45400)
9126#define HSW_PWR_WELL_CTL2 _MMIO(0x45404)
9127#define HSW_PWR_WELL_CTL3 _MMIO(0x45408)
9128#define HSW_PWR_WELL_CTL4 _MMIO(0x4540C)
9129#define HSW_PWR_WELL_CTL_REQ(pw_idx) (0x2 << ((pw_idx) * 2))
9130#define HSW_PWR_WELL_CTL_STATE(pw_idx) (0x1 << ((pw_idx) * 2))
9131
9132/* HSW/BDW power well */
9133#define HSW_PW_CTL_IDX_GLOBAL 15
9134
9135/* SKL/BXT/GLK/CNL power wells */
9136#define SKL_PW_CTL_IDX_PW_2 15
9137#define SKL_PW_CTL_IDX_PW_1 14
9138#define CNL_PW_CTL_IDX_AUX_F 12
9139#define CNL_PW_CTL_IDX_AUX_D 11
9140#define GLK_PW_CTL_IDX_AUX_C 10
9141#define GLK_PW_CTL_IDX_AUX_B 9
9142#define GLK_PW_CTL_IDX_AUX_A 8
9143#define CNL_PW_CTL_IDX_DDI_F 6
9144#define SKL_PW_CTL_IDX_DDI_D 4
9145#define SKL_PW_CTL_IDX_DDI_C 3
9146#define SKL_PW_CTL_IDX_DDI_B 2
9147#define SKL_PW_CTL_IDX_DDI_A_E 1
9148#define GLK_PW_CTL_IDX_DDI_A 1
9149#define SKL_PW_CTL_IDX_MISC_IO 0
9150
656409bb 9151/* ICL/TGL - power wells */
1db27a72 9152#define TGL_PW_CTL_IDX_PW_5 4
75e39688
ID
9153#define ICL_PW_CTL_IDX_PW_4 3
9154#define ICL_PW_CTL_IDX_PW_3 2
9155#define ICL_PW_CTL_IDX_PW_2 1
9156#define ICL_PW_CTL_IDX_PW_1 0
9157
9158#define ICL_PWR_WELL_CTL_AUX1 _MMIO(0x45440)
9159#define ICL_PWR_WELL_CTL_AUX2 _MMIO(0x45444)
9160#define ICL_PWR_WELL_CTL_AUX4 _MMIO(0x4544C)
656409bb
ID
9161#define TGL_PW_CTL_IDX_AUX_TBT6 14
9162#define TGL_PW_CTL_IDX_AUX_TBT5 13
9163#define TGL_PW_CTL_IDX_AUX_TBT4 12
75e39688 9164#define ICL_PW_CTL_IDX_AUX_TBT4 11
656409bb 9165#define TGL_PW_CTL_IDX_AUX_TBT3 11
75e39688 9166#define ICL_PW_CTL_IDX_AUX_TBT3 10
656409bb 9167#define TGL_PW_CTL_IDX_AUX_TBT2 10
75e39688 9168#define ICL_PW_CTL_IDX_AUX_TBT2 9
656409bb 9169#define TGL_PW_CTL_IDX_AUX_TBT1 9
75e39688 9170#define ICL_PW_CTL_IDX_AUX_TBT1 8
656409bb
ID
9171#define TGL_PW_CTL_IDX_AUX_TC6 8
9172#define TGL_PW_CTL_IDX_AUX_TC5 7
9173#define TGL_PW_CTL_IDX_AUX_TC4 6
75e39688 9174#define ICL_PW_CTL_IDX_AUX_F 5
656409bb 9175#define TGL_PW_CTL_IDX_AUX_TC3 5
75e39688 9176#define ICL_PW_CTL_IDX_AUX_E 4
656409bb 9177#define TGL_PW_CTL_IDX_AUX_TC2 4
75e39688 9178#define ICL_PW_CTL_IDX_AUX_D 3
656409bb 9179#define TGL_PW_CTL_IDX_AUX_TC1 3
75e39688
ID
9180#define ICL_PW_CTL_IDX_AUX_C 2
9181#define ICL_PW_CTL_IDX_AUX_B 1
9182#define ICL_PW_CTL_IDX_AUX_A 0
9183
9184#define ICL_PWR_WELL_CTL_DDI1 _MMIO(0x45450)
9185#define ICL_PWR_WELL_CTL_DDI2 _MMIO(0x45454)
9186#define ICL_PWR_WELL_CTL_DDI4 _MMIO(0x4545C)
656409bb
ID
9187#define TGL_PW_CTL_IDX_DDI_TC6 8
9188#define TGL_PW_CTL_IDX_DDI_TC5 7
9189#define TGL_PW_CTL_IDX_DDI_TC4 6
75e39688 9190#define ICL_PW_CTL_IDX_DDI_F 5
656409bb 9191#define TGL_PW_CTL_IDX_DDI_TC3 5
75e39688 9192#define ICL_PW_CTL_IDX_DDI_E 4
656409bb 9193#define TGL_PW_CTL_IDX_DDI_TC2 4
75e39688 9194#define ICL_PW_CTL_IDX_DDI_D 3
656409bb 9195#define TGL_PW_CTL_IDX_DDI_TC1 3
75e39688
ID
9196#define ICL_PW_CTL_IDX_DDI_C 2
9197#define ICL_PW_CTL_IDX_DDI_B 1
9198#define ICL_PW_CTL_IDX_DDI_A 0
9199
9200/* HSW - power well misc debug registers */
f0f59a00 9201#define HSW_PWR_WELL_CTL5 _MMIO(0x45410)
5ee8ee86
PZ
9202#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1 << 31)
9203#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1 << 20)
9204#define HSW_PWR_WELL_FORCE_ON (1 << 19)
f0f59a00 9205#define HSW_PWR_WELL_CTL6 _MMIO(0x45414)
9eb3a752 9206
94dd5138 9207/* SKL Fuse Status */
b2891eb2
ID
9208enum skl_power_gate {
9209 SKL_PG0,
9210 SKL_PG1,
9211 SKL_PG2,
1a260e11
ID
9212 ICL_PG3,
9213 ICL_PG4,
b2891eb2
ID
9214};
9215
f0f59a00 9216#define SKL_FUSE_STATUS _MMIO(0x42000)
5ee8ee86 9217#define SKL_FUSE_DOWNLOAD_STATUS (1 << 31)
75e39688
ID
9218/*
9219 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9220 * SKL_DISP_PW1_IDX..SKL_DISP_PW2_IDX -> PG1..PG2
9221 */
9222#define SKL_PW_CTL_IDX_TO_PG(pw_idx) \
9223 ((pw_idx) - SKL_PW_CTL_IDX_PW_1 + SKL_PG1)
9224/*
9225 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9226 * ICL_DISP_PW1_IDX..ICL_DISP_PW4_IDX -> PG1..PG4
9227 */
9228#define ICL_PW_CTL_IDX_TO_PG(pw_idx) \
9229 ((pw_idx) - ICL_PW_CTL_IDX_PW_1 + SKL_PG1)
b2891eb2 9230#define SKL_FUSE_PG_DIST_STATUS(pg) (1 << (27 - (pg)))
94dd5138 9231
75e39688 9232#define _CNL_AUX_REG_IDX(pw_idx) ((pw_idx) - GLK_PW_CTL_IDX_AUX_B)
ddd39e4b
LDM
9233#define _CNL_AUX_ANAOVRD1_B 0x162250
9234#define _CNL_AUX_ANAOVRD1_C 0x162210
9235#define _CNL_AUX_ANAOVRD1_D 0x1622D0
b1ae6a8b 9236#define _CNL_AUX_ANAOVRD1_F 0x162A90
75e39688 9237#define CNL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_CNL_AUX_REG_IDX(pw_idx), \
ddd39e4b
LDM
9238 _CNL_AUX_ANAOVRD1_B, \
9239 _CNL_AUX_ANAOVRD1_C, \
b1ae6a8b
RV
9240 _CNL_AUX_ANAOVRD1_D, \
9241 _CNL_AUX_ANAOVRD1_F))
5ee8ee86
PZ
9242#define CNL_AUX_ANAOVRD1_ENABLE (1 << 16)
9243#define CNL_AUX_ANAOVRD1_LDO_BYPASS (1 << 23)
ddd39e4b 9244
ffd7e32d
LDM
9245#define _ICL_AUX_REG_IDX(pw_idx) ((pw_idx) - ICL_PW_CTL_IDX_AUX_A)
9246#define _ICL_AUX_ANAOVRD1_A 0x162398
9247#define _ICL_AUX_ANAOVRD1_B 0x6C398
deea06b4 9248#define _TGL_AUX_ANAOVRD1_C 0x160398
ffd7e32d
LDM
9249#define ICL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_ICL_AUX_REG_IDX(pw_idx), \
9250 _ICL_AUX_ANAOVRD1_A, \
deea06b4
LDM
9251 _ICL_AUX_ANAOVRD1_B, \
9252 _TGL_AUX_ANAOVRD1_C))
ffd7e32d
LDM
9253#define ICL_AUX_ANAOVRD1_LDO_BYPASS (1 << 7)
9254#define ICL_AUX_ANAOVRD1_ENABLE (1 << 0)
9255
ee5e5e7a 9256/* HDCP Key Registers */
2834d9df 9257#define HDCP_KEY_CONF _MMIO(0x66c00)
ee5e5e7a
SP
9258#define HDCP_AKSV_SEND_TRIGGER BIT(31)
9259#define HDCP_CLEAR_KEYS_TRIGGER BIT(30)
fdddd08c 9260#define HDCP_KEY_LOAD_TRIGGER BIT(8)
2834d9df
R
9261#define HDCP_KEY_STATUS _MMIO(0x66c04)
9262#define HDCP_FUSE_IN_PROGRESS BIT(7)
ee5e5e7a 9263#define HDCP_FUSE_ERROR BIT(6)
2834d9df
R
9264#define HDCP_FUSE_DONE BIT(5)
9265#define HDCP_KEY_LOAD_STATUS BIT(1)
ee5e5e7a 9266#define HDCP_KEY_LOAD_DONE BIT(0)
2834d9df
R
9267#define HDCP_AKSV_LO _MMIO(0x66c10)
9268#define HDCP_AKSV_HI _MMIO(0x66c14)
ee5e5e7a
SP
9269
9270/* HDCP Repeater Registers */
2834d9df 9271#define HDCP_REP_CTL _MMIO(0x66d00)
69205931
R
9272#define HDCP_TRANSA_REP_PRESENT BIT(31)
9273#define HDCP_TRANSB_REP_PRESENT BIT(30)
9274#define HDCP_TRANSC_REP_PRESENT BIT(29)
9275#define HDCP_TRANSD_REP_PRESENT BIT(28)
2834d9df
R
9276#define HDCP_DDIB_REP_PRESENT BIT(30)
9277#define HDCP_DDIA_REP_PRESENT BIT(29)
9278#define HDCP_DDIC_REP_PRESENT BIT(28)
9279#define HDCP_DDID_REP_PRESENT BIT(27)
9280#define HDCP_DDIF_REP_PRESENT BIT(26)
9281#define HDCP_DDIE_REP_PRESENT BIT(25)
69205931
R
9282#define HDCP_TRANSA_SHA1_M0 (1 << 20)
9283#define HDCP_TRANSB_SHA1_M0 (2 << 20)
9284#define HDCP_TRANSC_SHA1_M0 (3 << 20)
9285#define HDCP_TRANSD_SHA1_M0 (4 << 20)
ee5e5e7a
SP
9286#define HDCP_DDIB_SHA1_M0 (1 << 20)
9287#define HDCP_DDIA_SHA1_M0 (2 << 20)
9288#define HDCP_DDIC_SHA1_M0 (3 << 20)
9289#define HDCP_DDID_SHA1_M0 (4 << 20)
9290#define HDCP_DDIF_SHA1_M0 (5 << 20)
9291#define HDCP_DDIE_SHA1_M0 (6 << 20) /* Bspec says 5? */
2834d9df 9292#define HDCP_SHA1_BUSY BIT(16)
ee5e5e7a
SP
9293#define HDCP_SHA1_READY BIT(17)
9294#define HDCP_SHA1_COMPLETE BIT(18)
9295#define HDCP_SHA1_V_MATCH BIT(19)
9296#define HDCP_SHA1_TEXT_32 (1 << 1)
9297#define HDCP_SHA1_COMPLETE_HASH (2 << 1)
9298#define HDCP_SHA1_TEXT_24 (4 << 1)
9299#define HDCP_SHA1_TEXT_16 (5 << 1)
9300#define HDCP_SHA1_TEXT_8 (6 << 1)
9301#define HDCP_SHA1_TEXT_0 (7 << 1)
9302#define HDCP_SHA_V_PRIME_H0 _MMIO(0x66d04)
9303#define HDCP_SHA_V_PRIME_H1 _MMIO(0x66d08)
9304#define HDCP_SHA_V_PRIME_H2 _MMIO(0x66d0C)
9305#define HDCP_SHA_V_PRIME_H3 _MMIO(0x66d10)
9306#define HDCP_SHA_V_PRIME_H4 _MMIO(0x66d14)
9e8789ec 9307#define HDCP_SHA_V_PRIME(h) _MMIO((0x66d04 + (h) * 4))
2834d9df 9308#define HDCP_SHA_TEXT _MMIO(0x66d18)
ee5e5e7a
SP
9309
9310/* HDCP Auth Registers */
9311#define _PORTA_HDCP_AUTHENC 0x66800
9312#define _PORTB_HDCP_AUTHENC 0x66500
9313#define _PORTC_HDCP_AUTHENC 0x66600
9314#define _PORTD_HDCP_AUTHENC 0x66700
9315#define _PORTE_HDCP_AUTHENC 0x66A00
9316#define _PORTF_HDCP_AUTHENC 0x66900
9317#define _PORT_HDCP_AUTHENC(port, x) _MMIO(_PICK(port, \
9318 _PORTA_HDCP_AUTHENC, \
9319 _PORTB_HDCP_AUTHENC, \
9320 _PORTC_HDCP_AUTHENC, \
9321 _PORTD_HDCP_AUTHENC, \
9322 _PORTE_HDCP_AUTHENC, \
9e8789ec 9323 _PORTF_HDCP_AUTHENC) + (x))
2834d9df 9324#define PORT_HDCP_CONF(port) _PORT_HDCP_AUTHENC(port, 0x0)
69205931
R
9325#define _TRANSA_HDCP_CONF 0x66400
9326#define _TRANSB_HDCP_CONF 0x66500
9327#define TRANS_HDCP_CONF(trans) _MMIO_TRANS(trans, _TRANSA_HDCP_CONF, \
9328 _TRANSB_HDCP_CONF)
9329#define HDCP_CONF(dev_priv, trans, port) \
9330 (INTEL_GEN(dev_priv) >= 12 ? \
9331 TRANS_HDCP_CONF(trans) : \
9332 PORT_HDCP_CONF(port))
9333
2834d9df
R
9334#define HDCP_CONF_CAPTURE_AN BIT(0)
9335#define HDCP_CONF_AUTH_AND_ENC (BIT(1) | BIT(0))
9336#define PORT_HDCP_ANINIT(port) _PORT_HDCP_AUTHENC(port, 0x4)
69205931
R
9337#define _TRANSA_HDCP_ANINIT 0x66404
9338#define _TRANSB_HDCP_ANINIT 0x66504
9339#define TRANS_HDCP_ANINIT(trans) _MMIO_TRANS(trans, \
9340 _TRANSA_HDCP_ANINIT, \
9341 _TRANSB_HDCP_ANINIT)
9342#define HDCP_ANINIT(dev_priv, trans, port) \
9343 (INTEL_GEN(dev_priv) >= 12 ? \
9344 TRANS_HDCP_ANINIT(trans) : \
9345 PORT_HDCP_ANINIT(port))
9346
2834d9df 9347#define PORT_HDCP_ANLO(port) _PORT_HDCP_AUTHENC(port, 0x8)
69205931
R
9348#define _TRANSA_HDCP_ANLO 0x66408
9349#define _TRANSB_HDCP_ANLO 0x66508
9350#define TRANS_HDCP_ANLO(trans) _MMIO_TRANS(trans, _TRANSA_HDCP_ANLO, \
9351 _TRANSB_HDCP_ANLO)
9352#define HDCP_ANLO(dev_priv, trans, port) \
9353 (INTEL_GEN(dev_priv) >= 12 ? \
9354 TRANS_HDCP_ANLO(trans) : \
9355 PORT_HDCP_ANLO(port))
9356
2834d9df 9357#define PORT_HDCP_ANHI(port) _PORT_HDCP_AUTHENC(port, 0xC)
69205931
R
9358#define _TRANSA_HDCP_ANHI 0x6640C
9359#define _TRANSB_HDCP_ANHI 0x6650C
9360#define TRANS_HDCP_ANHI(trans) _MMIO_TRANS(trans, _TRANSA_HDCP_ANHI, \
9361 _TRANSB_HDCP_ANHI)
9362#define HDCP_ANHI(dev_priv, trans, port) \
9363 (INTEL_GEN(dev_priv) >= 12 ? \
9364 TRANS_HDCP_ANHI(trans) : \
9365 PORT_HDCP_ANHI(port))
9366
2834d9df 9367#define PORT_HDCP_BKSVLO(port) _PORT_HDCP_AUTHENC(port, 0x10)
69205931
R
9368#define _TRANSA_HDCP_BKSVLO 0x66410
9369#define _TRANSB_HDCP_BKSVLO 0x66510
9370#define TRANS_HDCP_BKSVLO(trans) _MMIO_TRANS(trans, \
9371 _TRANSA_HDCP_BKSVLO, \
9372 _TRANSB_HDCP_BKSVLO)
9373#define HDCP_BKSVLO(dev_priv, trans, port) \
9374 (INTEL_GEN(dev_priv) >= 12 ? \
9375 TRANS_HDCP_BKSVLO(trans) : \
9376 PORT_HDCP_BKSVLO(port))
9377
2834d9df 9378#define PORT_HDCP_BKSVHI(port) _PORT_HDCP_AUTHENC(port, 0x14)
69205931
R
9379#define _TRANSA_HDCP_BKSVHI 0x66414
9380#define _TRANSB_HDCP_BKSVHI 0x66514
9381#define TRANS_HDCP_BKSVHI(trans) _MMIO_TRANS(trans, \
9382 _TRANSA_HDCP_BKSVHI, \
9383 _TRANSB_HDCP_BKSVHI)
9384#define HDCP_BKSVHI(dev_priv, trans, port) \
9385 (INTEL_GEN(dev_priv) >= 12 ? \
9386 TRANS_HDCP_BKSVHI(trans) : \
9387 PORT_HDCP_BKSVHI(port))
9388
2834d9df 9389#define PORT_HDCP_RPRIME(port) _PORT_HDCP_AUTHENC(port, 0x18)
69205931
R
9390#define _TRANSA_HDCP_RPRIME 0x66418
9391#define _TRANSB_HDCP_RPRIME 0x66518
9392#define TRANS_HDCP_RPRIME(trans) _MMIO_TRANS(trans, \
9393 _TRANSA_HDCP_RPRIME, \
9394 _TRANSB_HDCP_RPRIME)
9395#define HDCP_RPRIME(dev_priv, trans, port) \
9396 (INTEL_GEN(dev_priv) >= 12 ? \
9397 TRANS_HDCP_RPRIME(trans) : \
9398 PORT_HDCP_RPRIME(port))
9399
2834d9df 9400#define PORT_HDCP_STATUS(port) _PORT_HDCP_AUTHENC(port, 0x1C)
69205931
R
9401#define _TRANSA_HDCP_STATUS 0x6641C
9402#define _TRANSB_HDCP_STATUS 0x6651C
9403#define TRANS_HDCP_STATUS(trans) _MMIO_TRANS(trans, \
9404 _TRANSA_HDCP_STATUS, \
9405 _TRANSB_HDCP_STATUS)
9406#define HDCP_STATUS(dev_priv, trans, port) \
9407 (INTEL_GEN(dev_priv) >= 12 ? \
9408 TRANS_HDCP_STATUS(trans) : \
9409 PORT_HDCP_STATUS(port))
9410
ee5e5e7a
SP
9411#define HDCP_STATUS_STREAM_A_ENC BIT(31)
9412#define HDCP_STATUS_STREAM_B_ENC BIT(30)
9413#define HDCP_STATUS_STREAM_C_ENC BIT(29)
9414#define HDCP_STATUS_STREAM_D_ENC BIT(28)
9415#define HDCP_STATUS_AUTH BIT(21)
9416#define HDCP_STATUS_ENC BIT(20)
2834d9df
R
9417#define HDCP_STATUS_RI_MATCH BIT(19)
9418#define HDCP_STATUS_R0_READY BIT(18)
9419#define HDCP_STATUS_AN_READY BIT(17)
ee5e5e7a 9420#define HDCP_STATUS_CIPHER BIT(16)
9e8789ec 9421#define HDCP_STATUS_FRAME_CNT(x) (((x) >> 8) & 0xff)
ee5e5e7a 9422
3ab0a6ed
R
9423/* HDCP2.2 Registers */
9424#define _PORTA_HDCP2_BASE 0x66800
9425#define _PORTB_HDCP2_BASE 0x66500
9426#define _PORTC_HDCP2_BASE 0x66600
9427#define _PORTD_HDCP2_BASE 0x66700
9428#define _PORTE_HDCP2_BASE 0x66A00
9429#define _PORTF_HDCP2_BASE 0x66900
9430#define _PORT_HDCP2_BASE(port, x) _MMIO(_PICK((port), \
9431 _PORTA_HDCP2_BASE, \
9432 _PORTB_HDCP2_BASE, \
9433 _PORTC_HDCP2_BASE, \
9434 _PORTD_HDCP2_BASE, \
9435 _PORTE_HDCP2_BASE, \
9436 _PORTF_HDCP2_BASE) + (x))
69205931
R
9437#define PORT_HDCP2_AUTH(port) _PORT_HDCP2_BASE(port, 0x98)
9438#define _TRANSA_HDCP2_AUTH 0x66498
9439#define _TRANSB_HDCP2_AUTH 0x66598
9440#define TRANS_HDCP2_AUTH(trans) _MMIO_TRANS(trans, _TRANSA_HDCP2_AUTH, \
9441 _TRANSB_HDCP2_AUTH)
3ab0a6ed
R
9442#define AUTH_LINK_AUTHENTICATED BIT(31)
9443#define AUTH_LINK_TYPE BIT(30)
9444#define AUTH_FORCE_CLR_INPUTCTR BIT(19)
9445#define AUTH_CLR_KEYS BIT(18)
69205931
R
9446#define HDCP2_AUTH(dev_priv, trans, port) \
9447 (INTEL_GEN(dev_priv) >= 12 ? \
9448 TRANS_HDCP2_AUTH(trans) : \
9449 PORT_HDCP2_AUTH(port))
9450
9451#define PORT_HDCP2_CTL(port) _PORT_HDCP2_BASE(port, 0xB0)
9452#define _TRANSA_HDCP2_CTL 0x664B0
9453#define _TRANSB_HDCP2_CTL 0x665B0
9454#define TRANS_HDCP2_CTL(trans) _MMIO_TRANS(trans, _TRANSA_HDCP2_CTL, \
9455 _TRANSB_HDCP2_CTL)
3ab0a6ed 9456#define CTL_LINK_ENCRYPTION_REQ BIT(31)
69205931
R
9457#define HDCP2_CTL(dev_priv, trans, port) \
9458 (INTEL_GEN(dev_priv) >= 12 ? \
9459 TRANS_HDCP2_CTL(trans) : \
9460 PORT_HDCP2_CTL(port))
9461
9462#define PORT_HDCP2_STATUS(port) _PORT_HDCP2_BASE(port, 0xB4)
9463#define _TRANSA_HDCP2_STATUS 0x664B4
9464#define _TRANSB_HDCP2_STATUS 0x665B4
9465#define TRANS_HDCP2_STATUS(trans) _MMIO_TRANS(trans, \
9466 _TRANSA_HDCP2_STATUS, \
9467 _TRANSB_HDCP2_STATUS)
3ab0a6ed
R
9468#define LINK_TYPE_STATUS BIT(22)
9469#define LINK_AUTH_STATUS BIT(21)
9470#define LINK_ENCRYPTION_STATUS BIT(20)
69205931
R
9471#define HDCP2_STATUS(dev_priv, trans, port) \
9472 (INTEL_GEN(dev_priv) >= 12 ? \
9473 TRANS_HDCP2_STATUS(trans) : \
9474 PORT_HDCP2_STATUS(port))
3ab0a6ed 9475
e7e104c3 9476/* Per-pipe DDI Function Control */
086f8e84
VS
9477#define _TRANS_DDI_FUNC_CTL_A 0x60400
9478#define _TRANS_DDI_FUNC_CTL_B 0x61400
9479#define _TRANS_DDI_FUNC_CTL_C 0x62400
f1f1d4fa 9480#define _TRANS_DDI_FUNC_CTL_D 0x63400
086f8e84 9481#define _TRANS_DDI_FUNC_CTL_EDP 0x6F400
49edbd49
MC
9482#define _TRANS_DDI_FUNC_CTL_DSI0 0x6b400
9483#define _TRANS_DDI_FUNC_CTL_DSI1 0x6bc00
f0f59a00 9484#define TRANS_DDI_FUNC_CTL(tran) _MMIO_TRANS2(tran, _TRANS_DDI_FUNC_CTL_A)
a57c774a 9485
5ee8ee86 9486#define TRANS_DDI_FUNC_ENABLE (1 << 31)
e7e104c3 9487/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
26804afd 9488#define TRANS_DDI_PORT_SHIFT 28
df16b636
MK
9489#define TGL_TRANS_DDI_PORT_SHIFT 27
9490#define TRANS_DDI_PORT_MASK (7 << TRANS_DDI_PORT_SHIFT)
9491#define TGL_TRANS_DDI_PORT_MASK (0xf << TGL_TRANS_DDI_PORT_SHIFT)
9492#define TRANS_DDI_SELECT_PORT(x) ((x) << TRANS_DDI_PORT_SHIFT)
9493#define TGL_TRANS_DDI_SELECT_PORT(x) (((x) + 1) << TGL_TRANS_DDI_PORT_SHIFT)
9749a5b6 9494#define TRANS_DDI_FUNC_CTL_VAL_TO_PORT(val) (((val) & TRANS_DDI_PORT_MASK) >> TRANS_DDI_PORT_SHIFT)
1cdd8705 9495#define TGL_TRANS_DDI_FUNC_CTL_VAL_TO_PORT(val) ((((val) & TGL_TRANS_DDI_PORT_MASK) >> TGL_TRANS_DDI_PORT_SHIFT) - 1)
5ee8ee86
PZ
9496#define TRANS_DDI_MODE_SELECT_MASK (7 << 24)
9497#define TRANS_DDI_MODE_SELECT_HDMI (0 << 24)
9498#define TRANS_DDI_MODE_SELECT_DVI (1 << 24)
9499#define TRANS_DDI_MODE_SELECT_DP_SST (2 << 24)
9500#define TRANS_DDI_MODE_SELECT_DP_MST (3 << 24)
9501#define TRANS_DDI_MODE_SELECT_FDI (4 << 24)
9502#define TRANS_DDI_BPC_MASK (7 << 20)
9503#define TRANS_DDI_BPC_8 (0 << 20)
9504#define TRANS_DDI_BPC_10 (1 << 20)
9505#define TRANS_DDI_BPC_6 (2 << 20)
9506#define TRANS_DDI_BPC_12 (3 << 20)
9507#define TRANS_DDI_PVSYNC (1 << 17)
9508#define TRANS_DDI_PHSYNC (1 << 16)
9509#define TRANS_DDI_EDP_INPUT_MASK (7 << 12)
9510#define TRANS_DDI_EDP_INPUT_A_ON (0 << 12)
9511#define TRANS_DDI_EDP_INPUT_A_ONOFF (4 << 12)
9512#define TRANS_DDI_EDP_INPUT_B_ONOFF (5 << 12)
9513#define TRANS_DDI_EDP_INPUT_C_ONOFF (6 << 12)
9514#define TRANS_DDI_HDCP_SIGNALLING (1 << 9)
9515#define TRANS_DDI_DP_VC_PAYLOAD_ALLOC (1 << 8)
9516#define TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE (1 << 7)
9517#define TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ (1 << 6)
9518#define TRANS_DDI_BFI_ENABLE (1 << 4)
9519#define TRANS_DDI_HIGH_TMDS_CHAR_RATE (1 << 4)
9520#define TRANS_DDI_HDMI_SCRAMBLING (1 << 0)
15953637
SS
9521#define TRANS_DDI_HDMI_SCRAMBLING_MASK (TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE \
9522 | TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ \
9523 | TRANS_DDI_HDMI_SCRAMBLING)
e7e104c3 9524
49edbd49
MC
9525#define _TRANS_DDI_FUNC_CTL2_A 0x60404
9526#define _TRANS_DDI_FUNC_CTL2_B 0x61404
9527#define _TRANS_DDI_FUNC_CTL2_C 0x62404
9528#define _TRANS_DDI_FUNC_CTL2_EDP 0x6f404
9529#define _TRANS_DDI_FUNC_CTL2_DSI0 0x6b404
9530#define _TRANS_DDI_FUNC_CTL2_DSI1 0x6bc04
9531#define TRANS_DDI_FUNC_CTL2(tran) _MMIO_TRANS2(tran, \
9532 _TRANS_DDI_FUNC_CTL2_A)
9533#define PORT_SYNC_MODE_ENABLE (1 << 4)
7264aebb 9534#define PORT_SYNC_MODE_MASTER_SELECT(x) ((x) << 0)
49edbd49
MC
9535#define PORT_SYNC_MODE_MASTER_SELECT_MASK (0x7 << 0)
9536#define PORT_SYNC_MODE_MASTER_SELECT_SHIFT 0
9537
0e87f667 9538/* DisplayPort Transport Control */
086f8e84
VS
9539#define _DP_TP_CTL_A 0x64040
9540#define _DP_TP_CTL_B 0x64140
f0f59a00 9541#define DP_TP_CTL(port) _MMIO_PORT(port, _DP_TP_CTL_A, _DP_TP_CTL_B)
5ee8ee86 9542#define DP_TP_CTL_ENABLE (1 << 31)
5c44b938 9543#define DP_TP_CTL_FEC_ENABLE (1 << 30)
5ee8ee86
PZ
9544#define DP_TP_CTL_MODE_SST (0 << 27)
9545#define DP_TP_CTL_MODE_MST (1 << 27)
9546#define DP_TP_CTL_FORCE_ACT (1 << 25)
9547#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1 << 18)
9548#define DP_TP_CTL_FDI_AUTOTRAIN (1 << 15)
9549#define DP_TP_CTL_LINK_TRAIN_MASK (7 << 8)
9550#define DP_TP_CTL_LINK_TRAIN_PAT1 (0 << 8)
9551#define DP_TP_CTL_LINK_TRAIN_PAT2 (1 << 8)
9552#define DP_TP_CTL_LINK_TRAIN_PAT3 (4 << 8)
9553#define DP_TP_CTL_LINK_TRAIN_PAT4 (5 << 8)
9554#define DP_TP_CTL_LINK_TRAIN_IDLE (2 << 8)
9555#define DP_TP_CTL_LINK_TRAIN_NORMAL (3 << 8)
9556#define DP_TP_CTL_SCRAMBLE_DISABLE (1 << 7)
0e87f667 9557
e411b2c1 9558/* DisplayPort Transport Status */
086f8e84
VS
9559#define _DP_TP_STATUS_A 0x64044
9560#define _DP_TP_STATUS_B 0x64144
f0f59a00 9561#define DP_TP_STATUS(port) _MMIO_PORT(port, _DP_TP_STATUS_A, _DP_TP_STATUS_B)
5c44b938 9562#define DP_TP_STATUS_FEC_ENABLE_LIVE (1 << 28)
5ee8ee86
PZ
9563#define DP_TP_STATUS_IDLE_DONE (1 << 25)
9564#define DP_TP_STATUS_ACT_SENT (1 << 24)
9565#define DP_TP_STATUS_MODE_STATUS_MST (1 << 23)
9566#define DP_TP_STATUS_AUTOTRAIN_DONE (1 << 12)
01b887c3
DA
9567#define DP_TP_STATUS_PAYLOAD_MAPPING_VC2 (3 << 8)
9568#define DP_TP_STATUS_PAYLOAD_MAPPING_VC1 (3 << 4)
9569#define DP_TP_STATUS_PAYLOAD_MAPPING_VC0 (3 << 0)
e411b2c1 9570
03f896a1 9571/* DDI Buffer Control */
086f8e84
VS
9572#define _DDI_BUF_CTL_A 0x64000
9573#define _DDI_BUF_CTL_B 0x64100
f0f59a00 9574#define DDI_BUF_CTL(port) _MMIO_PORT(port, _DDI_BUF_CTL_A, _DDI_BUF_CTL_B)
5ee8ee86 9575#define DDI_BUF_CTL_ENABLE (1 << 31)
c5fe6a06 9576#define DDI_BUF_TRANS_SELECT(n) ((n) << 24)
5ee8ee86
PZ
9577#define DDI_BUF_EMP_MASK (0xf << 24)
9578#define DDI_BUF_PORT_REVERSAL (1 << 16)
9579#define DDI_BUF_IS_IDLE (1 << 7)
9580#define DDI_A_4_LANES (1 << 4)
17aa6be9 9581#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
90a6b7b0
VS
9582#define DDI_PORT_WIDTH_MASK (7 << 1)
9583#define DDI_PORT_WIDTH_SHIFT 1
5ee8ee86 9584#define DDI_INIT_DISPLAY_DETECTED (1 << 0)
03f896a1 9585
bb879a44 9586/* DDI Buffer Translations */
086f8e84
VS
9587#define _DDI_BUF_TRANS_A 0x64E00
9588#define _DDI_BUF_TRANS_B 0x64E60
f0f59a00 9589#define DDI_BUF_TRANS_LO(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8)
c110ae6c 9590#define DDI_BUF_BALANCE_LEG_ENABLE (1 << 31)
f0f59a00 9591#define DDI_BUF_TRANS_HI(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8 + 4)
bb879a44 9592
7501a4d8
ED
9593/* Sideband Interface (SBI) is programmed indirectly, via
9594 * SBI_ADDR, which contains the register offset; and SBI_DATA,
9595 * which contains the payload */
f0f59a00
VS
9596#define SBI_ADDR _MMIO(0xC6000)
9597#define SBI_DATA _MMIO(0xC6004)
9598#define SBI_CTL_STAT _MMIO(0xC6008)
5ee8ee86
PZ
9599#define SBI_CTL_DEST_ICLK (0x0 << 16)
9600#define SBI_CTL_DEST_MPHY (0x1 << 16)
9601#define SBI_CTL_OP_IORD (0x2 << 8)
9602#define SBI_CTL_OP_IOWR (0x3 << 8)
9603#define SBI_CTL_OP_CRRD (0x6 << 8)
9604#define SBI_CTL_OP_CRWR (0x7 << 8)
9605#define SBI_RESPONSE_FAIL (0x1 << 1)
9606#define SBI_RESPONSE_SUCCESS (0x0 << 1)
9607#define SBI_BUSY (0x1 << 0)
9608#define SBI_READY (0x0 << 0)
52f025ef 9609
ccf1c867 9610/* SBI offsets */
f7be2c21 9611#define SBI_SSCDIVINTPHASE 0x0200
5e49cea6 9612#define SBI_SSCDIVINTPHASE6 0x0600
8802e5b6 9613#define SBI_SSCDIVINTPHASE_DIVSEL_SHIFT 1
5ee8ee86
PZ
9614#define SBI_SSCDIVINTPHASE_DIVSEL_MASK (0x7f << 1)
9615#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x) << 1)
8802e5b6 9616#define SBI_SSCDIVINTPHASE_INCVAL_SHIFT 8
5ee8ee86
PZ
9617#define SBI_SSCDIVINTPHASE_INCVAL_MASK (0x7f << 8)
9618#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x) << 8)
9619#define SBI_SSCDIVINTPHASE_DIR(x) ((x) << 15)
9620#define SBI_SSCDIVINTPHASE_PROPAGATE (1 << 0)
f7be2c21 9621#define SBI_SSCDITHPHASE 0x0204
5e49cea6 9622#define SBI_SSCCTL 0x020c
ccf1c867 9623#define SBI_SSCCTL6 0x060C
5ee8ee86
PZ
9624#define SBI_SSCCTL_PATHALT (1 << 3)
9625#define SBI_SSCCTL_DISABLE (1 << 0)
ccf1c867 9626#define SBI_SSCAUXDIV6 0x0610
8802e5b6 9627#define SBI_SSCAUXDIV_FINALDIV2SEL_SHIFT 4
5ee8ee86
PZ
9628#define SBI_SSCAUXDIV_FINALDIV2SEL_MASK (1 << 4)
9629#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x) << 4)
5e49cea6 9630#define SBI_DBUFF0 0x2a00
2fa86a1f 9631#define SBI_GEN0 0x1f00
5ee8ee86 9632#define SBI_GEN0_CFG_BUFFENABLE_DISABLE (1 << 0)
ccf1c867 9633
52f025ef 9634/* LPT PIXCLK_GATE */
f0f59a00 9635#define PIXCLK_GATE _MMIO(0xC6020)
5ee8ee86
PZ
9636#define PIXCLK_GATE_UNGATE (1 << 0)
9637#define PIXCLK_GATE_GATE (0 << 0)
52f025ef 9638
e93ea06a 9639/* SPLL */
f0f59a00 9640#define SPLL_CTL _MMIO(0x46020)
5ee8ee86 9641#define SPLL_PLL_ENABLE (1 << 31)
4a95e36f
VS
9642#define SPLL_REF_BCLK (0 << 28)
9643#define SPLL_REF_MUXED_SSC (1 << 28) /* CPU SSC if fused enabled, PCH SSC otherwise */
9644#define SPLL_REF_NON_SSC_HSW (2 << 28)
9645#define SPLL_REF_PCH_SSC_BDW (2 << 28)
9646#define SPLL_REF_LCPLL (3 << 28)
9647#define SPLL_REF_MASK (3 << 28)
9648#define SPLL_FREQ_810MHz (0 << 26)
9649#define SPLL_FREQ_1350MHz (1 << 26)
9650#define SPLL_FREQ_2700MHz (2 << 26)
9651#define SPLL_FREQ_MASK (3 << 26)
e93ea06a 9652
4dffc404 9653/* WRPLL */
086f8e84
VS
9654#define _WRPLL_CTL1 0x46040
9655#define _WRPLL_CTL2 0x46060
f0f59a00 9656#define WRPLL_CTL(pll) _MMIO_PIPE(pll, _WRPLL_CTL1, _WRPLL_CTL2)
5ee8ee86 9657#define WRPLL_PLL_ENABLE (1 << 31)
4a95e36f
VS
9658#define WRPLL_REF_BCLK (0 << 28)
9659#define WRPLL_REF_PCH_SSC (1 << 28)
9660#define WRPLL_REF_MUXED_SSC_BDW (2 << 28) /* CPU SSC if fused enabled, PCH SSC otherwise */
9661#define WRPLL_REF_SPECIAL_HSW (2 << 28) /* muxed SSC (ULT), non-SSC (non-ULT) */
9662#define WRPLL_REF_LCPLL (3 << 28)
9663#define WRPLL_REF_MASK (3 << 28)
ef4d084f 9664/* WRPLL divider programming */
5ee8ee86 9665#define WRPLL_DIVIDER_REFERENCE(x) ((x) << 0)
11578553 9666#define WRPLL_DIVIDER_REF_MASK (0xff)
5ee8ee86
PZ
9667#define WRPLL_DIVIDER_POST(x) ((x) << 8)
9668#define WRPLL_DIVIDER_POST_MASK (0x3f << 8)
11578553 9669#define WRPLL_DIVIDER_POST_SHIFT 8
5ee8ee86 9670#define WRPLL_DIVIDER_FEEDBACK(x) ((x) << 16)
11578553 9671#define WRPLL_DIVIDER_FB_SHIFT 16
5ee8ee86 9672#define WRPLL_DIVIDER_FB_MASK (0xff << 16)
4dffc404 9673
fec9181c 9674/* Port clock selection */
086f8e84
VS
9675#define _PORT_CLK_SEL_A 0x46100
9676#define _PORT_CLK_SEL_B 0x46104
f0f59a00 9677#define PORT_CLK_SEL(port) _MMIO_PORT(port, _PORT_CLK_SEL_A, _PORT_CLK_SEL_B)
5ee8ee86
PZ
9678#define PORT_CLK_SEL_LCPLL_2700 (0 << 29)
9679#define PORT_CLK_SEL_LCPLL_1350 (1 << 29)
9680#define PORT_CLK_SEL_LCPLL_810 (2 << 29)
9681#define PORT_CLK_SEL_SPLL (3 << 29)
9682#define PORT_CLK_SEL_WRPLL(pll) (((pll) + 4) << 29)
9683#define PORT_CLK_SEL_WRPLL1 (4 << 29)
9684#define PORT_CLK_SEL_WRPLL2 (5 << 29)
9685#define PORT_CLK_SEL_NONE (7 << 29)
9686#define PORT_CLK_SEL_MASK (7 << 29)
fec9181c 9687
78b60ce7
PZ
9688/* On ICL+ this is the same as PORT_CLK_SEL, but all bits change. */
9689#define DDI_CLK_SEL(port) PORT_CLK_SEL(port)
9690#define DDI_CLK_SEL_NONE (0x0 << 28)
9691#define DDI_CLK_SEL_MG (0x8 << 28)
1fa11ee2
PZ
9692#define DDI_CLK_SEL_TBT_162 (0xC << 28)
9693#define DDI_CLK_SEL_TBT_270 (0xD << 28)
9694#define DDI_CLK_SEL_TBT_540 (0xE << 28)
9695#define DDI_CLK_SEL_TBT_810 (0xF << 28)
78b60ce7
PZ
9696#define DDI_CLK_SEL_MASK (0xF << 28)
9697
bb523fc0 9698/* Transcoder clock selection */
086f8e84
VS
9699#define _TRANS_CLK_SEL_A 0x46140
9700#define _TRANS_CLK_SEL_B 0x46144
f0f59a00 9701#define TRANS_CLK_SEL(tran) _MMIO_TRANS(tran, _TRANS_CLK_SEL_A, _TRANS_CLK_SEL_B)
bb523fc0 9702/* For each transcoder, we need to select the corresponding port clock */
5ee8ee86
PZ
9703#define TRANS_CLK_SEL_DISABLED (0x0 << 29)
9704#define TRANS_CLK_SEL_PORT(x) (((x) + 1) << 29)
df16b636
MK
9705#define TGL_TRANS_CLK_SEL_DISABLED (0x0 << 28)
9706#define TGL_TRANS_CLK_SEL_PORT(x) (((x) + 1) << 28)
9707
fec9181c 9708
7f1052a8
VS
9709#define CDCLK_FREQ _MMIO(0x46200)
9710
086f8e84
VS
9711#define _TRANSA_MSA_MISC 0x60410
9712#define _TRANSB_MSA_MISC 0x61410
9713#define _TRANSC_MSA_MISC 0x62410
9714#define _TRANS_EDP_MSA_MISC 0x6f410
f0f59a00 9715#define TRANS_MSA_MISC(tran) _MMIO_TRANS2(tran, _TRANSA_MSA_MISC)
a57c774a 9716
5ee8ee86 9717#define TRANS_MSA_SYNC_CLK (1 << 0)
668b6c17
SS
9718#define TRANS_MSA_SAMPLING_444 (2 << 1)
9719#define TRANS_MSA_CLRSP_YCBCR (2 << 3)
5ee8ee86
PZ
9720#define TRANS_MSA_6_BPC (0 << 5)
9721#define TRANS_MSA_8_BPC (1 << 5)
9722#define TRANS_MSA_10_BPC (2 << 5)
9723#define TRANS_MSA_12_BPC (3 << 5)
9724#define TRANS_MSA_16_BPC (4 << 5)
dc5977da 9725#define TRANS_MSA_CEA_RANGE (1 << 3)
ec4401d3 9726#define TRANS_MSA_USE_VSC_SDP (1 << 14)
dae84799 9727
90e8d31c 9728/* LCPLL Control */
f0f59a00 9729#define LCPLL_CTL _MMIO(0x130040)
5ee8ee86
PZ
9730#define LCPLL_PLL_DISABLE (1 << 31)
9731#define LCPLL_PLL_LOCK (1 << 30)
4a95e36f
VS
9732#define LCPLL_REF_NON_SSC (0 << 28)
9733#define LCPLL_REF_BCLK (2 << 28)
9734#define LCPLL_REF_PCH_SSC (3 << 28)
9735#define LCPLL_REF_MASK (3 << 28)
5ee8ee86
PZ
9736#define LCPLL_CLK_FREQ_MASK (3 << 26)
9737#define LCPLL_CLK_FREQ_450 (0 << 26)
9738#define LCPLL_CLK_FREQ_54O_BDW (1 << 26)
9739#define LCPLL_CLK_FREQ_337_5_BDW (2 << 26)
9740#define LCPLL_CLK_FREQ_675_BDW (3 << 26)
9741#define LCPLL_CD_CLOCK_DISABLE (1 << 25)
9742#define LCPLL_ROOT_CD_CLOCK_DISABLE (1 << 24)
9743#define LCPLL_CD2X_CLOCK_DISABLE (1 << 23)
9744#define LCPLL_POWER_DOWN_ALLOW (1 << 22)
9745#define LCPLL_CD_SOURCE_FCLK (1 << 21)
9746#define LCPLL_CD_SOURCE_FCLK_DONE (1 << 19)
be256dc7 9747
326ac39b
S
9748/*
9749 * SKL Clocks
9750 */
9751
9752/* CDCLK_CTL */
f0f59a00 9753#define CDCLK_CTL _MMIO(0x46000)
186a277e
PZ
9754#define CDCLK_FREQ_SEL_MASK (3 << 26)
9755#define CDCLK_FREQ_450_432 (0 << 26)
9756#define CDCLK_FREQ_540 (1 << 26)
9757#define CDCLK_FREQ_337_308 (2 << 26)
9758#define CDCLK_FREQ_675_617 (3 << 26)
9759#define BXT_CDCLK_CD2X_DIV_SEL_MASK (3 << 22)
9760#define BXT_CDCLK_CD2X_DIV_SEL_1 (0 << 22)
9761#define BXT_CDCLK_CD2X_DIV_SEL_1_5 (1 << 22)
9762#define BXT_CDCLK_CD2X_DIV_SEL_2 (2 << 22)
9763#define BXT_CDCLK_CD2X_DIV_SEL_4 (3 << 22)
9764#define BXT_CDCLK_CD2X_PIPE(pipe) ((pipe) << 20)
9765#define CDCLK_DIVMUX_CD_OVERRIDE (1 << 19)
7fe62757 9766#define BXT_CDCLK_CD2X_PIPE_NONE BXT_CDCLK_CD2X_PIPE(3)
186a277e
PZ
9767#define ICL_CDCLK_CD2X_PIPE_NONE (7 << 19)
9768#define BXT_CDCLK_SSA_PRECHARGE_ENABLE (1 << 16)
7fe62757 9769#define CDCLK_FREQ_DECIMAL_MASK (0x7ff)
f8437dd1 9770
326ac39b 9771/* LCPLL_CTL */
f0f59a00
VS
9772#define LCPLL1_CTL _MMIO(0x46010)
9773#define LCPLL2_CTL _MMIO(0x46014)
5ee8ee86 9774#define LCPLL_PLL_ENABLE (1 << 31)
326ac39b
S
9775
9776/* DPLL control1 */
f0f59a00 9777#define DPLL_CTRL1 _MMIO(0x6C058)
5ee8ee86
PZ
9778#define DPLL_CTRL1_HDMI_MODE(id) (1 << ((id) * 6 + 5))
9779#define DPLL_CTRL1_SSC(id) (1 << ((id) * 6 + 4))
9780#define DPLL_CTRL1_LINK_RATE_MASK(id) (7 << ((id) * 6 + 1))
9781#define DPLL_CTRL1_LINK_RATE_SHIFT(id) ((id) * 6 + 1)
9782#define DPLL_CTRL1_LINK_RATE(linkrate, id) ((linkrate) << ((id) * 6 + 1))
9783#define DPLL_CTRL1_OVERRIDE(id) (1 << ((id) * 6))
71cd8423
DL
9784#define DPLL_CTRL1_LINK_RATE_2700 0
9785#define DPLL_CTRL1_LINK_RATE_1350 1
9786#define DPLL_CTRL1_LINK_RATE_810 2
9787#define DPLL_CTRL1_LINK_RATE_1620 3
9788#define DPLL_CTRL1_LINK_RATE_1080 4
9789#define DPLL_CTRL1_LINK_RATE_2160 5
326ac39b
S
9790
9791/* DPLL control2 */
f0f59a00 9792#define DPLL_CTRL2 _MMIO(0x6C05C)
5ee8ee86
PZ
9793#define DPLL_CTRL2_DDI_CLK_OFF(port) (1 << ((port) + 15))
9794#define DPLL_CTRL2_DDI_CLK_SEL_MASK(port) (3 << ((port) * 3 + 1))
9795#define DPLL_CTRL2_DDI_CLK_SEL_SHIFT(port) ((port) * 3 + 1)
9796#define DPLL_CTRL2_DDI_CLK_SEL(clk, port) ((clk) << ((port) * 3 + 1))
9797#define DPLL_CTRL2_DDI_SEL_OVERRIDE(port) (1 << ((port) * 3))
326ac39b
S
9798
9799/* DPLL Status */
f0f59a00 9800#define DPLL_STATUS _MMIO(0x6C060)
5ee8ee86 9801#define DPLL_LOCK(id) (1 << ((id) * 8))
326ac39b
S
9802
9803/* DPLL cfg */
086f8e84
VS
9804#define _DPLL1_CFGCR1 0x6C040
9805#define _DPLL2_CFGCR1 0x6C048
9806#define _DPLL3_CFGCR1 0x6C050
5ee8ee86
PZ
9807#define DPLL_CFGCR1_FREQ_ENABLE (1 << 31)
9808#define DPLL_CFGCR1_DCO_FRACTION_MASK (0x7fff << 9)
9809#define DPLL_CFGCR1_DCO_FRACTION(x) ((x) << 9)
326ac39b
S
9810#define DPLL_CFGCR1_DCO_INTEGER_MASK (0x1ff)
9811
086f8e84
VS
9812#define _DPLL1_CFGCR2 0x6C044
9813#define _DPLL2_CFGCR2 0x6C04C
9814#define _DPLL3_CFGCR2 0x6C054
5ee8ee86
PZ
9815#define DPLL_CFGCR2_QDIV_RATIO_MASK (0xff << 8)
9816#define DPLL_CFGCR2_QDIV_RATIO(x) ((x) << 8)
9817#define DPLL_CFGCR2_QDIV_MODE(x) ((x) << 7)
9818#define DPLL_CFGCR2_KDIV_MASK (3 << 5)
9819#define DPLL_CFGCR2_KDIV(x) ((x) << 5)
9820#define DPLL_CFGCR2_KDIV_5 (0 << 5)
9821#define DPLL_CFGCR2_KDIV_2 (1 << 5)
9822#define DPLL_CFGCR2_KDIV_3 (2 << 5)
9823#define DPLL_CFGCR2_KDIV_1 (3 << 5)
9824#define DPLL_CFGCR2_PDIV_MASK (7 << 2)
9825#define DPLL_CFGCR2_PDIV(x) ((x) << 2)
9826#define DPLL_CFGCR2_PDIV_1 (0 << 2)
9827#define DPLL_CFGCR2_PDIV_2 (1 << 2)
9828#define DPLL_CFGCR2_PDIV_3 (2 << 2)
9829#define DPLL_CFGCR2_PDIV_7 (4 << 2)
326ac39b
S
9830#define DPLL_CFGCR2_CENTRAL_FREQ_MASK (3)
9831
da3b891b 9832#define DPLL_CFGCR1(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR1, _DPLL2_CFGCR1)
f0f59a00 9833#define DPLL_CFGCR2(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR2, _DPLL2_CFGCR2)
540e732c 9834
555e38d2
RV
9835/*
9836 * CNL Clocks
9837 */
9838#define DPCLKA_CFGCR0 _MMIO(0x6C200)
376faf8a 9839#define DPCLKA_CFGCR0_DDI_CLK_OFF(port) (1 << ((port) == PORT_F ? 23 : \
5ee8ee86 9840 (port) + 10))
376faf8a 9841#define DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port) ((port) == PORT_F ? 21 : \
5ee8ee86 9842 (port) * 2)
376faf8a
RV
9843#define DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(port) (3 << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
9844#define DPCLKA_CFGCR0_DDI_CLK_SEL(pll, port) ((pll) << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
555e38d2 9845
befa372b
MR
9846#define ICL_DPCLKA_CFGCR0 _MMIO(0x164280)
9847#define ICL_DPCLKA_CFGCR0_DDI_CLK_OFF(phy) (1 << _PICK(phy, 10, 11, 24))
aaf70b90
MK
9848#define ICL_DPCLKA_CFGCR0_TC_CLK_OFF(tc_port) (1 << ((tc_port) < PORT_TC4 ? \
9849 (tc_port) + 12 : \
9850 (tc_port) - PORT_TC4 + 21))
befa372b
MR
9851#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy) ((phy) * 2)
9852#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(phy) (3 << ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy))
9853#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL(pll, phy) ((pll) << ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy))
9854
a927c927
RV
9855/* CNL PLL */
9856#define DPLL0_ENABLE 0x46010
9857#define DPLL1_ENABLE 0x46014
9858#define PLL_ENABLE (1 << 31)
9859#define PLL_LOCK (1 << 30)
9860#define PLL_POWER_ENABLE (1 << 27)
9861#define PLL_POWER_STATE (1 << 26)
9862#define CNL_DPLL_ENABLE(pll) _MMIO_PLL(pll, DPLL0_ENABLE, DPLL1_ENABLE)
9863
1fa11ee2
PZ
9864#define TBT_PLL_ENABLE _MMIO(0x46020)
9865
78b60ce7
PZ
9866#define _MG_PLL1_ENABLE 0x46030
9867#define _MG_PLL2_ENABLE 0x46034
9868#define _MG_PLL3_ENABLE 0x46038
9869#define _MG_PLL4_ENABLE 0x4603C
9870/* Bits are the same as DPLL0_ENABLE */
584fca11 9871#define MG_PLL_ENABLE(tc_port) _MMIO_PORT((tc_port), _MG_PLL1_ENABLE, \
78b60ce7
PZ
9872 _MG_PLL2_ENABLE)
9873
9874#define _MG_REFCLKIN_CTL_PORT1 0x16892C
9875#define _MG_REFCLKIN_CTL_PORT2 0x16992C
9876#define _MG_REFCLKIN_CTL_PORT3 0x16A92C
9877#define _MG_REFCLKIN_CTL_PORT4 0x16B92C
9878#define MG_REFCLKIN_CTL_OD_2_MUX(x) ((x) << 8)
bd99ce08 9879#define MG_REFCLKIN_CTL_OD_2_MUX_MASK (0x7 << 8)
584fca11
LDM
9880#define MG_REFCLKIN_CTL(tc_port) _MMIO_PORT((tc_port), \
9881 _MG_REFCLKIN_CTL_PORT1, \
9882 _MG_REFCLKIN_CTL_PORT2)
78b60ce7
PZ
9883
9884#define _MG_CLKTOP2_CORECLKCTL1_PORT1 0x1688D8
9885#define _MG_CLKTOP2_CORECLKCTL1_PORT2 0x1698D8
9886#define _MG_CLKTOP2_CORECLKCTL1_PORT3 0x16A8D8
9887#define _MG_CLKTOP2_CORECLKCTL1_PORT4 0x16B8D8
9888#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO(x) ((x) << 16)
bd99ce08 9889#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO_MASK (0xff << 16)
78b60ce7 9890#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO(x) ((x) << 8)
bd99ce08 9891#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO_MASK (0xff << 8)
584fca11
LDM
9892#define MG_CLKTOP2_CORECLKCTL1(tc_port) _MMIO_PORT((tc_port), \
9893 _MG_CLKTOP2_CORECLKCTL1_PORT1, \
9894 _MG_CLKTOP2_CORECLKCTL1_PORT2)
78b60ce7
PZ
9895
9896#define _MG_CLKTOP2_HSCLKCTL_PORT1 0x1688D4
9897#define _MG_CLKTOP2_HSCLKCTL_PORT2 0x1698D4
9898#define _MG_CLKTOP2_HSCLKCTL_PORT3 0x16A8D4
9899#define _MG_CLKTOP2_HSCLKCTL_PORT4 0x16B8D4
9900#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL(x) ((x) << 16)
bd99ce08 9901#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL_MASK (0x1 << 16)
78b60ce7 9902#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL(x) ((x) << 14)
bd99ce08 9903#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL_MASK (0x3 << 14)
bd99ce08 9904#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_MASK (0x3 << 12)
bcaad532
MN
9905#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_2 (0 << 12)
9906#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_3 (1 << 12)
9907#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_5 (2 << 12)
9908#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_7 (3 << 12)
78b60ce7 9909#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO(x) ((x) << 8)
7b19f544 9910#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_SHIFT 8
bd99ce08 9911#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_MASK (0xf << 8)
584fca11
LDM
9912#define MG_CLKTOP2_HSCLKCTL(tc_port) _MMIO_PORT((tc_port), \
9913 _MG_CLKTOP2_HSCLKCTL_PORT1, \
9914 _MG_CLKTOP2_HSCLKCTL_PORT2)
78b60ce7
PZ
9915
9916#define _MG_PLL_DIV0_PORT1 0x168A00
9917#define _MG_PLL_DIV0_PORT2 0x169A00
9918#define _MG_PLL_DIV0_PORT3 0x16AA00
9919#define _MG_PLL_DIV0_PORT4 0x16BA00
9920#define MG_PLL_DIV0_FRACNEN_H (1 << 30)
7b19f544
MN
9921#define MG_PLL_DIV0_FBDIV_FRAC_MASK (0x3fffff << 8)
9922#define MG_PLL_DIV0_FBDIV_FRAC_SHIFT 8
78b60ce7 9923#define MG_PLL_DIV0_FBDIV_FRAC(x) ((x) << 8)
7b19f544 9924#define MG_PLL_DIV0_FBDIV_INT_MASK (0xff << 0)
78b60ce7 9925#define MG_PLL_DIV0_FBDIV_INT(x) ((x) << 0)
584fca11
LDM
9926#define MG_PLL_DIV0(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV0_PORT1, \
9927 _MG_PLL_DIV0_PORT2)
78b60ce7
PZ
9928
9929#define _MG_PLL_DIV1_PORT1 0x168A04
9930#define _MG_PLL_DIV1_PORT2 0x169A04
9931#define _MG_PLL_DIV1_PORT3 0x16AA04
9932#define _MG_PLL_DIV1_PORT4 0x16BA04
9933#define MG_PLL_DIV1_IREF_NDIVRATIO(x) ((x) << 16)
9934#define MG_PLL_DIV1_DITHER_DIV_1 (0 << 12)
9935#define MG_PLL_DIV1_DITHER_DIV_2 (1 << 12)
9936#define MG_PLL_DIV1_DITHER_DIV_4 (2 << 12)
9937#define MG_PLL_DIV1_DITHER_DIV_8 (3 << 12)
9938#define MG_PLL_DIV1_NDIVRATIO(x) ((x) << 4)
7b19f544 9939#define MG_PLL_DIV1_FBPREDIV_MASK (0xf << 0)
78b60ce7 9940#define MG_PLL_DIV1_FBPREDIV(x) ((x) << 0)
584fca11
LDM
9941#define MG_PLL_DIV1(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV1_PORT1, \
9942 _MG_PLL_DIV1_PORT2)
78b60ce7
PZ
9943
9944#define _MG_PLL_LF_PORT1 0x168A08
9945#define _MG_PLL_LF_PORT2 0x169A08
9946#define _MG_PLL_LF_PORT3 0x16AA08
9947#define _MG_PLL_LF_PORT4 0x16BA08
9948#define MG_PLL_LF_TDCTARGETCNT(x) ((x) << 24)
9949#define MG_PLL_LF_AFCCNTSEL_256 (0 << 20)
9950#define MG_PLL_LF_AFCCNTSEL_512 (1 << 20)
9951#define MG_PLL_LF_GAINCTRL(x) ((x) << 16)
9952#define MG_PLL_LF_INT_COEFF(x) ((x) << 8)
9953#define MG_PLL_LF_PROP_COEFF(x) ((x) << 0)
584fca11
LDM
9954#define MG_PLL_LF(tc_port) _MMIO_PORT((tc_port), _MG_PLL_LF_PORT1, \
9955 _MG_PLL_LF_PORT2)
78b60ce7
PZ
9956
9957#define _MG_PLL_FRAC_LOCK_PORT1 0x168A0C
9958#define _MG_PLL_FRAC_LOCK_PORT2 0x169A0C
9959#define _MG_PLL_FRAC_LOCK_PORT3 0x16AA0C
9960#define _MG_PLL_FRAC_LOCK_PORT4 0x16BA0C
9961#define MG_PLL_FRAC_LOCK_TRUELOCK_CRIT_32 (1 << 18)
9962#define MG_PLL_FRAC_LOCK_EARLYLOCK_CRIT_32 (1 << 16)
9963#define MG_PLL_FRAC_LOCK_LOCKTHRESH(x) ((x) << 11)
9964#define MG_PLL_FRAC_LOCK_DCODITHEREN (1 << 10)
9965#define MG_PLL_FRAC_LOCK_FEEDFWRDCAL_EN (1 << 8)
9966#define MG_PLL_FRAC_LOCK_FEEDFWRDGAIN(x) ((x) << 0)
584fca11
LDM
9967#define MG_PLL_FRAC_LOCK(tc_port) _MMIO_PORT((tc_port), \
9968 _MG_PLL_FRAC_LOCK_PORT1, \
9969 _MG_PLL_FRAC_LOCK_PORT2)
78b60ce7
PZ
9970
9971#define _MG_PLL_SSC_PORT1 0x168A10
9972#define _MG_PLL_SSC_PORT2 0x169A10
9973#define _MG_PLL_SSC_PORT3 0x16AA10
9974#define _MG_PLL_SSC_PORT4 0x16BA10
9975#define MG_PLL_SSC_EN (1 << 28)
9976#define MG_PLL_SSC_TYPE(x) ((x) << 26)
9977#define MG_PLL_SSC_STEPLENGTH(x) ((x) << 16)
9978#define MG_PLL_SSC_STEPNUM(x) ((x) << 10)
9979#define MG_PLL_SSC_FLLEN (1 << 9)
9980#define MG_PLL_SSC_STEPSIZE(x) ((x) << 0)
584fca11
LDM
9981#define MG_PLL_SSC(tc_port) _MMIO_PORT((tc_port), _MG_PLL_SSC_PORT1, \
9982 _MG_PLL_SSC_PORT2)
78b60ce7
PZ
9983
9984#define _MG_PLL_BIAS_PORT1 0x168A14
9985#define _MG_PLL_BIAS_PORT2 0x169A14
9986#define _MG_PLL_BIAS_PORT3 0x16AA14
9987#define _MG_PLL_BIAS_PORT4 0x16BA14
9988#define MG_PLL_BIAS_BIAS_GB_SEL(x) ((x) << 30)
bd99ce08 9989#define MG_PLL_BIAS_BIAS_GB_SEL_MASK (0x3 << 30)
78b60ce7 9990#define MG_PLL_BIAS_INIT_DCOAMP(x) ((x) << 24)
bd99ce08 9991#define MG_PLL_BIAS_INIT_DCOAMP_MASK (0x3f << 24)
78b60ce7 9992#define MG_PLL_BIAS_BIAS_BONUS(x) ((x) << 16)
bd99ce08 9993#define MG_PLL_BIAS_BIAS_BONUS_MASK (0xff << 16)
78b60ce7
PZ
9994#define MG_PLL_BIAS_BIASCAL_EN (1 << 15)
9995#define MG_PLL_BIAS_CTRIM(x) ((x) << 8)
bd99ce08 9996#define MG_PLL_BIAS_CTRIM_MASK (0x1f << 8)
78b60ce7 9997#define MG_PLL_BIAS_VREF_RDAC(x) ((x) << 5)
bd99ce08 9998#define MG_PLL_BIAS_VREF_RDAC_MASK (0x7 << 5)
78b60ce7 9999#define MG_PLL_BIAS_IREFTRIM(x) ((x) << 0)
bd99ce08 10000#define MG_PLL_BIAS_IREFTRIM_MASK (0x1f << 0)
584fca11
LDM
10001#define MG_PLL_BIAS(tc_port) _MMIO_PORT((tc_port), _MG_PLL_BIAS_PORT1, \
10002 _MG_PLL_BIAS_PORT2)
78b60ce7
PZ
10003
10004#define _MG_PLL_TDC_COLDST_BIAS_PORT1 0x168A18
10005#define _MG_PLL_TDC_COLDST_BIAS_PORT2 0x169A18
10006#define _MG_PLL_TDC_COLDST_BIAS_PORT3 0x16AA18
10007#define _MG_PLL_TDC_COLDST_BIAS_PORT4 0x16BA18
10008#define MG_PLL_TDC_COLDST_IREFINT_EN (1 << 27)
10009#define MG_PLL_TDC_COLDST_REFBIAS_START_PULSE_W(x) ((x) << 17)
10010#define MG_PLL_TDC_COLDST_COLDSTART (1 << 16)
10011#define MG_PLL_TDC_TDCOVCCORR_EN (1 << 2)
10012#define MG_PLL_TDC_TDCSEL(x) ((x) << 0)
584fca11
LDM
10013#define MG_PLL_TDC_COLDST_BIAS(tc_port) _MMIO_PORT((tc_port), \
10014 _MG_PLL_TDC_COLDST_BIAS_PORT1, \
10015 _MG_PLL_TDC_COLDST_BIAS_PORT2)
78b60ce7 10016
a927c927
RV
10017#define _CNL_DPLL0_CFGCR0 0x6C000
10018#define _CNL_DPLL1_CFGCR0 0x6C080
10019#define DPLL_CFGCR0_HDMI_MODE (1 << 30)
10020#define DPLL_CFGCR0_SSC_ENABLE (1 << 29)
78b60ce7 10021#define DPLL_CFGCR0_SSC_ENABLE_ICL (1 << 25)
a927c927
RV
10022#define DPLL_CFGCR0_LINK_RATE_MASK (0xf << 25)
10023#define DPLL_CFGCR0_LINK_RATE_2700 (0 << 25)
10024#define DPLL_CFGCR0_LINK_RATE_1350 (1 << 25)
10025#define DPLL_CFGCR0_LINK_RATE_810 (2 << 25)
10026#define DPLL_CFGCR0_LINK_RATE_1620 (3 << 25)
10027#define DPLL_CFGCR0_LINK_RATE_1080 (4 << 25)
10028#define DPLL_CFGCR0_LINK_RATE_2160 (5 << 25)
10029#define DPLL_CFGCR0_LINK_RATE_3240 (6 << 25)
10030#define DPLL_CFGCR0_LINK_RATE_4050 (7 << 25)
10031#define DPLL_CFGCR0_DCO_FRACTION_MASK (0x7fff << 10)
442aa277 10032#define DPLL_CFGCR0_DCO_FRACTION_SHIFT (10)
a927c927
RV
10033#define DPLL_CFGCR0_DCO_FRACTION(x) ((x) << 10)
10034#define DPLL_CFGCR0_DCO_INTEGER_MASK (0x3ff)
10035#define CNL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR0, _CNL_DPLL1_CFGCR0)
10036
10037#define _CNL_DPLL0_CFGCR1 0x6C004
10038#define _CNL_DPLL1_CFGCR1 0x6C084
10039#define DPLL_CFGCR1_QDIV_RATIO_MASK (0xff << 10)
a9701a89 10040#define DPLL_CFGCR1_QDIV_RATIO_SHIFT (10)
a927c927 10041#define DPLL_CFGCR1_QDIV_RATIO(x) ((x) << 10)
51c83cfa 10042#define DPLL_CFGCR1_QDIV_MODE_SHIFT (9)
a927c927
RV
10043#define DPLL_CFGCR1_QDIV_MODE(x) ((x) << 9)
10044#define DPLL_CFGCR1_KDIV_MASK (7 << 6)
51c83cfa 10045#define DPLL_CFGCR1_KDIV_SHIFT (6)
a927c927
RV
10046#define DPLL_CFGCR1_KDIV(x) ((x) << 6)
10047#define DPLL_CFGCR1_KDIV_1 (1 << 6)
10048#define DPLL_CFGCR1_KDIV_2 (2 << 6)
2ee7fd1e 10049#define DPLL_CFGCR1_KDIV_3 (4 << 6)
a927c927 10050#define DPLL_CFGCR1_PDIV_MASK (0xf << 2)
51c83cfa 10051#define DPLL_CFGCR1_PDIV_SHIFT (2)
a927c927
RV
10052#define DPLL_CFGCR1_PDIV(x) ((x) << 2)
10053#define DPLL_CFGCR1_PDIV_2 (1 << 2)
10054#define DPLL_CFGCR1_PDIV_3 (2 << 2)
10055#define DPLL_CFGCR1_PDIV_5 (4 << 2)
10056#define DPLL_CFGCR1_PDIV_7 (8 << 2)
10057#define DPLL_CFGCR1_CENTRAL_FREQ (3 << 0)
78b60ce7 10058#define DPLL_CFGCR1_CENTRAL_FREQ_8400 (3 << 0)
a1c5f151 10059#define TGL_DPLL_CFGCR1_CFSELOVRD_NORMAL_XTAL (0 << 0)
a927c927
RV
10060#define CNL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR1, _CNL_DPLL1_CFGCR1)
10061
78b60ce7
PZ
10062#define _ICL_DPLL0_CFGCR0 0x164000
10063#define _ICL_DPLL1_CFGCR0 0x164080
10064#define ICL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR0, \
10065 _ICL_DPLL1_CFGCR0)
10066
10067#define _ICL_DPLL0_CFGCR1 0x164004
10068#define _ICL_DPLL1_CFGCR1 0x164084
10069#define ICL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR1, \
10070 _ICL_DPLL1_CFGCR1)
10071
36ca5335
LDM
10072#define _TGL_DPLL0_CFGCR0 0x164284
10073#define _TGL_DPLL1_CFGCR0 0x16428C
10074/* TODO: add DPLL4 */
10075#define _TGL_TBTPLL_CFGCR0 0x16429C
10076#define TGL_DPLL_CFGCR0(pll) _MMIO_PLL3(pll, _TGL_DPLL0_CFGCR0, \
10077 _TGL_DPLL1_CFGCR0, \
10078 _TGL_TBTPLL_CFGCR0)
10079
10080#define _TGL_DPLL0_CFGCR1 0x164288
10081#define _TGL_DPLL1_CFGCR1 0x164290
10082/* TODO: add DPLL4 */
10083#define _TGL_TBTPLL_CFGCR1 0x1642A0
10084#define TGL_DPLL_CFGCR1(pll) _MMIO_PLL3(pll, _TGL_DPLL0_CFGCR1, \
10085 _TGL_DPLL1_CFGCR1, \
10086 _TGL_TBTPLL_CFGCR1)
10087
f8437dd1 10088/* BXT display engine PLL */
f0f59a00 10089#define BXT_DE_PLL_CTL _MMIO(0x6d000)
f8437dd1
VK
10090#define BXT_DE_PLL_RATIO(x) (x) /* {60,65,100} * 19.2MHz */
10091#define BXT_DE_PLL_RATIO_MASK 0xff
10092
f0f59a00 10093#define BXT_DE_PLL_ENABLE _MMIO(0x46070)
f8437dd1
VK
10094#define BXT_DE_PLL_PLL_ENABLE (1 << 31)
10095#define BXT_DE_PLL_LOCK (1 << 30)
945f2672
VS
10096#define CNL_CDCLK_PLL_RATIO(x) (x)
10097#define CNL_CDCLK_PLL_RATIO_MASK 0xff
f8437dd1 10098
664326f8 10099/* GEN9 DC */
f0f59a00 10100#define DC_STATE_EN _MMIO(0x45504)
13ae3a0d 10101#define DC_STATE_DISABLE 0
5ee8ee86
PZ
10102#define DC_STATE_EN_UPTO_DC5 (1 << 0)
10103#define DC_STATE_EN_DC9 (1 << 3)
10104#define DC_STATE_EN_UPTO_DC6 (2 << 0)
6b457d31
SK
10105#define DC_STATE_EN_UPTO_DC5_DC6_MASK 0x3
10106
f0f59a00 10107#define DC_STATE_DEBUG _MMIO(0x45520)
5ee8ee86
PZ
10108#define DC_STATE_DEBUG_MASK_CORES (1 << 0)
10109#define DC_STATE_DEBUG_MASK_MEMORY_UP (1 << 1)
6b457d31 10110
cbfa59d4
MK
10111#define BXT_P_CR_MC_BIOS_REQ_0_0_0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7114)
10112#define BXT_REQ_DATA_MASK 0x3F
10113#define BXT_DRAM_CHANNEL_ACTIVE_SHIFT 12
10114#define BXT_DRAM_CHANNEL_ACTIVE_MASK (0xF << 12)
10115#define BXT_MEMORY_FREQ_MULTIPLIER_HZ 133333333
10116
10117#define BXT_D_CR_DRP0_DUNIT8 0x1000
10118#define BXT_D_CR_DRP0_DUNIT9 0x1200
10119#define BXT_D_CR_DRP0_DUNIT_START 8
10120#define BXT_D_CR_DRP0_DUNIT_END 11
10121#define BXT_D_CR_DRP0_DUNIT(x) _MMIO(MCHBAR_MIRROR_BASE_SNB + \
10122 _PICK_EVEN((x) - 8, BXT_D_CR_DRP0_DUNIT8,\
10123 BXT_D_CR_DRP0_DUNIT9))
10124#define BXT_DRAM_RANK_MASK 0x3
10125#define BXT_DRAM_RANK_SINGLE 0x1
10126#define BXT_DRAM_RANK_DUAL 0x3
10127#define BXT_DRAM_WIDTH_MASK (0x3 << 4)
10128#define BXT_DRAM_WIDTH_SHIFT 4
10129#define BXT_DRAM_WIDTH_X8 (0x0 << 4)
10130#define BXT_DRAM_WIDTH_X16 (0x1 << 4)
10131#define BXT_DRAM_WIDTH_X32 (0x2 << 4)
10132#define BXT_DRAM_WIDTH_X64 (0x3 << 4)
10133#define BXT_DRAM_SIZE_MASK (0x7 << 6)
10134#define BXT_DRAM_SIZE_SHIFT 6
8860343c
VS
10135#define BXT_DRAM_SIZE_4GBIT (0x0 << 6)
10136#define BXT_DRAM_SIZE_6GBIT (0x1 << 6)
10137#define BXT_DRAM_SIZE_8GBIT (0x2 << 6)
10138#define BXT_DRAM_SIZE_12GBIT (0x3 << 6)
10139#define BXT_DRAM_SIZE_16GBIT (0x4 << 6)
b185a352
VS
10140#define BXT_DRAM_TYPE_MASK (0x7 << 22)
10141#define BXT_DRAM_TYPE_SHIFT 22
10142#define BXT_DRAM_TYPE_DDR3 (0x0 << 22)
10143#define BXT_DRAM_TYPE_LPDDR3 (0x1 << 22)
10144#define BXT_DRAM_TYPE_LPDDR4 (0x2 << 22)
10145#define BXT_DRAM_TYPE_DDR4 (0x4 << 22)
cbfa59d4 10146
5771caf8
MK
10147#define SKL_MEMORY_FREQ_MULTIPLIER_HZ 266666666
10148#define SKL_MC_BIOS_DATA_0_0_0_MCHBAR_PCU _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5E04)
10149#define SKL_REQ_DATA_MASK (0xF << 0)
10150
b185a352
VS
10151#define SKL_MAD_INTER_CHANNEL_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5000)
10152#define SKL_DRAM_DDR_TYPE_MASK (0x3 << 0)
10153#define SKL_DRAM_DDR_TYPE_DDR4 (0 << 0)
10154#define SKL_DRAM_DDR_TYPE_DDR3 (1 << 0)
10155#define SKL_DRAM_DDR_TYPE_LPDDR3 (2 << 0)
10156#define SKL_DRAM_DDR_TYPE_LPDDR4 (3 << 0)
10157
5771caf8
MK
10158#define SKL_MAD_DIMM_CH0_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
10159#define SKL_MAD_DIMM_CH1_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5010)
10160#define SKL_DRAM_S_SHIFT 16
10161#define SKL_DRAM_SIZE_MASK 0x3F
10162#define SKL_DRAM_WIDTH_MASK (0x3 << 8)
10163#define SKL_DRAM_WIDTH_SHIFT 8
10164#define SKL_DRAM_WIDTH_X8 (0x0 << 8)
10165#define SKL_DRAM_WIDTH_X16 (0x1 << 8)
10166#define SKL_DRAM_WIDTH_X32 (0x2 << 8)
10167#define SKL_DRAM_RANK_MASK (0x1 << 10)
10168#define SKL_DRAM_RANK_SHIFT 10
6d9c1e92
VS
10169#define SKL_DRAM_RANK_1 (0x0 << 10)
10170#define SKL_DRAM_RANK_2 (0x1 << 10)
10171#define SKL_DRAM_RANK_MASK (0x1 << 10)
10172#define CNL_DRAM_SIZE_MASK 0x7F
10173#define CNL_DRAM_WIDTH_MASK (0x3 << 7)
10174#define CNL_DRAM_WIDTH_SHIFT 7
10175#define CNL_DRAM_WIDTH_X8 (0x0 << 7)
10176#define CNL_DRAM_WIDTH_X16 (0x1 << 7)
10177#define CNL_DRAM_WIDTH_X32 (0x2 << 7)
10178#define CNL_DRAM_RANK_MASK (0x3 << 9)
10179#define CNL_DRAM_RANK_SHIFT 9
10180#define CNL_DRAM_RANK_1 (0x0 << 9)
10181#define CNL_DRAM_RANK_2 (0x1 << 9)
10182#define CNL_DRAM_RANK_3 (0x2 << 9)
10183#define CNL_DRAM_RANK_4 (0x3 << 9)
5771caf8 10184
9ccd5aeb
PZ
10185/* Please see hsw_read_dcomp() and hsw_write_dcomp() before using this register,
10186 * since on HSW we can't write to it using I915_WRITE. */
f0f59a00
VS
10187#define D_COMP_HSW _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5F0C)
10188#define D_COMP_BDW _MMIO(0x138144)
5ee8ee86
PZ
10189#define D_COMP_RCOMP_IN_PROGRESS (1 << 9)
10190#define D_COMP_COMP_FORCE (1 << 8)
10191#define D_COMP_COMP_DISABLE (1 << 0)
90e8d31c 10192
69e94b7e 10193/* Pipe WM_LINETIME - watermark line time */
086f8e84
VS
10194#define _PIPE_WM_LINETIME_A 0x45270
10195#define _PIPE_WM_LINETIME_B 0x45274
f0f59a00 10196#define PIPE_WM_LINETIME(pipe) _MMIO_PIPE(pipe, _PIPE_WM_LINETIME_A, _PIPE_WM_LINETIME_B)
5e49cea6
PZ
10197#define PIPE_WM_LINETIME_MASK (0x1ff)
10198#define PIPE_WM_LINETIME_TIME(x) ((x))
5ee8ee86
PZ
10199#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff << 16)
10200#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x) << 16)
96d6e350
ED
10201
10202/* SFUSE_STRAP */
f0f59a00 10203#define SFUSE_STRAP _MMIO(0xc2014)
5ee8ee86
PZ
10204#define SFUSE_STRAP_FUSE_LOCK (1 << 13)
10205#define SFUSE_STRAP_RAW_FREQUENCY (1 << 8)
10206#define SFUSE_STRAP_DISPLAY_DISABLED (1 << 7)
10207#define SFUSE_STRAP_CRT_DISABLED (1 << 6)
10208#define SFUSE_STRAP_DDIF_DETECTED (1 << 3)
10209#define SFUSE_STRAP_DDIB_DETECTED (1 << 2)
10210#define SFUSE_STRAP_DDIC_DETECTED (1 << 1)
10211#define SFUSE_STRAP_DDID_DETECTED (1 << 0)
96d6e350 10212
f0f59a00 10213#define WM_MISC _MMIO(0x45260)
801bcfff
PZ
10214#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
10215
f0f59a00 10216#define WM_DBG _MMIO(0x45280)
5ee8ee86
PZ
10217#define WM_DBG_DISALLOW_MULTIPLE_LP (1 << 0)
10218#define WM_DBG_DISALLOW_MAXFIFO (1 << 1)
10219#define WM_DBG_DISALLOW_SPRITE (1 << 2)
1544d9d5 10220
86d3efce
VS
10221/* pipe CSC */
10222#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
10223#define _PIPE_A_CSC_COEFF_BY 0x49014
10224#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
10225#define _PIPE_A_CSC_COEFF_BU 0x4901c
10226#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
10227#define _PIPE_A_CSC_COEFF_BV 0x49024
255fcfbc 10228
86d3efce 10229#define _PIPE_A_CSC_MODE 0x49028
255fcfbc 10230#define ICL_CSC_ENABLE (1 << 31)
a91de580 10231#define ICL_OUTPUT_CSC_ENABLE (1 << 30)
255fcfbc
US
10232#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
10233#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
10234#define CSC_MODE_YUV_TO_RGB (1 << 0)
10235
86d3efce
VS
10236#define _PIPE_A_CSC_PREOFF_HI 0x49030
10237#define _PIPE_A_CSC_PREOFF_ME 0x49034
10238#define _PIPE_A_CSC_PREOFF_LO 0x49038
10239#define _PIPE_A_CSC_POSTOFF_HI 0x49040
10240#define _PIPE_A_CSC_POSTOFF_ME 0x49044
10241#define _PIPE_A_CSC_POSTOFF_LO 0x49048
10242
10243#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
10244#define _PIPE_B_CSC_COEFF_BY 0x49114
10245#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
10246#define _PIPE_B_CSC_COEFF_BU 0x4911c
10247#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
10248#define _PIPE_B_CSC_COEFF_BV 0x49124
10249#define _PIPE_B_CSC_MODE 0x49128
10250#define _PIPE_B_CSC_PREOFF_HI 0x49130
10251#define _PIPE_B_CSC_PREOFF_ME 0x49134
10252#define _PIPE_B_CSC_PREOFF_LO 0x49138
10253#define _PIPE_B_CSC_POSTOFF_HI 0x49140
10254#define _PIPE_B_CSC_POSTOFF_ME 0x49144
10255#define _PIPE_B_CSC_POSTOFF_LO 0x49148
10256
f0f59a00
VS
10257#define PIPE_CSC_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
10258#define PIPE_CSC_COEFF_BY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
10259#define PIPE_CSC_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
10260#define PIPE_CSC_COEFF_BU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
10261#define PIPE_CSC_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
10262#define PIPE_CSC_COEFF_BV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
10263#define PIPE_CSC_MODE(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
10264#define PIPE_CSC_PREOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
10265#define PIPE_CSC_PREOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
10266#define PIPE_CSC_PREOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
10267#define PIPE_CSC_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
10268#define PIPE_CSC_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
10269#define PIPE_CSC_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
86d3efce 10270
a91de580
US
10271/* Pipe Output CSC */
10272#define _PIPE_A_OUTPUT_CSC_COEFF_RY_GY 0x49050
10273#define _PIPE_A_OUTPUT_CSC_COEFF_BY 0x49054
10274#define _PIPE_A_OUTPUT_CSC_COEFF_RU_GU 0x49058
10275#define _PIPE_A_OUTPUT_CSC_COEFF_BU 0x4905c
10276#define _PIPE_A_OUTPUT_CSC_COEFF_RV_GV 0x49060
10277#define _PIPE_A_OUTPUT_CSC_COEFF_BV 0x49064
10278#define _PIPE_A_OUTPUT_CSC_PREOFF_HI 0x49068
10279#define _PIPE_A_OUTPUT_CSC_PREOFF_ME 0x4906c
10280#define _PIPE_A_OUTPUT_CSC_PREOFF_LO 0x49070
10281#define _PIPE_A_OUTPUT_CSC_POSTOFF_HI 0x49074
10282#define _PIPE_A_OUTPUT_CSC_POSTOFF_ME 0x49078
10283#define _PIPE_A_OUTPUT_CSC_POSTOFF_LO 0x4907c
10284
10285#define _PIPE_B_OUTPUT_CSC_COEFF_RY_GY 0x49150
10286#define _PIPE_B_OUTPUT_CSC_COEFF_BY 0x49154
10287#define _PIPE_B_OUTPUT_CSC_COEFF_RU_GU 0x49158
10288#define _PIPE_B_OUTPUT_CSC_COEFF_BU 0x4915c
10289#define _PIPE_B_OUTPUT_CSC_COEFF_RV_GV 0x49160
10290#define _PIPE_B_OUTPUT_CSC_COEFF_BV 0x49164
10291#define _PIPE_B_OUTPUT_CSC_PREOFF_HI 0x49168
10292#define _PIPE_B_OUTPUT_CSC_PREOFF_ME 0x4916c
10293#define _PIPE_B_OUTPUT_CSC_PREOFF_LO 0x49170
10294#define _PIPE_B_OUTPUT_CSC_POSTOFF_HI 0x49174
10295#define _PIPE_B_OUTPUT_CSC_POSTOFF_ME 0x49178
10296#define _PIPE_B_OUTPUT_CSC_POSTOFF_LO 0x4917c
10297
10298#define PIPE_CSC_OUTPUT_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe,\
10299 _PIPE_A_OUTPUT_CSC_COEFF_RY_GY,\
10300 _PIPE_B_OUTPUT_CSC_COEFF_RY_GY)
10301#define PIPE_CSC_OUTPUT_COEFF_BY(pipe) _MMIO_PIPE(pipe, \
10302 _PIPE_A_OUTPUT_CSC_COEFF_BY, \
10303 _PIPE_B_OUTPUT_CSC_COEFF_BY)
10304#define PIPE_CSC_OUTPUT_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, \
10305 _PIPE_A_OUTPUT_CSC_COEFF_RU_GU, \
10306 _PIPE_B_OUTPUT_CSC_COEFF_RU_GU)
10307#define PIPE_CSC_OUTPUT_COEFF_BU(pipe) _MMIO_PIPE(pipe, \
10308 _PIPE_A_OUTPUT_CSC_COEFF_BU, \
10309 _PIPE_B_OUTPUT_CSC_COEFF_BU)
10310#define PIPE_CSC_OUTPUT_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, \
10311 _PIPE_A_OUTPUT_CSC_COEFF_RV_GV, \
10312 _PIPE_B_OUTPUT_CSC_COEFF_RV_GV)
10313#define PIPE_CSC_OUTPUT_COEFF_BV(pipe) _MMIO_PIPE(pipe, \
10314 _PIPE_A_OUTPUT_CSC_COEFF_BV, \
10315 _PIPE_B_OUTPUT_CSC_COEFF_BV)
10316#define PIPE_CSC_OUTPUT_PREOFF_HI(pipe) _MMIO_PIPE(pipe, \
10317 _PIPE_A_OUTPUT_CSC_PREOFF_HI, \
10318 _PIPE_B_OUTPUT_CSC_PREOFF_HI)
10319#define PIPE_CSC_OUTPUT_PREOFF_ME(pipe) _MMIO_PIPE(pipe, \
10320 _PIPE_A_OUTPUT_CSC_PREOFF_ME, \
10321 _PIPE_B_OUTPUT_CSC_PREOFF_ME)
10322#define PIPE_CSC_OUTPUT_PREOFF_LO(pipe) _MMIO_PIPE(pipe, \
10323 _PIPE_A_OUTPUT_CSC_PREOFF_LO, \
10324 _PIPE_B_OUTPUT_CSC_PREOFF_LO)
10325#define PIPE_CSC_OUTPUT_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, \
10326 _PIPE_A_OUTPUT_CSC_POSTOFF_HI, \
10327 _PIPE_B_OUTPUT_CSC_POSTOFF_HI)
10328#define PIPE_CSC_OUTPUT_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, \
10329 _PIPE_A_OUTPUT_CSC_POSTOFF_ME, \
10330 _PIPE_B_OUTPUT_CSC_POSTOFF_ME)
10331#define PIPE_CSC_OUTPUT_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, \
10332 _PIPE_A_OUTPUT_CSC_POSTOFF_LO, \
10333 _PIPE_B_OUTPUT_CSC_POSTOFF_LO)
10334
82cf435b
LL
10335/* pipe degamma/gamma LUTs on IVB+ */
10336#define _PAL_PREC_INDEX_A 0x4A400
10337#define _PAL_PREC_INDEX_B 0x4AC00
10338#define _PAL_PREC_INDEX_C 0x4B400
10339#define PAL_PREC_10_12_BIT (0 << 31)
10340#define PAL_PREC_SPLIT_MODE (1 << 31)
10341#define PAL_PREC_AUTO_INCREMENT (1 << 15)
2fcb2066 10342#define PAL_PREC_INDEX_VALUE_MASK (0x3ff << 0)
5bda1aca 10343#define PAL_PREC_INDEX_VALUE(x) ((x) << 0)
82cf435b
LL
10344#define _PAL_PREC_DATA_A 0x4A404
10345#define _PAL_PREC_DATA_B 0x4AC04
10346#define _PAL_PREC_DATA_C 0x4B404
10347#define _PAL_PREC_GC_MAX_A 0x4A410
10348#define _PAL_PREC_GC_MAX_B 0x4AC10
10349#define _PAL_PREC_GC_MAX_C 0x4B410
10350#define _PAL_PREC_EXT_GC_MAX_A 0x4A420
10351#define _PAL_PREC_EXT_GC_MAX_B 0x4AC20
10352#define _PAL_PREC_EXT_GC_MAX_C 0x4B420
9751bafc
ACO
10353#define _PAL_PREC_EXT2_GC_MAX_A 0x4A430
10354#define _PAL_PREC_EXT2_GC_MAX_B 0x4AC30
10355#define _PAL_PREC_EXT2_GC_MAX_C 0x4B430
82cf435b
LL
10356
10357#define PREC_PAL_INDEX(pipe) _MMIO_PIPE(pipe, _PAL_PREC_INDEX_A, _PAL_PREC_INDEX_B)
10358#define PREC_PAL_DATA(pipe) _MMIO_PIPE(pipe, _PAL_PREC_DATA_A, _PAL_PREC_DATA_B)
10359#define PREC_PAL_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_GC_MAX_A, _PAL_PREC_GC_MAX_B) + (i) * 4)
10360#define PREC_PAL_EXT_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT_GC_MAX_A, _PAL_PREC_EXT_GC_MAX_B) + (i) * 4)
502da13a 10361#define PREC_PAL_EXT2_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT2_GC_MAX_A, _PAL_PREC_EXT2_GC_MAX_B) + (i) * 4)
82cf435b 10362
9751bafc
ACO
10363#define _PRE_CSC_GAMC_INDEX_A 0x4A484
10364#define _PRE_CSC_GAMC_INDEX_B 0x4AC84
10365#define _PRE_CSC_GAMC_INDEX_C 0x4B484
10366#define PRE_CSC_GAMC_AUTO_INCREMENT (1 << 10)
10367#define _PRE_CSC_GAMC_DATA_A 0x4A488
10368#define _PRE_CSC_GAMC_DATA_B 0x4AC88
10369#define _PRE_CSC_GAMC_DATA_C 0x4B488
10370
10371#define PRE_CSC_GAMC_INDEX(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_INDEX_A, _PRE_CSC_GAMC_INDEX_B)
10372#define PRE_CSC_GAMC_DATA(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_DATA_A, _PRE_CSC_GAMC_DATA_B)
10373
377c70ed
US
10374/* ICL Multi segmented gamma */
10375#define _PAL_PREC_MULTI_SEG_INDEX_A 0x4A408
10376#define _PAL_PREC_MULTI_SEG_INDEX_B 0x4AC08
10377#define PAL_PREC_MULTI_SEGMENT_AUTO_INCREMENT REG_BIT(15)
10378#define PAL_PREC_MULTI_SEGMENT_INDEX_VALUE_MASK REG_GENMASK(4, 0)
10379
10380#define _PAL_PREC_MULTI_SEG_DATA_A 0x4A40C
10381#define _PAL_PREC_MULTI_SEG_DATA_B 0x4AC0C
10382
10383#define PREC_PAL_MULTI_SEG_INDEX(pipe) _MMIO_PIPE(pipe, \
10384 _PAL_PREC_MULTI_SEG_INDEX_A, \
10385 _PAL_PREC_MULTI_SEG_INDEX_B)
10386#define PREC_PAL_MULTI_SEG_DATA(pipe) _MMIO_PIPE(pipe, \
10387 _PAL_PREC_MULTI_SEG_DATA_A, \
10388 _PAL_PREC_MULTI_SEG_DATA_B)
10389
29dc3739
LL
10390/* pipe CSC & degamma/gamma LUTs on CHV */
10391#define _CGM_PIPE_A_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x67900)
10392#define _CGM_PIPE_A_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x67904)
10393#define _CGM_PIPE_A_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x67908)
10394#define _CGM_PIPE_A_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6790C)
10395#define _CGM_PIPE_A_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x67910)
10396#define _CGM_PIPE_A_DEGAMMA (VLV_DISPLAY_BASE + 0x66000)
10397#define _CGM_PIPE_A_GAMMA (VLV_DISPLAY_BASE + 0x67000)
10398#define _CGM_PIPE_A_MODE (VLV_DISPLAY_BASE + 0x67A00)
10399#define CGM_PIPE_MODE_GAMMA (1 << 2)
10400#define CGM_PIPE_MODE_CSC (1 << 1)
10401#define CGM_PIPE_MODE_DEGAMMA (1 << 0)
10402
10403#define _CGM_PIPE_B_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x69900)
10404#define _CGM_PIPE_B_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x69904)
10405#define _CGM_PIPE_B_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x69908)
10406#define _CGM_PIPE_B_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6990C)
10407#define _CGM_PIPE_B_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x69910)
10408#define _CGM_PIPE_B_DEGAMMA (VLV_DISPLAY_BASE + 0x68000)
10409#define _CGM_PIPE_B_GAMMA (VLV_DISPLAY_BASE + 0x69000)
10410#define _CGM_PIPE_B_MODE (VLV_DISPLAY_BASE + 0x69A00)
10411
10412#define CGM_PIPE_CSC_COEFF01(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF01, _CGM_PIPE_B_CSC_COEFF01)
10413#define CGM_PIPE_CSC_COEFF23(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF23, _CGM_PIPE_B_CSC_COEFF23)
10414#define CGM_PIPE_CSC_COEFF45(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF45, _CGM_PIPE_B_CSC_COEFF45)
10415#define CGM_PIPE_CSC_COEFF67(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF67, _CGM_PIPE_B_CSC_COEFF67)
10416#define CGM_PIPE_CSC_COEFF8(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF8, _CGM_PIPE_B_CSC_COEFF8)
10417#define CGM_PIPE_DEGAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_DEGAMMA, _CGM_PIPE_B_DEGAMMA) + (i) * 8 + (w) * 4)
10418#define CGM_PIPE_GAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_GAMMA, _CGM_PIPE_B_GAMMA) + (i) * 8 + (w) * 4)
10419#define CGM_PIPE_MODE(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_MODE, _CGM_PIPE_B_MODE)
10420
e7d7cad0
JN
10421/* MIPI DSI registers */
10422
0ad4dc88 10423#define _MIPI_PORT(port, a, c) (((port) == PORT_A) ? a : c) /* ports A and C only */
f0f59a00 10424#define _MMIO_MIPI(port, a, c) _MMIO(_MIPI_PORT(port, a, c))
3230bf14 10425
292272ee
MC
10426/* Gen11 DSI */
10427#define _MMIO_DSI(tc, dsi0, dsi1) _MMIO_TRANS((tc) - TRANSCODER_DSI_0, \
10428 dsi0, dsi1)
10429
bcc65700
D
10430#define MIPIO_TXESC_CLK_DIV1 _MMIO(0x160004)
10431#define GLK_TX_ESC_CLK_DIV1_MASK 0x3FF
10432#define MIPIO_TXESC_CLK_DIV2 _MMIO(0x160008)
10433#define GLK_TX_ESC_CLK_DIV2_MASK 0x3FF
10434
27efd256
MC
10435#define _ICL_DSI_ESC_CLK_DIV0 0x6b090
10436#define _ICL_DSI_ESC_CLK_DIV1 0x6b890
10437#define ICL_DSI_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10438 _ICL_DSI_ESC_CLK_DIV0, \
10439 _ICL_DSI_ESC_CLK_DIV1)
10440#define _ICL_DPHY_ESC_CLK_DIV0 0x162190
10441#define _ICL_DPHY_ESC_CLK_DIV1 0x6C190
10442#define ICL_DPHY_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10443 _ICL_DPHY_ESC_CLK_DIV0, \
10444 _ICL_DPHY_ESC_CLK_DIV1)
10445#define ICL_BYTE_CLK_PER_ESC_CLK_MASK (0x1f << 16)
10446#define ICL_BYTE_CLK_PER_ESC_CLK_SHIFT 16
10447#define ICL_ESC_CLK_DIV_MASK 0x1ff
10448#define ICL_ESC_CLK_DIV_SHIFT 0
fcfe0bdc 10449#define DSI_MAX_ESC_CLK 20000 /* in KHz */
27efd256 10450
aec0246f
US
10451/* Gen4+ Timestamp and Pipe Frame time stamp registers */
10452#define GEN4_TIMESTAMP _MMIO(0x2358)
10453#define ILK_TIMESTAMP_HI _MMIO(0x70070)
10454#define IVB_TIMESTAMP_CTR _MMIO(0x44070)
10455
dab91783
LL
10456#define GEN9_TIMESTAMP_OVERRIDE _MMIO(0x44074)
10457#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_SHIFT 0
10458#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_MASK 0x3ff
10459#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_SHIFT 12
10460#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_MASK (0xf << 12)
10461
aec0246f
US
10462#define _PIPE_FRMTMSTMP_A 0x70048
10463#define PIPE_FRMTMSTMP(pipe) \
10464 _MMIO_PIPE2(pipe, _PIPE_FRMTMSTMP_A)
10465
11b8e4f5
SS
10466/* BXT MIPI clock controls */
10467#define BXT_MAX_VAR_OUTPUT_KHZ 39500
10468
f0f59a00 10469#define BXT_MIPI_CLOCK_CTL _MMIO(0x46090)
11b8e4f5
SS
10470#define BXT_MIPI1_DIV_SHIFT 26
10471#define BXT_MIPI2_DIV_SHIFT 10
10472#define BXT_MIPI_DIV_SHIFT(port) \
10473 _MIPI_PORT(port, BXT_MIPI1_DIV_SHIFT, \
10474 BXT_MIPI2_DIV_SHIFT)
782d25ca 10475
11b8e4f5 10476/* TX control divider to select actual TX clock output from (8x/var) */
782d25ca
D
10477#define BXT_MIPI1_TX_ESCLK_SHIFT 26
10478#define BXT_MIPI2_TX_ESCLK_SHIFT 10
11b8e4f5
SS
10479#define BXT_MIPI_TX_ESCLK_SHIFT(port) \
10480 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_SHIFT, \
10481 BXT_MIPI2_TX_ESCLK_SHIFT)
782d25ca
D
10482#define BXT_MIPI1_TX_ESCLK_FIXDIV_MASK (0x3F << 26)
10483#define BXT_MIPI2_TX_ESCLK_FIXDIV_MASK (0x3F << 10)
11b8e4f5
SS
10484#define BXT_MIPI_TX_ESCLK_FIXDIV_MASK(port) \
10485 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_FIXDIV_MASK, \
782d25ca
D
10486 BXT_MIPI2_TX_ESCLK_FIXDIV_MASK)
10487#define BXT_MIPI_TX_ESCLK_DIVIDER(port, val) \
9e8789ec 10488 (((val) & 0x3F) << BXT_MIPI_TX_ESCLK_SHIFT(port))
782d25ca
D
10489/* RX upper control divider to select actual RX clock output from 8x */
10490#define BXT_MIPI1_RX_ESCLK_UPPER_SHIFT 21
10491#define BXT_MIPI2_RX_ESCLK_UPPER_SHIFT 5
10492#define BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port) \
10493 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_SHIFT, \
10494 BXT_MIPI2_RX_ESCLK_UPPER_SHIFT)
10495#define BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 21)
10496#define BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 5)
10497#define BXT_MIPI_RX_ESCLK_UPPER_FIXDIV_MASK(port) \
10498 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK, \
10499 BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK)
10500#define BXT_MIPI_RX_ESCLK_UPPER_DIVIDER(port, val) \
9e8789ec 10501 (((val) & 3) << BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port))
782d25ca
D
10502/* 8/3X divider to select the actual 8/3X clock output from 8x */
10503#define BXT_MIPI1_8X_BY3_SHIFT 19
10504#define BXT_MIPI2_8X_BY3_SHIFT 3
10505#define BXT_MIPI_8X_BY3_SHIFT(port) \
10506 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_SHIFT, \
10507 BXT_MIPI2_8X_BY3_SHIFT)
10508#define BXT_MIPI1_8X_BY3_DIVIDER_MASK (3 << 19)
10509#define BXT_MIPI2_8X_BY3_DIVIDER_MASK (3 << 3)
10510#define BXT_MIPI_8X_BY3_DIVIDER_MASK(port) \
10511 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_DIVIDER_MASK, \
10512 BXT_MIPI2_8X_BY3_DIVIDER_MASK)
10513#define BXT_MIPI_8X_BY3_DIVIDER(port, val) \
9e8789ec 10514 (((val) & 3) << BXT_MIPI_8X_BY3_SHIFT(port))
782d25ca
D
10515/* RX lower control divider to select actual RX clock output from 8x */
10516#define BXT_MIPI1_RX_ESCLK_LOWER_SHIFT 16
10517#define BXT_MIPI2_RX_ESCLK_LOWER_SHIFT 0
10518#define BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port) \
10519 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_SHIFT, \
10520 BXT_MIPI2_RX_ESCLK_LOWER_SHIFT)
10521#define BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 16)
10522#define BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 0)
10523#define BXT_MIPI_RX_ESCLK_LOWER_FIXDIV_MASK(port) \
10524 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK, \
10525 BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK)
10526#define BXT_MIPI_RX_ESCLK_LOWER_DIVIDER(port, val) \
9e8789ec 10527 (((val) & 3) << BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port))
782d25ca
D
10528
10529#define RX_DIVIDER_BIT_1_2 0x3
10530#define RX_DIVIDER_BIT_3_4 0xC
11b8e4f5 10531
d2e08c0f
SS
10532/* BXT MIPI mode configure */
10533#define _BXT_MIPIA_TRANS_HACTIVE 0x6B0F8
10534#define _BXT_MIPIC_TRANS_HACTIVE 0x6B8F8
f0f59a00 10535#define BXT_MIPI_TRANS_HACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10536 _BXT_MIPIA_TRANS_HACTIVE, _BXT_MIPIC_TRANS_HACTIVE)
10537
10538#define _BXT_MIPIA_TRANS_VACTIVE 0x6B0FC
10539#define _BXT_MIPIC_TRANS_VACTIVE 0x6B8FC
f0f59a00 10540#define BXT_MIPI_TRANS_VACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10541 _BXT_MIPIA_TRANS_VACTIVE, _BXT_MIPIC_TRANS_VACTIVE)
10542
10543#define _BXT_MIPIA_TRANS_VTOTAL 0x6B100
10544#define _BXT_MIPIC_TRANS_VTOTAL 0x6B900
f0f59a00 10545#define BXT_MIPI_TRANS_VTOTAL(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10546 _BXT_MIPIA_TRANS_VTOTAL, _BXT_MIPIC_TRANS_VTOTAL)
10547
f0f59a00 10548#define BXT_DSI_PLL_CTL _MMIO(0x161000)
cfe01a5e
SS
10549#define BXT_DSI_PLL_PVD_RATIO_SHIFT 16
10550#define BXT_DSI_PLL_PVD_RATIO_MASK (3 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
10551#define BXT_DSI_PLL_PVD_RATIO_1 (1 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
f340c2ff 10552#define BXT_DSIC_16X_BY1 (0 << 10)
cfe01a5e
SS
10553#define BXT_DSIC_16X_BY2 (1 << 10)
10554#define BXT_DSIC_16X_BY3 (2 << 10)
10555#define BXT_DSIC_16X_BY4 (3 << 10)
db18b6a6 10556#define BXT_DSIC_16X_MASK (3 << 10)
f340c2ff 10557#define BXT_DSIA_16X_BY1 (0 << 8)
cfe01a5e
SS
10558#define BXT_DSIA_16X_BY2 (1 << 8)
10559#define BXT_DSIA_16X_BY3 (2 << 8)
10560#define BXT_DSIA_16X_BY4 (3 << 8)
db18b6a6 10561#define BXT_DSIA_16X_MASK (3 << 8)
cfe01a5e
SS
10562#define BXT_DSI_FREQ_SEL_SHIFT 8
10563#define BXT_DSI_FREQ_SEL_MASK (0xF << BXT_DSI_FREQ_SEL_SHIFT)
10564
10565#define BXT_DSI_PLL_RATIO_MAX 0x7D
10566#define BXT_DSI_PLL_RATIO_MIN 0x22
f340c2ff
D
10567#define GLK_DSI_PLL_RATIO_MAX 0x6F
10568#define GLK_DSI_PLL_RATIO_MIN 0x22
cfe01a5e 10569#define BXT_DSI_PLL_RATIO_MASK 0xFF
61ad9928 10570#define BXT_REF_CLOCK_KHZ 19200
cfe01a5e 10571
f0f59a00 10572#define BXT_DSI_PLL_ENABLE _MMIO(0x46080)
cfe01a5e
SS
10573#define BXT_DSI_PLL_DO_ENABLE (1 << 31)
10574#define BXT_DSI_PLL_LOCKED (1 << 30)
10575
3230bf14 10576#define _MIPIA_PORT_CTRL (VLV_DISPLAY_BASE + 0x61190)
e7d7cad0 10577#define _MIPIC_PORT_CTRL (VLV_DISPLAY_BASE + 0x61700)
f0f59a00 10578#define MIPI_PORT_CTRL(port) _MMIO_MIPI(port, _MIPIA_PORT_CTRL, _MIPIC_PORT_CTRL)
37ab0810
SS
10579
10580 /* BXT port control */
10581#define _BXT_MIPIA_PORT_CTRL 0x6B0C0
10582#define _BXT_MIPIC_PORT_CTRL 0x6B8C0
f0f59a00 10583#define BXT_MIPI_PORT_CTRL(tc) _MMIO_MIPI(tc, _BXT_MIPIA_PORT_CTRL, _BXT_MIPIC_PORT_CTRL)
37ab0810 10584
21652f3b
MC
10585/* ICL DSI MODE control */
10586#define _ICL_DSI_IO_MODECTL_0 0x6B094
10587#define _ICL_DSI_IO_MODECTL_1 0x6B894
10588#define ICL_DSI_IO_MODECTL(port) _MMIO_PORT(port, \
10589 _ICL_DSI_IO_MODECTL_0, \
10590 _ICL_DSI_IO_MODECTL_1)
10591#define COMBO_PHY_MODE_DSI (1 << 0)
10592
8b1b558d
AS
10593/* Display Stream Splitter Control */
10594#define DSS_CTL1 _MMIO(0x67400)
10595#define SPLITTER_ENABLE (1 << 31)
10596#define JOINER_ENABLE (1 << 30)
10597#define DUAL_LINK_MODE_INTERLEAVE (1 << 24)
10598#define DUAL_LINK_MODE_FRONTBACK (0 << 24)
10599#define OVERLAP_PIXELS_MASK (0xf << 16)
10600#define OVERLAP_PIXELS(pixels) ((pixels) << 16)
10601#define LEFT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10602#define LEFT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
18cde299 10603#define MAX_DL_BUFFER_TARGET_DEPTH 0x5a0
8b1b558d
AS
10604
10605#define DSS_CTL2 _MMIO(0x67404)
10606#define LEFT_BRANCH_VDSC_ENABLE (1 << 31)
10607#define RIGHT_BRANCH_VDSC_ENABLE (1 << 15)
10608#define RIGHT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10609#define RIGHT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
10610
18cde299
AS
10611#define _ICL_PIPE_DSS_CTL1_PB 0x78200
10612#define _ICL_PIPE_DSS_CTL1_PC 0x78400
10613#define ICL_PIPE_DSS_CTL1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10614 _ICL_PIPE_DSS_CTL1_PB, \
10615 _ICL_PIPE_DSS_CTL1_PC)
8b1b558d
AS
10616#define BIG_JOINER_ENABLE (1 << 29)
10617#define MASTER_BIG_JOINER_ENABLE (1 << 28)
10618#define VGA_CENTERING_ENABLE (1 << 27)
10619
18cde299
AS
10620#define _ICL_PIPE_DSS_CTL2_PB 0x78204
10621#define _ICL_PIPE_DSS_CTL2_PC 0x78404
10622#define ICL_PIPE_DSS_CTL2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10623 _ICL_PIPE_DSS_CTL2_PB, \
10624 _ICL_PIPE_DSS_CTL2_PC)
8b1b558d 10625
1881a423
US
10626#define BXT_P_DSI_REGULATOR_CFG _MMIO(0x160020)
10627#define STAP_SELECT (1 << 0)
10628
10629#define BXT_P_DSI_REGULATOR_TX_CTRL _MMIO(0x160054)
10630#define HS_IO_CTRL_SELECT (1 << 0)
10631
e7d7cad0 10632#define DPI_ENABLE (1 << 31) /* A + C */
3230bf14
JN
10633#define MIPIA_MIPI4DPHY_DELAY_COUNT_SHIFT 27
10634#define MIPIA_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 27)
369602d3 10635#define DUAL_LINK_MODE_SHIFT 26
3230bf14
JN
10636#define DUAL_LINK_MODE_MASK (1 << 26)
10637#define DUAL_LINK_MODE_FRONT_BACK (0 << 26)
10638#define DUAL_LINK_MODE_PIXEL_ALTERNATIVE (1 << 26)
e7d7cad0 10639#define DITHERING_ENABLE (1 << 25) /* A + C */
3230bf14
JN
10640#define FLOPPED_HSTX (1 << 23)
10641#define DE_INVERT (1 << 19) /* XXX */
10642#define MIPIA_FLISDSI_DELAY_COUNT_SHIFT 18
10643#define MIPIA_FLISDSI_DELAY_COUNT_MASK (0xf << 18)
10644#define AFE_LATCHOUT (1 << 17)
10645#define LP_OUTPUT_HOLD (1 << 16)
e7d7cad0
JN
10646#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_SHIFT 15
10647#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_MASK (1 << 15)
10648#define MIPIC_MIPI4DPHY_DELAY_COUNT_SHIFT 11
10649#define MIPIC_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 11)
3230bf14
JN
10650#define CSB_SHIFT 9
10651#define CSB_MASK (3 << 9)
10652#define CSB_20MHZ (0 << 9)
10653#define CSB_10MHZ (1 << 9)
10654#define CSB_40MHZ (2 << 9)
10655#define BANDGAP_MASK (1 << 8)
10656#define BANDGAP_PNW_CIRCUIT (0 << 8)
10657#define BANDGAP_LNC_CIRCUIT (1 << 8)
e7d7cad0
JN
10658#define MIPIC_FLISDSI_DELAY_COUNT_LOW_SHIFT 5
10659#define MIPIC_FLISDSI_DELAY_COUNT_LOW_MASK (7 << 5)
10660#define TEARING_EFFECT_DELAY (1 << 4) /* A + C */
10661#define TEARING_EFFECT_SHIFT 2 /* A + C */
3230bf14
JN
10662#define TEARING_EFFECT_MASK (3 << 2)
10663#define TEARING_EFFECT_OFF (0 << 2)
10664#define TEARING_EFFECT_DSI (1 << 2)
10665#define TEARING_EFFECT_GPIO (2 << 2)
10666#define LANE_CONFIGURATION_SHIFT 0
10667#define LANE_CONFIGURATION_MASK (3 << 0)
10668#define LANE_CONFIGURATION_4LANE (0 << 0)
10669#define LANE_CONFIGURATION_DUAL_LINK_A (1 << 0)
10670#define LANE_CONFIGURATION_DUAL_LINK_B (2 << 0)
10671
10672#define _MIPIA_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61194)
e7d7cad0 10673#define _MIPIC_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61704)
f0f59a00 10674#define MIPI_TEARING_CTRL(port) _MMIO_MIPI(port, _MIPIA_TEARING_CTRL, _MIPIC_TEARING_CTRL)
3230bf14
JN
10675#define TEARING_EFFECT_DELAY_SHIFT 0
10676#define TEARING_EFFECT_DELAY_MASK (0xffff << 0)
10677
10678/* XXX: all bits reserved */
4ad83e94 10679#define _MIPIA_AUTOPWG (VLV_DISPLAY_BASE + 0x611a0)
3230bf14
JN
10680
10681/* MIPI DSI Controller and D-PHY registers */
10682
4ad83e94 10683#define _MIPIA_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb000)
e7d7cad0 10684#define _MIPIC_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb800)
f0f59a00 10685#define MIPI_DEVICE_READY(port) _MMIO_MIPI(port, _MIPIA_DEVICE_READY, _MIPIC_DEVICE_READY)
3230bf14
JN
10686#define BUS_POSSESSION (1 << 3) /* set to give bus to receiver */
10687#define ULPS_STATE_MASK (3 << 1)
10688#define ULPS_STATE_ENTER (2 << 1)
10689#define ULPS_STATE_EXIT (1 << 1)
10690#define ULPS_STATE_NORMAL_OPERATION (0 << 1)
10691#define DEVICE_READY (1 << 0)
10692
4ad83e94 10693#define _MIPIA_INTR_STAT (dev_priv->mipi_mmio_base + 0xb004)
e7d7cad0 10694#define _MIPIC_INTR_STAT (dev_priv->mipi_mmio_base + 0xb804)
f0f59a00 10695#define MIPI_INTR_STAT(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT, _MIPIC_INTR_STAT)
4ad83e94 10696#define _MIPIA_INTR_EN (dev_priv->mipi_mmio_base + 0xb008)
e7d7cad0 10697#define _MIPIC_INTR_EN (dev_priv->mipi_mmio_base + 0xb808)
f0f59a00 10698#define MIPI_INTR_EN(port) _MMIO_MIPI(port, _MIPIA_INTR_EN, _MIPIC_INTR_EN)
3230bf14
JN
10699#define TEARING_EFFECT (1 << 31)
10700#define SPL_PKT_SENT_INTERRUPT (1 << 30)
10701#define GEN_READ_DATA_AVAIL (1 << 29)
10702#define LP_GENERIC_WR_FIFO_FULL (1 << 28)
10703#define HS_GENERIC_WR_FIFO_FULL (1 << 27)
10704#define RX_PROT_VIOLATION (1 << 26)
10705#define RX_INVALID_TX_LENGTH (1 << 25)
10706#define ACK_WITH_NO_ERROR (1 << 24)
10707#define TURN_AROUND_ACK_TIMEOUT (1 << 23)
10708#define LP_RX_TIMEOUT (1 << 22)
10709#define HS_TX_TIMEOUT (1 << 21)
10710#define DPI_FIFO_UNDERRUN (1 << 20)
10711#define LOW_CONTENTION (1 << 19)
10712#define HIGH_CONTENTION (1 << 18)
10713#define TXDSI_VC_ID_INVALID (1 << 17)
10714#define TXDSI_DATA_TYPE_NOT_RECOGNISED (1 << 16)
10715#define TXCHECKSUM_ERROR (1 << 15)
10716#define TXECC_MULTIBIT_ERROR (1 << 14)
10717#define TXECC_SINGLE_BIT_ERROR (1 << 13)
10718#define TXFALSE_CONTROL_ERROR (1 << 12)
10719#define RXDSI_VC_ID_INVALID (1 << 11)
10720#define RXDSI_DATA_TYPE_NOT_REGOGNISED (1 << 10)
10721#define RXCHECKSUM_ERROR (1 << 9)
10722#define RXECC_MULTIBIT_ERROR (1 << 8)
10723#define RXECC_SINGLE_BIT_ERROR (1 << 7)
10724#define RXFALSE_CONTROL_ERROR (1 << 6)
10725#define RXHS_RECEIVE_TIMEOUT_ERROR (1 << 5)
10726#define RX_LP_TX_SYNC_ERROR (1 << 4)
10727#define RXEXCAPE_MODE_ENTRY_ERROR (1 << 3)
10728#define RXEOT_SYNC_ERROR (1 << 2)
10729#define RXSOT_SYNC_ERROR (1 << 1)
10730#define RXSOT_ERROR (1 << 0)
10731
4ad83e94 10732#define _MIPIA_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb00c)
e7d7cad0 10733#define _MIPIC_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb80c)
f0f59a00 10734#define MIPI_DSI_FUNC_PRG(port) _MMIO_MIPI(port, _MIPIA_DSI_FUNC_PRG, _MIPIC_DSI_FUNC_PRG)
3230bf14
JN
10735#define CMD_MODE_DATA_WIDTH_MASK (7 << 13)
10736#define CMD_MODE_NOT_SUPPORTED (0 << 13)
10737#define CMD_MODE_DATA_WIDTH_16_BIT (1 << 13)
10738#define CMD_MODE_DATA_WIDTH_9_BIT (2 << 13)
10739#define CMD_MODE_DATA_WIDTH_8_BIT (3 << 13)
10740#define CMD_MODE_DATA_WIDTH_OPTION1 (4 << 13)
10741#define CMD_MODE_DATA_WIDTH_OPTION2 (5 << 13)
10742#define VID_MODE_FORMAT_MASK (0xf << 7)
10743#define VID_MODE_NOT_SUPPORTED (0 << 7)
10744#define VID_MODE_FORMAT_RGB565 (1 << 7)
42c151e6
JN
10745#define VID_MODE_FORMAT_RGB666_PACKED (2 << 7)
10746#define VID_MODE_FORMAT_RGB666 (3 << 7)
3230bf14
JN
10747#define VID_MODE_FORMAT_RGB888 (4 << 7)
10748#define CMD_MODE_CHANNEL_NUMBER_SHIFT 5
10749#define CMD_MODE_CHANNEL_NUMBER_MASK (3 << 5)
10750#define VID_MODE_CHANNEL_NUMBER_SHIFT 3
10751#define VID_MODE_CHANNEL_NUMBER_MASK (3 << 3)
10752#define DATA_LANES_PRG_REG_SHIFT 0
10753#define DATA_LANES_PRG_REG_MASK (7 << 0)
10754
4ad83e94 10755#define _MIPIA_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb010)
e7d7cad0 10756#define _MIPIC_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb810)
f0f59a00 10757#define MIPI_HS_TX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_HS_TX_TIMEOUT, _MIPIC_HS_TX_TIMEOUT)
3230bf14
JN
10758#define HIGH_SPEED_TX_TIMEOUT_COUNTER_MASK 0xffffff
10759
4ad83e94 10760#define _MIPIA_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb014)
e7d7cad0 10761#define _MIPIC_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb814)
f0f59a00 10762#define MIPI_LP_RX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_LP_RX_TIMEOUT, _MIPIC_LP_RX_TIMEOUT)
3230bf14
JN
10763#define LOW_POWER_RX_TIMEOUT_COUNTER_MASK 0xffffff
10764
4ad83e94 10765#define _MIPIA_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb018)
e7d7cad0 10766#define _MIPIC_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb818)
f0f59a00 10767#define MIPI_TURN_AROUND_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_TURN_AROUND_TIMEOUT, _MIPIC_TURN_AROUND_TIMEOUT)
3230bf14
JN
10768#define TURN_AROUND_TIMEOUT_MASK 0x3f
10769
4ad83e94 10770#define _MIPIA_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb01c)
e7d7cad0 10771#define _MIPIC_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb81c)
f0f59a00 10772#define MIPI_DEVICE_RESET_TIMER(port) _MMIO_MIPI(port, _MIPIA_DEVICE_RESET_TIMER, _MIPIC_DEVICE_RESET_TIMER)
3230bf14
JN
10773#define DEVICE_RESET_TIMER_MASK 0xffff
10774
4ad83e94 10775#define _MIPIA_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb020)
e7d7cad0 10776#define _MIPIC_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb820)
f0f59a00 10777#define MIPI_DPI_RESOLUTION(port) _MMIO_MIPI(port, _MIPIA_DPI_RESOLUTION, _MIPIC_DPI_RESOLUTION)
3230bf14
JN
10778#define VERTICAL_ADDRESS_SHIFT 16
10779#define VERTICAL_ADDRESS_MASK (0xffff << 16)
10780#define HORIZONTAL_ADDRESS_SHIFT 0
10781#define HORIZONTAL_ADDRESS_MASK 0xffff
10782
4ad83e94 10783#define _MIPIA_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb024)
e7d7cad0 10784#define _MIPIC_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb824)
f0f59a00 10785#define MIPI_DBI_FIFO_THROTTLE(port) _MMIO_MIPI(port, _MIPIA_DBI_FIFO_THROTTLE, _MIPIC_DBI_FIFO_THROTTLE)
3230bf14
JN
10786#define DBI_FIFO_EMPTY_HALF (0 << 0)
10787#define DBI_FIFO_EMPTY_QUARTER (1 << 0)
10788#define DBI_FIFO_EMPTY_7_LOCATIONS (2 << 0)
10789
10790/* regs below are bits 15:0 */
4ad83e94 10791#define _MIPIA_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb028)
e7d7cad0 10792#define _MIPIC_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb828)
f0f59a00 10793#define MIPI_HSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_HSYNC_PADDING_COUNT, _MIPIC_HSYNC_PADDING_COUNT)
3230bf14 10794
4ad83e94 10795#define _MIPIA_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb02c)
e7d7cad0 10796#define _MIPIC_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb82c)
f0f59a00 10797#define MIPI_HBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HBP_COUNT, _MIPIC_HBP_COUNT)
3230bf14 10798
4ad83e94 10799#define _MIPIA_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb030)
e7d7cad0 10800#define _MIPIC_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb830)
f0f59a00 10801#define MIPI_HFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HFP_COUNT, _MIPIC_HFP_COUNT)
3230bf14 10802
4ad83e94 10803#define _MIPIA_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb034)
e7d7cad0 10804#define _MIPIC_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb834)
f0f59a00 10805#define MIPI_HACTIVE_AREA_COUNT(port) _MMIO_MIPI(port, _MIPIA_HACTIVE_AREA_COUNT, _MIPIC_HACTIVE_AREA_COUNT)
3230bf14 10806
4ad83e94 10807#define _MIPIA_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb038)
e7d7cad0 10808#define _MIPIC_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb838)
f0f59a00 10809#define MIPI_VSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_VSYNC_PADDING_COUNT, _MIPIC_VSYNC_PADDING_COUNT)
3230bf14 10810
4ad83e94 10811#define _MIPIA_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb03c)
e7d7cad0 10812#define _MIPIC_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb83c)
f0f59a00 10813#define MIPI_VBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VBP_COUNT, _MIPIC_VBP_COUNT)
3230bf14 10814
4ad83e94 10815#define _MIPIA_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb040)
e7d7cad0 10816#define _MIPIC_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb840)
f0f59a00 10817#define MIPI_VFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VFP_COUNT, _MIPIC_VFP_COUNT)
3230bf14 10818
4ad83e94 10819#define _MIPIA_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb044)
e7d7cad0 10820#define _MIPIC_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb844)
f0f59a00 10821#define MIPI_HIGH_LOW_SWITCH_COUNT(port) _MMIO_MIPI(port, _MIPIA_HIGH_LOW_SWITCH_COUNT, _MIPIC_HIGH_LOW_SWITCH_COUNT)
4ad83e94 10822
3230bf14
JN
10823/* regs above are bits 15:0 */
10824
4ad83e94 10825#define _MIPIA_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb048)
e7d7cad0 10826#define _MIPIC_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb848)
f0f59a00 10827#define MIPI_DPI_CONTROL(port) _MMIO_MIPI(port, _MIPIA_DPI_CONTROL, _MIPIC_DPI_CONTROL)
3230bf14
JN
10828#define DPI_LP_MODE (1 << 6)
10829#define BACKLIGHT_OFF (1 << 5)
10830#define BACKLIGHT_ON (1 << 4)
10831#define COLOR_MODE_OFF (1 << 3)
10832#define COLOR_MODE_ON (1 << 2)
10833#define TURN_ON (1 << 1)
10834#define SHUTDOWN (1 << 0)
10835
4ad83e94 10836#define _MIPIA_DPI_DATA (dev_priv->mipi_mmio_base + 0xb04c)
e7d7cad0 10837#define _MIPIC_DPI_DATA (dev_priv->mipi_mmio_base + 0xb84c)
f0f59a00 10838#define MIPI_DPI_DATA(port) _MMIO_MIPI(port, _MIPIA_DPI_DATA, _MIPIC_DPI_DATA)
3230bf14
JN
10839#define COMMAND_BYTE_SHIFT 0
10840#define COMMAND_BYTE_MASK (0x3f << 0)
10841
4ad83e94 10842#define _MIPIA_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb050)
e7d7cad0 10843#define _MIPIC_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb850)
f0f59a00 10844#define MIPI_INIT_COUNT(port) _MMIO_MIPI(port, _MIPIA_INIT_COUNT, _MIPIC_INIT_COUNT)
3230bf14
JN
10845#define MASTER_INIT_TIMER_SHIFT 0
10846#define MASTER_INIT_TIMER_MASK (0xffff << 0)
10847
4ad83e94 10848#define _MIPIA_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb054)
e7d7cad0 10849#define _MIPIC_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb854)
f0f59a00 10850#define MIPI_MAX_RETURN_PKT_SIZE(port) _MMIO_MIPI(port, \
e7d7cad0 10851 _MIPIA_MAX_RETURN_PKT_SIZE, _MIPIC_MAX_RETURN_PKT_SIZE)
3230bf14
JN
10852#define MAX_RETURN_PKT_SIZE_SHIFT 0
10853#define MAX_RETURN_PKT_SIZE_MASK (0x3ff << 0)
10854
4ad83e94 10855#define _MIPIA_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb058)
e7d7cad0 10856#define _MIPIC_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb858)
f0f59a00 10857#define MIPI_VIDEO_MODE_FORMAT(port) _MMIO_MIPI(port, _MIPIA_VIDEO_MODE_FORMAT, _MIPIC_VIDEO_MODE_FORMAT)
3230bf14
JN
10858#define RANDOM_DPI_DISPLAY_RESOLUTION (1 << 4)
10859#define DISABLE_VIDEO_BTA (1 << 3)
10860#define IP_TG_CONFIG (1 << 2)
10861#define VIDEO_MODE_NON_BURST_WITH_SYNC_PULSE (1 << 0)
10862#define VIDEO_MODE_NON_BURST_WITH_SYNC_EVENTS (2 << 0)
10863#define VIDEO_MODE_BURST (3 << 0)
10864
4ad83e94 10865#define _MIPIA_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb05c)
e7d7cad0 10866#define _MIPIC_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb85c)
f0f59a00 10867#define MIPI_EOT_DISABLE(port) _MMIO_MIPI(port, _MIPIA_EOT_DISABLE, _MIPIC_EOT_DISABLE)
f90e8c36
JN
10868#define BXT_DEFEATURE_DPI_FIFO_CTR (1 << 9)
10869#define BXT_DPHY_DEFEATURE_EN (1 << 8)
3230bf14
JN
10870#define LP_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 7)
10871#define HS_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 6)
10872#define LOW_CONTENTION_RECOVERY_DISABLE (1 << 5)
10873#define HIGH_CONTENTION_RECOVERY_DISABLE (1 << 4)
10874#define TXDSI_TYPE_NOT_RECOGNISED_ERROR_RECOVERY_DISABLE (1 << 3)
10875#define TXECC_MULTIBIT_ERROR_RECOVERY_DISABLE (1 << 2)
10876#define CLOCKSTOP (1 << 1)
10877#define EOT_DISABLE (1 << 0)
10878
4ad83e94 10879#define _MIPIA_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb060)
e7d7cad0 10880#define _MIPIC_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb860)
f0f59a00 10881#define MIPI_LP_BYTECLK(port) _MMIO_MIPI(port, _MIPIA_LP_BYTECLK, _MIPIC_LP_BYTECLK)
3230bf14
JN
10882#define LP_BYTECLK_SHIFT 0
10883#define LP_BYTECLK_MASK (0xffff << 0)
10884
b426f985
D
10885#define _MIPIA_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb0a4)
10886#define _MIPIC_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb8a4)
10887#define MIPI_TLPX_TIME_COUNT(port) _MMIO_MIPI(port, _MIPIA_TLPX_TIME_COUNT, _MIPIC_TLPX_TIME_COUNT)
10888
10889#define _MIPIA_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb098)
10890#define _MIPIC_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb898)
10891#define MIPI_CLK_LANE_TIMING(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_TIMING, _MIPIC_CLK_LANE_TIMING)
10892
3230bf14 10893/* bits 31:0 */
4ad83e94 10894#define _MIPIA_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb064)
e7d7cad0 10895#define _MIPIC_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb864)
f0f59a00 10896#define MIPI_LP_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_DATA, _MIPIC_LP_GEN_DATA)
3230bf14
JN
10897
10898/* bits 31:0 */
4ad83e94 10899#define _MIPIA_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb068)
e7d7cad0 10900#define _MIPIC_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb868)
f0f59a00 10901#define MIPI_HS_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_DATA, _MIPIC_HS_GEN_DATA)
3230bf14 10902
4ad83e94 10903#define _MIPIA_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb06c)
e7d7cad0 10904#define _MIPIC_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb86c)
f0f59a00 10905#define MIPI_LP_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_CTRL, _MIPIC_LP_GEN_CTRL)
4ad83e94 10906#define _MIPIA_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb070)
e7d7cad0 10907#define _MIPIC_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb870)
f0f59a00 10908#define MIPI_HS_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_CTRL, _MIPIC_HS_GEN_CTRL)
3230bf14
JN
10909#define LONG_PACKET_WORD_COUNT_SHIFT 8
10910#define LONG_PACKET_WORD_COUNT_MASK (0xffff << 8)
10911#define SHORT_PACKET_PARAM_SHIFT 8
10912#define SHORT_PACKET_PARAM_MASK (0xffff << 8)
10913#define VIRTUAL_CHANNEL_SHIFT 6
10914#define VIRTUAL_CHANNEL_MASK (3 << 6)
10915#define DATA_TYPE_SHIFT 0
395b2913 10916#define DATA_TYPE_MASK (0x3f << 0)
3230bf14
JN
10917/* data type values, see include/video/mipi_display.h */
10918
4ad83e94 10919#define _MIPIA_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb074)
e7d7cad0 10920#define _MIPIC_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb874)
f0f59a00 10921#define MIPI_GEN_FIFO_STAT(port) _MMIO_MIPI(port, _MIPIA_GEN_FIFO_STAT, _MIPIC_GEN_FIFO_STAT)
3230bf14
JN
10922#define DPI_FIFO_EMPTY (1 << 28)
10923#define DBI_FIFO_EMPTY (1 << 27)
10924#define LP_CTRL_FIFO_EMPTY (1 << 26)
10925#define LP_CTRL_FIFO_HALF_EMPTY (1 << 25)
10926#define LP_CTRL_FIFO_FULL (1 << 24)
10927#define HS_CTRL_FIFO_EMPTY (1 << 18)
10928#define HS_CTRL_FIFO_HALF_EMPTY (1 << 17)
10929#define HS_CTRL_FIFO_FULL (1 << 16)
10930#define LP_DATA_FIFO_EMPTY (1 << 10)
10931#define LP_DATA_FIFO_HALF_EMPTY (1 << 9)
10932#define LP_DATA_FIFO_FULL (1 << 8)
10933#define HS_DATA_FIFO_EMPTY (1 << 2)
10934#define HS_DATA_FIFO_HALF_EMPTY (1 << 1)
10935#define HS_DATA_FIFO_FULL (1 << 0)
10936
4ad83e94 10937#define _MIPIA_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb078)
e7d7cad0 10938#define _MIPIC_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb878)
f0f59a00 10939#define MIPI_HS_LP_DBI_ENABLE(port) _MMIO_MIPI(port, _MIPIA_HS_LS_DBI_ENABLE, _MIPIC_HS_LS_DBI_ENABLE)
3230bf14
JN
10940#define DBI_HS_LP_MODE_MASK (1 << 0)
10941#define DBI_LP_MODE (1 << 0)
10942#define DBI_HS_MODE (0 << 0)
10943
4ad83e94 10944#define _MIPIA_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb080)
e7d7cad0 10945#define _MIPIC_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb880)
f0f59a00 10946#define MIPI_DPHY_PARAM(port) _MMIO_MIPI(port, _MIPIA_DPHY_PARAM, _MIPIC_DPHY_PARAM)
3230bf14
JN
10947#define EXIT_ZERO_COUNT_SHIFT 24
10948#define EXIT_ZERO_COUNT_MASK (0x3f << 24)
10949#define TRAIL_COUNT_SHIFT 16
10950#define TRAIL_COUNT_MASK (0x1f << 16)
10951#define CLK_ZERO_COUNT_SHIFT 8
10952#define CLK_ZERO_COUNT_MASK (0xff << 8)
10953#define PREPARE_COUNT_SHIFT 0
10954#define PREPARE_COUNT_MASK (0x3f << 0)
10955
146cdf3f
MC
10956#define _ICL_DSI_T_INIT_MASTER_0 0x6b088
10957#define _ICL_DSI_T_INIT_MASTER_1 0x6b888
10958#define ICL_DSI_T_INIT_MASTER(port) _MMIO_PORT(port, \
10959 _ICL_DSI_T_INIT_MASTER_0,\
10960 _ICL_DSI_T_INIT_MASTER_1)
10961
33868a91
MC
10962#define _DPHY_CLK_TIMING_PARAM_0 0x162180
10963#define _DPHY_CLK_TIMING_PARAM_1 0x6c180
10964#define DPHY_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10965 _DPHY_CLK_TIMING_PARAM_0,\
10966 _DPHY_CLK_TIMING_PARAM_1)
10967#define _DSI_CLK_TIMING_PARAM_0 0x6b080
10968#define _DSI_CLK_TIMING_PARAM_1 0x6b880
10969#define DSI_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10970 _DSI_CLK_TIMING_PARAM_0,\
10971 _DSI_CLK_TIMING_PARAM_1)
10972#define CLK_PREPARE_OVERRIDE (1 << 31)
10973#define CLK_PREPARE(x) ((x) << 28)
10974#define CLK_PREPARE_MASK (0x7 << 28)
10975#define CLK_PREPARE_SHIFT 28
10976#define CLK_ZERO_OVERRIDE (1 << 27)
10977#define CLK_ZERO(x) ((x) << 20)
10978#define CLK_ZERO_MASK (0xf << 20)
10979#define CLK_ZERO_SHIFT 20
10980#define CLK_PRE_OVERRIDE (1 << 19)
10981#define CLK_PRE(x) ((x) << 16)
10982#define CLK_PRE_MASK (0x3 << 16)
10983#define CLK_PRE_SHIFT 16
10984#define CLK_POST_OVERRIDE (1 << 15)
10985#define CLK_POST(x) ((x) << 8)
10986#define CLK_POST_MASK (0x7 << 8)
10987#define CLK_POST_SHIFT 8
10988#define CLK_TRAIL_OVERRIDE (1 << 7)
10989#define CLK_TRAIL(x) ((x) << 0)
10990#define CLK_TRAIL_MASK (0xf << 0)
10991#define CLK_TRAIL_SHIFT 0
10992
10993#define _DPHY_DATA_TIMING_PARAM_0 0x162184
10994#define _DPHY_DATA_TIMING_PARAM_1 0x6c184
10995#define DPHY_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
10996 _DPHY_DATA_TIMING_PARAM_0,\
10997 _DPHY_DATA_TIMING_PARAM_1)
10998#define _DSI_DATA_TIMING_PARAM_0 0x6B084
10999#define _DSI_DATA_TIMING_PARAM_1 0x6B884
11000#define DSI_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
11001 _DSI_DATA_TIMING_PARAM_0,\
11002 _DSI_DATA_TIMING_PARAM_1)
11003#define HS_PREPARE_OVERRIDE (1 << 31)
11004#define HS_PREPARE(x) ((x) << 24)
11005#define HS_PREPARE_MASK (0x7 << 24)
11006#define HS_PREPARE_SHIFT 24
11007#define HS_ZERO_OVERRIDE (1 << 23)
11008#define HS_ZERO(x) ((x) << 16)
11009#define HS_ZERO_MASK (0xf << 16)
11010#define HS_ZERO_SHIFT 16
11011#define HS_TRAIL_OVERRIDE (1 << 15)
11012#define HS_TRAIL(x) ((x) << 8)
11013#define HS_TRAIL_MASK (0x7 << 8)
11014#define HS_TRAIL_SHIFT 8
11015#define HS_EXIT_OVERRIDE (1 << 7)
11016#define HS_EXIT(x) ((x) << 0)
11017#define HS_EXIT_MASK (0x7 << 0)
11018#define HS_EXIT_SHIFT 0
11019
35c37ade
MC
11020#define _DPHY_TA_TIMING_PARAM_0 0x162188
11021#define _DPHY_TA_TIMING_PARAM_1 0x6c188
11022#define DPHY_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
11023 _DPHY_TA_TIMING_PARAM_0,\
11024 _DPHY_TA_TIMING_PARAM_1)
11025#define _DSI_TA_TIMING_PARAM_0 0x6b098
11026#define _DSI_TA_TIMING_PARAM_1 0x6b898
11027#define DSI_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
11028 _DSI_TA_TIMING_PARAM_0,\
11029 _DSI_TA_TIMING_PARAM_1)
11030#define TA_SURE_OVERRIDE (1 << 31)
11031#define TA_SURE(x) ((x) << 16)
11032#define TA_SURE_MASK (0x1f << 16)
11033#define TA_SURE_SHIFT 16
11034#define TA_GO_OVERRIDE (1 << 15)
11035#define TA_GO(x) ((x) << 8)
11036#define TA_GO_MASK (0xf << 8)
11037#define TA_GO_SHIFT 8
11038#define TA_GET_OVERRIDE (1 << 7)
11039#define TA_GET(x) ((x) << 0)
11040#define TA_GET_MASK (0xf << 0)
11041#define TA_GET_SHIFT 0
11042
5ffce254
MC
11043/* DSI transcoder configuration */
11044#define _DSI_TRANS_FUNC_CONF_0 0x6b030
11045#define _DSI_TRANS_FUNC_CONF_1 0x6b830
11046#define DSI_TRANS_FUNC_CONF(tc) _MMIO_DSI(tc, \
11047 _DSI_TRANS_FUNC_CONF_0,\
11048 _DSI_TRANS_FUNC_CONF_1)
11049#define OP_MODE_MASK (0x3 << 28)
11050#define OP_MODE_SHIFT 28
11051#define CMD_MODE_NO_GATE (0x0 << 28)
11052#define CMD_MODE_TE_GATE (0x1 << 28)
11053#define VIDEO_MODE_SYNC_EVENT (0x2 << 28)
11054#define VIDEO_MODE_SYNC_PULSE (0x3 << 28)
11055#define LINK_READY (1 << 20)
11056#define PIX_FMT_MASK (0x3 << 16)
11057#define PIX_FMT_SHIFT 16
11058#define PIX_FMT_RGB565 (0x0 << 16)
11059#define PIX_FMT_RGB666_PACKED (0x1 << 16)
11060#define PIX_FMT_RGB666_LOOSE (0x2 << 16)
11061#define PIX_FMT_RGB888 (0x3 << 16)
11062#define PIX_FMT_RGB101010 (0x4 << 16)
11063#define PIX_FMT_RGB121212 (0x5 << 16)
11064#define PIX_FMT_COMPRESSED (0x6 << 16)
11065#define BGR_TRANSMISSION (1 << 15)
11066#define PIX_VIRT_CHAN(x) ((x) << 12)
11067#define PIX_VIRT_CHAN_MASK (0x3 << 12)
11068#define PIX_VIRT_CHAN_SHIFT 12
11069#define PIX_BUF_THRESHOLD_MASK (0x3 << 10)
11070#define PIX_BUF_THRESHOLD_SHIFT 10
11071#define PIX_BUF_THRESHOLD_1_4 (0x0 << 10)
11072#define PIX_BUF_THRESHOLD_1_2 (0x1 << 10)
11073#define PIX_BUF_THRESHOLD_3_4 (0x2 << 10)
11074#define PIX_BUF_THRESHOLD_FULL (0x3 << 10)
11075#define CONTINUOUS_CLK_MASK (0x3 << 8)
11076#define CONTINUOUS_CLK_SHIFT 8
11077#define CLK_ENTER_LP_AFTER_DATA (0x0 << 8)
11078#define CLK_HS_OR_LP (0x2 << 8)
11079#define CLK_HS_CONTINUOUS (0x3 << 8)
11080#define LINK_CALIBRATION_MASK (0x3 << 4)
11081#define LINK_CALIBRATION_SHIFT 4
11082#define CALIBRATION_DISABLED (0x0 << 4)
11083#define CALIBRATION_ENABLED_INITIAL_ONLY (0x2 << 4)
11084#define CALIBRATION_ENABLED_INITIAL_PERIODIC (0x3 << 4)
32d38e6c 11085#define BLANKING_PACKET_ENABLE (1 << 2)
5ffce254
MC
11086#define S3D_ORIENTATION_LANDSCAPE (1 << 1)
11087#define EOTP_DISABLED (1 << 0)
11088
60230aac
MC
11089#define _DSI_CMD_RXCTL_0 0x6b0d4
11090#define _DSI_CMD_RXCTL_1 0x6b8d4
11091#define DSI_CMD_RXCTL(tc) _MMIO_DSI(tc, \
11092 _DSI_CMD_RXCTL_0,\
11093 _DSI_CMD_RXCTL_1)
11094#define READ_UNLOADS_DW (1 << 16)
11095#define RECEIVED_UNASSIGNED_TRIGGER (1 << 15)
11096#define RECEIVED_ACKNOWLEDGE_TRIGGER (1 << 14)
11097#define RECEIVED_TEAR_EFFECT_TRIGGER (1 << 13)
11098#define RECEIVED_RESET_TRIGGER (1 << 12)
11099#define RECEIVED_PAYLOAD_WAS_LOST (1 << 11)
11100#define RECEIVED_CRC_WAS_LOST (1 << 10)
11101#define NUMBER_RX_PLOAD_DW_MASK (0xff << 0)
11102#define NUMBER_RX_PLOAD_DW_SHIFT 0
11103
11104#define _DSI_CMD_TXCTL_0 0x6b0d0
11105#define _DSI_CMD_TXCTL_1 0x6b8d0
11106#define DSI_CMD_TXCTL(tc) _MMIO_DSI(tc, \
11107 _DSI_CMD_TXCTL_0,\
11108 _DSI_CMD_TXCTL_1)
11109#define KEEP_LINK_IN_HS (1 << 24)
11110#define FREE_HEADER_CREDIT_MASK (0x1f << 8)
11111#define FREE_HEADER_CREDIT_SHIFT 0x8
11112#define FREE_PLOAD_CREDIT_MASK (0xff << 0)
11113#define FREE_PLOAD_CREDIT_SHIFT 0
11114#define MAX_HEADER_CREDIT 0x10
11115#define MAX_PLOAD_CREDIT 0x40
11116
808517e2
MC
11117#define _DSI_CMD_TXHDR_0 0x6b100
11118#define _DSI_CMD_TXHDR_1 0x6b900
11119#define DSI_CMD_TXHDR(tc) _MMIO_DSI(tc, \
11120 _DSI_CMD_TXHDR_0,\
11121 _DSI_CMD_TXHDR_1)
11122#define PAYLOAD_PRESENT (1 << 31)
11123#define LP_DATA_TRANSFER (1 << 30)
11124#define VBLANK_FENCE (1 << 29)
11125#define PARAM_WC_MASK (0xffff << 8)
11126#define PARAM_WC_LOWER_SHIFT 8
11127#define PARAM_WC_UPPER_SHIFT 16
11128#define VC_MASK (0x3 << 6)
11129#define VC_SHIFT 6
11130#define DT_MASK (0x3f << 0)
11131#define DT_SHIFT 0
11132
11133#define _DSI_CMD_TXPYLD_0 0x6b104
11134#define _DSI_CMD_TXPYLD_1 0x6b904
11135#define DSI_CMD_TXPYLD(tc) _MMIO_DSI(tc, \
11136 _DSI_CMD_TXPYLD_0,\
11137 _DSI_CMD_TXPYLD_1)
11138
60230aac
MC
11139#define _DSI_LP_MSG_0 0x6b0d8
11140#define _DSI_LP_MSG_1 0x6b8d8
11141#define DSI_LP_MSG(tc) _MMIO_DSI(tc, \
11142 _DSI_LP_MSG_0,\
11143 _DSI_LP_MSG_1)
11144#define LPTX_IN_PROGRESS (1 << 17)
11145#define LINK_IN_ULPS (1 << 16)
11146#define LINK_ULPS_TYPE_LP11 (1 << 8)
11147#define LINK_ENTER_ULPS (1 << 0)
11148
8bffd204
MC
11149/* DSI timeout registers */
11150#define _DSI_HSTX_TO_0 0x6b044
11151#define _DSI_HSTX_TO_1 0x6b844
11152#define DSI_HSTX_TO(tc) _MMIO_DSI(tc, \
11153 _DSI_HSTX_TO_0,\
11154 _DSI_HSTX_TO_1)
11155#define HSTX_TIMEOUT_VALUE_MASK (0xffff << 16)
11156#define HSTX_TIMEOUT_VALUE_SHIFT 16
11157#define HSTX_TIMEOUT_VALUE(x) ((x) << 16)
11158#define HSTX_TIMED_OUT (1 << 0)
11159
11160#define _DSI_LPRX_HOST_TO_0 0x6b048
11161#define _DSI_LPRX_HOST_TO_1 0x6b848
11162#define DSI_LPRX_HOST_TO(tc) _MMIO_DSI(tc, \
11163 _DSI_LPRX_HOST_TO_0,\
11164 _DSI_LPRX_HOST_TO_1)
11165#define LPRX_TIMED_OUT (1 << 16)
11166#define LPRX_TIMEOUT_VALUE_MASK (0xffff << 0)
11167#define LPRX_TIMEOUT_VALUE_SHIFT 0
11168#define LPRX_TIMEOUT_VALUE(x) ((x) << 0)
11169
11170#define _DSI_PWAIT_TO_0 0x6b040
11171#define _DSI_PWAIT_TO_1 0x6b840
11172#define DSI_PWAIT_TO(tc) _MMIO_DSI(tc, \
11173 _DSI_PWAIT_TO_0,\
11174 _DSI_PWAIT_TO_1)
11175#define PRESET_TIMEOUT_VALUE_MASK (0xffff << 16)
11176#define PRESET_TIMEOUT_VALUE_SHIFT 16
11177#define PRESET_TIMEOUT_VALUE(x) ((x) << 16)
11178#define PRESPONSE_TIMEOUT_VALUE_MASK (0xffff << 0)
11179#define PRESPONSE_TIMEOUT_VALUE_SHIFT 0
11180#define PRESPONSE_TIMEOUT_VALUE(x) ((x) << 0)
11181
11182#define _DSI_TA_TO_0 0x6b04c
11183#define _DSI_TA_TO_1 0x6b84c
11184#define DSI_TA_TO(tc) _MMIO_DSI(tc, \
11185 _DSI_TA_TO_0,\
11186 _DSI_TA_TO_1)
11187#define TA_TIMED_OUT (1 << 16)
11188#define TA_TIMEOUT_VALUE_MASK (0xffff << 0)
11189#define TA_TIMEOUT_VALUE_SHIFT 0
11190#define TA_TIMEOUT_VALUE(x) ((x) << 0)
11191
3230bf14 11192/* bits 31:0 */
4ad83e94 11193#define _MIPIA_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb084)
e7d7cad0 11194#define _MIPIC_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb884)
f0f59a00
VS
11195#define MIPI_DBI_BW_CTRL(port) _MMIO_MIPI(port, _MIPIA_DBI_BW_CTRL, _MIPIC_DBI_BW_CTRL)
11196
11197#define _MIPIA_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb088)
11198#define _MIPIC_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb888)
11199#define MIPI_CLK_LANE_SWITCH_TIME_CNT(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_SWITCH_TIME_CNT, _MIPIC_CLK_LANE_SWITCH_TIME_CNT)
3230bf14
JN
11200#define LP_HS_SSW_CNT_SHIFT 16
11201#define LP_HS_SSW_CNT_MASK (0xffff << 16)
11202#define HS_LP_PWR_SW_CNT_SHIFT 0
11203#define HS_LP_PWR_SW_CNT_MASK (0xffff << 0)
11204
4ad83e94 11205#define _MIPIA_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb08c)
e7d7cad0 11206#define _MIPIC_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb88c)
f0f59a00 11207#define MIPI_STOP_STATE_STALL(port) _MMIO_MIPI(port, _MIPIA_STOP_STATE_STALL, _MIPIC_STOP_STATE_STALL)
3230bf14
JN
11208#define STOP_STATE_STALL_COUNTER_SHIFT 0
11209#define STOP_STATE_STALL_COUNTER_MASK (0xff << 0)
11210
4ad83e94 11211#define _MIPIA_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb090)
e7d7cad0 11212#define _MIPIC_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb890)
f0f59a00 11213#define MIPI_INTR_STAT_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT_REG_1, _MIPIC_INTR_STAT_REG_1)
4ad83e94 11214#define _MIPIA_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb094)
e7d7cad0 11215#define _MIPIC_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb894)
f0f59a00 11216#define MIPI_INTR_EN_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_EN_REG_1, _MIPIC_INTR_EN_REG_1)
3230bf14
JN
11217#define RX_CONTENTION_DETECTED (1 << 0)
11218
11219/* XXX: only pipe A ?!? */
4ad83e94 11220#define MIPIA_DBI_TYPEC_CTRL (dev_priv->mipi_mmio_base + 0xb100)
3230bf14
JN
11221#define DBI_TYPEC_ENABLE (1 << 31)
11222#define DBI_TYPEC_WIP (1 << 30)
11223#define DBI_TYPEC_OPTION_SHIFT 28
11224#define DBI_TYPEC_OPTION_MASK (3 << 28)
11225#define DBI_TYPEC_FREQ_SHIFT 24
11226#define DBI_TYPEC_FREQ_MASK (0xf << 24)
11227#define DBI_TYPEC_OVERRIDE (1 << 8)
11228#define DBI_TYPEC_OVERRIDE_COUNTER_SHIFT 0
11229#define DBI_TYPEC_OVERRIDE_COUNTER_MASK (0xff << 0)
11230
11231
11232/* MIPI adapter registers */
11233
4ad83e94 11234#define _MIPIA_CTRL (dev_priv->mipi_mmio_base + 0xb104)
e7d7cad0 11235#define _MIPIC_CTRL (dev_priv->mipi_mmio_base + 0xb904)
f0f59a00 11236#define MIPI_CTRL(port) _MMIO_MIPI(port, _MIPIA_CTRL, _MIPIC_CTRL)
3230bf14
JN
11237#define ESCAPE_CLOCK_DIVIDER_SHIFT 5 /* A only */
11238#define ESCAPE_CLOCK_DIVIDER_MASK (3 << 5)
11239#define ESCAPE_CLOCK_DIVIDER_1 (0 << 5)
11240#define ESCAPE_CLOCK_DIVIDER_2 (1 << 5)
11241#define ESCAPE_CLOCK_DIVIDER_4 (2 << 5)
11242#define READ_REQUEST_PRIORITY_SHIFT 3
11243#define READ_REQUEST_PRIORITY_MASK (3 << 3)
11244#define READ_REQUEST_PRIORITY_LOW (0 << 3)
11245#define READ_REQUEST_PRIORITY_HIGH (3 << 3)
11246#define RGB_FLIP_TO_BGR (1 << 2)
11247
6b93e9c8 11248#define BXT_PIPE_SELECT_SHIFT 7
d2e08c0f 11249#define BXT_PIPE_SELECT_MASK (7 << 7)
56c48978 11250#define BXT_PIPE_SELECT(pipe) ((pipe) << 7)
093d680a
D
11251#define GLK_PHY_STATUS_PORT_READY (1 << 31) /* RO */
11252#define GLK_ULPS_NOT_ACTIVE (1 << 30) /* RO */
11253#define GLK_MIPIIO_RESET_RELEASED (1 << 28)
11254#define GLK_CLOCK_LANE_STOP_STATE (1 << 27) /* RO */
11255#define GLK_DATA_LANE_STOP_STATE (1 << 26) /* RO */
11256#define GLK_LP_WAKE (1 << 22)
11257#define GLK_LP11_LOW_PWR_MODE (1 << 21)
11258#define GLK_LP00_LOW_PWR_MODE (1 << 20)
11259#define GLK_FIREWALL_ENABLE (1 << 16)
11260#define BXT_PIXEL_OVERLAP_CNT_MASK (0xf << 10)
11261#define BXT_PIXEL_OVERLAP_CNT_SHIFT 10
11262#define BXT_DSC_ENABLE (1 << 3)
11263#define BXT_RGB_FLIP (1 << 2)
11264#define GLK_MIPIIO_PORT_POWERED (1 << 1) /* RO */
11265#define GLK_MIPIIO_ENABLE (1 << 0)
d2e08c0f 11266
4ad83e94 11267#define _MIPIA_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb108)
e7d7cad0 11268#define _MIPIC_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb908)
f0f59a00 11269#define MIPI_DATA_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_DATA_ADDRESS, _MIPIC_DATA_ADDRESS)
3230bf14
JN
11270#define DATA_MEM_ADDRESS_SHIFT 5
11271#define DATA_MEM_ADDRESS_MASK (0x7ffffff << 5)
11272#define DATA_VALID (1 << 0)
11273
4ad83e94 11274#define _MIPIA_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb10c)
e7d7cad0 11275#define _MIPIC_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb90c)
f0f59a00 11276#define MIPI_DATA_LENGTH(port) _MMIO_MIPI(port, _MIPIA_DATA_LENGTH, _MIPIC_DATA_LENGTH)
3230bf14
JN
11277#define DATA_LENGTH_SHIFT 0
11278#define DATA_LENGTH_MASK (0xfffff << 0)
11279
4ad83e94 11280#define _MIPIA_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb110)
e7d7cad0 11281#define _MIPIC_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb910)
f0f59a00 11282#define MIPI_COMMAND_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_COMMAND_ADDRESS, _MIPIC_COMMAND_ADDRESS)
3230bf14
JN
11283#define COMMAND_MEM_ADDRESS_SHIFT 5
11284#define COMMAND_MEM_ADDRESS_MASK (0x7ffffff << 5)
11285#define AUTO_PWG_ENABLE (1 << 2)
11286#define MEMORY_WRITE_DATA_FROM_PIPE_RENDERING (1 << 1)
11287#define COMMAND_VALID (1 << 0)
11288
4ad83e94 11289#define _MIPIA_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb114)
e7d7cad0 11290#define _MIPIC_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb914)
f0f59a00 11291#define MIPI_COMMAND_LENGTH(port) _MMIO_MIPI(port, _MIPIA_COMMAND_LENGTH, _MIPIC_COMMAND_LENGTH)
3230bf14
JN
11292#define COMMAND_LENGTH_SHIFT(n) (8 * (n)) /* n: 0...3 */
11293#define COMMAND_LENGTH_MASK(n) (0xff << (8 * (n)))
11294
4ad83e94 11295#define _MIPIA_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb118)
e7d7cad0 11296#define _MIPIC_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb918)
f0f59a00 11297#define MIPI_READ_DATA_RETURN(port, n) _MMIO(_MIPI(port, _MIPIA_READ_DATA_RETURN0, _MIPIC_READ_DATA_RETURN0) + 4 * (n)) /* n: 0...7 */
3230bf14 11298
4ad83e94 11299#define _MIPIA_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb138)
e7d7cad0 11300#define _MIPIC_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb938)
f0f59a00 11301#define MIPI_READ_DATA_VALID(port) _MMIO_MIPI(port, _MIPIA_READ_DATA_VALID, _MIPIC_READ_DATA_VALID)
3230bf14
JN
11302#define READ_DATA_VALID(n) (1 << (n))
11303
3bbaba0c 11304/* MOCS (Memory Object Control State) registers */
f0f59a00 11305#define GEN9_LNCFCMOCS(i) _MMIO(0xb020 + (i) * 4) /* L3 Cache Control */
3bbaba0c 11306
f0f59a00
VS
11307#define GEN9_GFX_MOCS(i) _MMIO(0xc800 + (i) * 4) /* Graphics MOCS registers */
11308#define GEN9_MFX0_MOCS(i) _MMIO(0xc900 + (i) * 4) /* Media 0 MOCS registers */
11309#define GEN9_MFX1_MOCS(i) _MMIO(0xca00 + (i) * 4) /* Media 1 MOCS registers */
11310#define GEN9_VEBOX_MOCS(i) _MMIO(0xcb00 + (i) * 4) /* Video MOCS registers */
11311#define GEN9_BLT_MOCS(i) _MMIO(0xcc00 + (i) * 4) /* Blitter MOCS registers */
74ba22ea
TL
11312/* Media decoder 2 MOCS registers */
11313#define GEN11_MFX2_MOCS(i) _MMIO(0x10000 + (i) * 4)
3bbaba0c 11314
73f4e8a3
OM
11315#define GEN10_SCRATCH_LNCF2 _MMIO(0xb0a0)
11316#define PMFLUSHDONE_LNICRSDROP (1 << 20)
11317#define PMFLUSH_GAPL3UNBLOCK (1 << 21)
11318#define PMFLUSHDONE_LNEBLK (1 << 22)
11319
a7a7a0e6
MT
11320#define GEN12_GLOBAL_MOCS(i) _MMIO(0x4000 + (i) * 4) /* Global MOCS regs */
11321
d5165ebd
TG
11322/* gamt regs */
11323#define GEN8_L3_LRA_1_GPGPU _MMIO(0x4dd4)
11324#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_BDW 0x67F1427F /* max/min for LRA1/2 */
11325#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_CHV 0x5FF101FF /* max/min for LRA1/2 */
11326#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_SKL 0x67F1427F /* " " */
11327#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_BXT 0x5FF101FF /* " " */
11328
93564044
VS
11329#define MMCD_MISC_CTRL _MMIO(0x4ddc) /* skl+ */
11330#define MMCD_PCLA (1 << 31)
11331#define MMCD_HOTSPOT_EN (1 << 27)
11332
ad186f3f
PZ
11333#define _ICL_PHY_MISC_A 0x64C00
11334#define _ICL_PHY_MISC_B 0x64C04
11335#define ICL_PHY_MISC(port) _MMIO_PORT(port, _ICL_PHY_MISC_A, \
11336 _ICL_PHY_MISC_B)
bdeb18db 11337#define ICL_PHY_MISC_MUX_DDID (1 << 28)
ad186f3f
PZ
11338#define ICL_PHY_MISC_DE_IO_COMP_PWR_DOWN (1 << 23)
11339
2efbb2f0 11340/* Icelake Display Stream Compression Registers */
6f15a7de
AS
11341#define DSCA_PICTURE_PARAMETER_SET_0 _MMIO(0x6B200)
11342#define DSCC_PICTURE_PARAMETER_SET_0 _MMIO(0x6BA00)
2efbb2f0
AS
11343#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB 0x78270
11344#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB 0x78370
11345#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC 0x78470
11346#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC 0x78570
11347#define ICL_DSC0_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11348 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB, \
11349 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC)
11350#define ICL_DSC1_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11351 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB, \
11352 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC)
11353#define DSC_VBR_ENABLE (1 << 19)
11354#define DSC_422_ENABLE (1 << 18)
11355#define DSC_COLOR_SPACE_CONVERSION (1 << 17)
11356#define DSC_BLOCK_PREDICTION (1 << 16)
11357#define DSC_LINE_BUF_DEPTH_SHIFT 12
11358#define DSC_BPC_SHIFT 8
11359#define DSC_VER_MIN_SHIFT 4
11360#define DSC_VER_MAJ (0x1 << 0)
11361
6f15a7de
AS
11362#define DSCA_PICTURE_PARAMETER_SET_1 _MMIO(0x6B204)
11363#define DSCC_PICTURE_PARAMETER_SET_1 _MMIO(0x6BA04)
2efbb2f0
AS
11364#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB 0x78274
11365#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB 0x78374
11366#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC 0x78474
11367#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC 0x78574
11368#define ICL_DSC0_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11369 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB, \
11370 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC)
11371#define ICL_DSC1_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11372 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB, \
11373 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC)
11374#define DSC_BPP(bpp) ((bpp) << 0)
11375
6f15a7de
AS
11376#define DSCA_PICTURE_PARAMETER_SET_2 _MMIO(0x6B208)
11377#define DSCC_PICTURE_PARAMETER_SET_2 _MMIO(0x6BA08)
2efbb2f0
AS
11378#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB 0x78278
11379#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB 0x78378
11380#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC 0x78478
11381#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC 0x78578
11382#define ICL_DSC0_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11383 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB, \
11384 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC)
11385#define ICL_DSC1_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11386 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB, \
11387 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC)
11388#define DSC_PIC_WIDTH(pic_width) ((pic_width) << 16)
11389#define DSC_PIC_HEIGHT(pic_height) ((pic_height) << 0)
11390
6f15a7de
AS
11391#define DSCA_PICTURE_PARAMETER_SET_3 _MMIO(0x6B20C)
11392#define DSCC_PICTURE_PARAMETER_SET_3 _MMIO(0x6BA0C)
2efbb2f0
AS
11393#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB 0x7827C
11394#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB 0x7837C
11395#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC 0x7847C
11396#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC 0x7857C
11397#define ICL_DSC0_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11398 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB, \
11399 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC)
11400#define ICL_DSC1_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11401 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB, \
11402 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC)
11403#define DSC_SLICE_WIDTH(slice_width) ((slice_width) << 16)
11404#define DSC_SLICE_HEIGHT(slice_height) ((slice_height) << 0)
11405
6f15a7de
AS
11406#define DSCA_PICTURE_PARAMETER_SET_4 _MMIO(0x6B210)
11407#define DSCC_PICTURE_PARAMETER_SET_4 _MMIO(0x6BA10)
2efbb2f0
AS
11408#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB 0x78280
11409#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB 0x78380
11410#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC 0x78480
11411#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC 0x78580
11412#define ICL_DSC0_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11413 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB, \
11414 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC)
11415#define ICL_DSC1_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11416 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB, \
2efbb2f0
AS
11417 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC)
11418#define DSC_INITIAL_DEC_DELAY(dec_delay) ((dec_delay) << 16)
11419#define DSC_INITIAL_XMIT_DELAY(xmit_delay) ((xmit_delay) << 0)
11420
6f15a7de
AS
11421#define DSCA_PICTURE_PARAMETER_SET_5 _MMIO(0x6B214)
11422#define DSCC_PICTURE_PARAMETER_SET_5 _MMIO(0x6BA14)
2efbb2f0
AS
11423#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB 0x78284
11424#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB 0x78384
11425#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC 0x78484
11426#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC 0x78584
11427#define ICL_DSC0_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11428 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB, \
11429 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC)
11430#define ICL_DSC1_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11431 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB, \
2efbb2f0 11432 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC)
6f15a7de 11433#define DSC_SCALE_DEC_INT(scale_dec) ((scale_dec) << 16)
2efbb2f0
AS
11434#define DSC_SCALE_INC_INT(scale_inc) ((scale_inc) << 0)
11435
6f15a7de
AS
11436#define DSCA_PICTURE_PARAMETER_SET_6 _MMIO(0x6B218)
11437#define DSCC_PICTURE_PARAMETER_SET_6 _MMIO(0x6BA18)
2efbb2f0
AS
11438#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB 0x78288
11439#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB 0x78388
11440#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC 0x78488
11441#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC 0x78588
11442#define ICL_DSC0_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11443 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB, \
11444 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC)
11445#define ICL_DSC1_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11446 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB, \
11447 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC)
6f15a7de
AS
11448#define DSC_FLATNESS_MAX_QP(max_qp) ((max_qp) << 24)
11449#define DSC_FLATNESS_MIN_QP(min_qp) ((min_qp) << 16)
2efbb2f0
AS
11450#define DSC_FIRST_LINE_BPG_OFFSET(offset) ((offset) << 8)
11451#define DSC_INITIAL_SCALE_VALUE(value) ((value) << 0)
11452
6f15a7de
AS
11453#define DSCA_PICTURE_PARAMETER_SET_7 _MMIO(0x6B21C)
11454#define DSCC_PICTURE_PARAMETER_SET_7 _MMIO(0x6BA1C)
2efbb2f0
AS
11455#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB 0x7828C
11456#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB 0x7838C
11457#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC 0x7848C
11458#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC 0x7858C
11459#define ICL_DSC0_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11460 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB, \
11461 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC)
11462#define ICL_DSC1_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11463 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB, \
11464 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC)
11465#define DSC_NFL_BPG_OFFSET(bpg_offset) ((bpg_offset) << 16)
11466#define DSC_SLICE_BPG_OFFSET(bpg_offset) ((bpg_offset) << 0)
11467
6f15a7de
AS
11468#define DSCA_PICTURE_PARAMETER_SET_8 _MMIO(0x6B220)
11469#define DSCC_PICTURE_PARAMETER_SET_8 _MMIO(0x6BA20)
2efbb2f0
AS
11470#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB 0x78290
11471#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB 0x78390
11472#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC 0x78490
11473#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC 0x78590
11474#define ICL_DSC0_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11475 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB, \
11476 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC)
11477#define ICL_DSC1_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11478 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB, \
11479 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC)
11480#define DSC_INITIAL_OFFSET(initial_offset) ((initial_offset) << 16)
11481#define DSC_FINAL_OFFSET(final_offset) ((final_offset) << 0)
11482
6f15a7de
AS
11483#define DSCA_PICTURE_PARAMETER_SET_9 _MMIO(0x6B224)
11484#define DSCC_PICTURE_PARAMETER_SET_9 _MMIO(0x6BA24)
2efbb2f0
AS
11485#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB 0x78294
11486#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB 0x78394
11487#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC 0x78494
11488#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC 0x78594
11489#define ICL_DSC0_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11490 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB, \
11491 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC)
11492#define ICL_DSC1_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11493 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB, \
11494 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC)
11495#define DSC_RC_EDGE_FACTOR(rc_edge_fact) ((rc_edge_fact) << 16)
11496#define DSC_RC_MODEL_SIZE(rc_model_size) ((rc_model_size) << 0)
11497
6f15a7de
AS
11498#define DSCA_PICTURE_PARAMETER_SET_10 _MMIO(0x6B228)
11499#define DSCC_PICTURE_PARAMETER_SET_10 _MMIO(0x6BA28)
2efbb2f0
AS
11500#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB 0x78298
11501#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB 0x78398
11502#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC 0x78498
11503#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC 0x78598
11504#define ICL_DSC0_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11505 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB, \
11506 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC)
11507#define ICL_DSC1_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11508 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB, \
11509 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC)
11510#define DSC_RC_TARGET_OFF_LOW(rc_tgt_off_low) ((rc_tgt_off_low) << 20)
11511#define DSC_RC_TARGET_OFF_HIGH(rc_tgt_off_high) ((rc_tgt_off_high) << 16)
11512#define DSC_RC_QUANT_INC_LIMIT1(lim) ((lim) << 8)
11513#define DSC_RC_QUANT_INC_LIMIT0(lim) ((lim) << 0)
11514
6f15a7de
AS
11515#define DSCA_PICTURE_PARAMETER_SET_11 _MMIO(0x6B22C)
11516#define DSCC_PICTURE_PARAMETER_SET_11 _MMIO(0x6BA2C)
2efbb2f0
AS
11517#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB 0x7829C
11518#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB 0x7839C
11519#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC 0x7849C
11520#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC 0x7859C
11521#define ICL_DSC0_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11522 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB, \
11523 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC)
11524#define ICL_DSC1_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11525 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB, \
11526 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC)
11527
6f15a7de
AS
11528#define DSCA_PICTURE_PARAMETER_SET_12 _MMIO(0x6B260)
11529#define DSCC_PICTURE_PARAMETER_SET_12 _MMIO(0x6BA60)
2efbb2f0
AS
11530#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB 0x782A0
11531#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB 0x783A0
11532#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC 0x784A0
11533#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC 0x785A0
11534#define ICL_DSC0_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11535 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB, \
11536 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC)
11537#define ICL_DSC1_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11538 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB, \
11539 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC)
11540
6f15a7de
AS
11541#define DSCA_PICTURE_PARAMETER_SET_13 _MMIO(0x6B264)
11542#define DSCC_PICTURE_PARAMETER_SET_13 _MMIO(0x6BA64)
2efbb2f0
AS
11543#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB 0x782A4
11544#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB 0x783A4
11545#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC 0x784A4
11546#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC 0x785A4
11547#define ICL_DSC0_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11548 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB, \
11549 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC)
11550#define ICL_DSC1_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11551 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB, \
11552 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC)
11553
6f15a7de
AS
11554#define DSCA_PICTURE_PARAMETER_SET_14 _MMIO(0x6B268)
11555#define DSCC_PICTURE_PARAMETER_SET_14 _MMIO(0x6BA68)
2efbb2f0
AS
11556#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB 0x782A8
11557#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB 0x783A8
11558#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC 0x784A8
11559#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC 0x785A8
11560#define ICL_DSC0_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11561 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB, \
11562 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC)
11563#define ICL_DSC1_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11564 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB, \
11565 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC)
11566
6f15a7de
AS
11567#define DSCA_PICTURE_PARAMETER_SET_15 _MMIO(0x6B26C)
11568#define DSCC_PICTURE_PARAMETER_SET_15 _MMIO(0x6BA6C)
2efbb2f0
AS
11569#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB 0x782AC
11570#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB 0x783AC
11571#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC 0x784AC
11572#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC 0x785AC
11573#define ICL_DSC0_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11574 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB, \
11575 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC)
11576#define ICL_DSC1_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11577 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB, \
11578 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC)
11579
6f15a7de
AS
11580#define DSCA_PICTURE_PARAMETER_SET_16 _MMIO(0x6B270)
11581#define DSCC_PICTURE_PARAMETER_SET_16 _MMIO(0x6BA70)
2efbb2f0
AS
11582#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB 0x782B0
11583#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB 0x783B0
11584#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC 0x784B0
11585#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC 0x785B0
11586#define ICL_DSC0_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11587 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB, \
11588 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC)
11589#define ICL_DSC1_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11590 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB, \
11591 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC)
35b876db 11592#define DSC_SLICE_ROW_PER_FRAME(slice_row_per_frame) ((slice_row_per_frame) << 20)
2efbb2f0 11593#define DSC_SLICE_PER_LINE(slice_per_line) ((slice_per_line) << 16)
6f15a7de 11594#define DSC_SLICE_CHUNK_SIZE(slice_chunk_size) ((slice_chunk_size) << 0)
2efbb2f0 11595
dbda5111
AS
11596/* Icelake Rate Control Buffer Threshold Registers */
11597#define DSCA_RC_BUF_THRESH_0 _MMIO(0x6B230)
11598#define DSCA_RC_BUF_THRESH_0_UDW _MMIO(0x6B230 + 4)
11599#define DSCC_RC_BUF_THRESH_0 _MMIO(0x6BA30)
11600#define DSCC_RC_BUF_THRESH_0_UDW _MMIO(0x6BA30 + 4)
11601#define _ICL_DSC0_RC_BUF_THRESH_0_PB (0x78254)
11602#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB (0x78254 + 4)
11603#define _ICL_DSC1_RC_BUF_THRESH_0_PB (0x78354)
11604#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB (0x78354 + 4)
11605#define _ICL_DSC0_RC_BUF_THRESH_0_PC (0x78454)
11606#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC (0x78454 + 4)
11607#define _ICL_DSC1_RC_BUF_THRESH_0_PC (0x78554)
11608#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC (0x78554 + 4)
11609#define ICL_DSC0_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11610 _ICL_DSC0_RC_BUF_THRESH_0_PB, \
11611 _ICL_DSC0_RC_BUF_THRESH_0_PC)
11612#define ICL_DSC0_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11613 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB, \
11614 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC)
11615#define ICL_DSC1_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11616 _ICL_DSC1_RC_BUF_THRESH_0_PB, \
11617 _ICL_DSC1_RC_BUF_THRESH_0_PC)
11618#define ICL_DSC1_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11619 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB, \
11620 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC)
11621
11622#define DSCA_RC_BUF_THRESH_1 _MMIO(0x6B238)
11623#define DSCA_RC_BUF_THRESH_1_UDW _MMIO(0x6B238 + 4)
11624#define DSCC_RC_BUF_THRESH_1 _MMIO(0x6BA38)
11625#define DSCC_RC_BUF_THRESH_1_UDW _MMIO(0x6BA38 + 4)
11626#define _ICL_DSC0_RC_BUF_THRESH_1_PB (0x7825C)
11627#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB (0x7825C + 4)
11628#define _ICL_DSC1_RC_BUF_THRESH_1_PB (0x7835C)
11629#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB (0x7835C + 4)
11630#define _ICL_DSC0_RC_BUF_THRESH_1_PC (0x7845C)
11631#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC (0x7845C + 4)
11632#define _ICL_DSC1_RC_BUF_THRESH_1_PC (0x7855C)
11633#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC (0x7855C + 4)
11634#define ICL_DSC0_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11635 _ICL_DSC0_RC_BUF_THRESH_1_PB, \
11636 _ICL_DSC0_RC_BUF_THRESH_1_PC)
11637#define ICL_DSC0_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11638 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB, \
11639 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC)
11640#define ICL_DSC1_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11641 _ICL_DSC1_RC_BUF_THRESH_1_PB, \
11642 _ICL_DSC1_RC_BUF_THRESH_1_PC)
11643#define ICL_DSC1_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11644 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB, \
11645 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC)
11646
0caf6257
AS
11647#define PORT_TX_DFLEXDPSP(fia) _MMIO_FIA((fia), 0x008A0)
11648#define MODULAR_FIA_MASK (1 << 4)
b9fcddab
PZ
11649#define TC_LIVE_STATE_TBT(tc_port) (1 << ((tc_port) * 8 + 6))
11650#define TC_LIVE_STATE_TC(tc_port) (1 << ((tc_port) * 8 + 5))
db7295c2
AM
11651#define DP_LANE_ASSIGNMENT_SHIFT(tc_port) ((tc_port) * 8)
11652#define DP_LANE_ASSIGNMENT_MASK(tc_port) (0xf << ((tc_port) * 8))
11653#define DP_LANE_ASSIGNMENT(tc_port, x) ((x) << ((tc_port) * 8))
b9fcddab 11654
0caf6257 11655#define PORT_TX_DFLEXDPPMS(fia) _MMIO_FIA((fia), 0x00890)
39d1e234
PZ
11656#define DP_PHY_MODE_STATUS_COMPLETED(tc_port) (1 << (tc_port))
11657
0caf6257 11658#define PORT_TX_DFLEXDPCSSS(fia) _MMIO_FIA((fia), 0x00894)
39d1e234
PZ
11659#define DP_PHY_MODE_STATUS_NOT_SAFE(tc_port) (1 << (tc_port))
11660
585fb111 11661#endif /* _I915_REG_H_ */