]> git.proxmox.com Git - mirror_ubuntu-hirsute-kernel.git/blame - drivers/gpu/drm/i915/i915_reg.h
drm/i915/cmdparser: Ignore Length operands during command matching
[mirror_ubuntu-hirsute-kernel.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
78b36b10 28#include <linux/bitfield.h>
09b434d4
JN
29#include <linux/bits.h>
30
1aa920ea
JN
31/**
32 * DOC: The i915 register macro definition style guide
33 *
34 * Follow the style described here for new macros, and while changing existing
35 * macros. Do **not** mass change existing definitions just to update the style.
36 *
37 * Layout
551bd336 38 * ~~~~~~
1aa920ea
JN
39 *
40 * Keep helper macros near the top. For example, _PIPE() and friends.
41 *
42 * Prefix macros that generally should not be used outside of this file with
43 * underscore '_'. For example, _PIPE() and friends, single instances of
44 * registers that are defined solely for the use by function-like macros.
45 *
46 * Avoid using the underscore prefixed macros outside of this file. There are
47 * exceptions, but keep them to a minimum.
48 *
49 * There are two basic types of register definitions: Single registers and
50 * register groups. Register groups are registers which have two or more
51 * instances, for example one per pipe, port, transcoder, etc. Register groups
52 * should be defined using function-like macros.
53 *
54 * For single registers, define the register offset first, followed by register
55 * contents.
56 *
57 * For register groups, define the register instance offsets first, prefixed
58 * with underscore, followed by a function-like macro choosing the right
59 * instance based on the parameter, followed by register contents.
60 *
61 * Define the register contents (i.e. bit and bit field macros) from most
62 * significant to least significant bit. Indent the register content macros
63 * using two extra spaces between ``#define`` and the macro name.
64 *
baa09e7d
JN
65 * Define bit fields using ``REG_GENMASK(h, l)``. Define bit field contents
66 * using ``REG_FIELD_PREP(mask, value)``. This will define the values already
67 * shifted in place, so they can be directly OR'd together. For convenience,
68 * function-like macros may be used to define bit fields, but do note that the
69 * macros may be needed to read as well as write the register contents.
1aa920ea 70 *
09b434d4 71 * Define bits using ``REG_BIT(N)``. Do **not** add ``_BIT`` suffix to the name.
1aa920ea
JN
72 *
73 * Group the register and its contents together without blank lines, separate
74 * from other registers and their contents with one blank line.
75 *
76 * Indent macro values from macro names using TABs. Align values vertically. Use
77 * braces in macro values as needed to avoid unintended precedence after macro
78 * substitution. Use spaces in macro values according to kernel coding
79 * style. Use lower case in hexadecimal values.
80 *
81 * Naming
551bd336 82 * ~~~~~~
1aa920ea
JN
83 *
84 * Try to name registers according to the specs. If the register name changes in
85 * the specs from platform to another, stick to the original name.
86 *
87 * Try to re-use existing register macro definitions. Only add new macros for
88 * new register offsets, or when the register contents have changed enough to
89 * warrant a full redefinition.
90 *
91 * When a register macro changes for a new platform, prefix the new macro using
92 * the platform acronym or generation. For example, ``SKL_`` or ``GEN8_``. The
93 * prefix signifies the start platform/generation using the register.
94 *
95 * When a bit (field) macro changes or gets added for a new platform, while
96 * retaining the existing register macro, add a platform acronym or generation
97 * suffix to the name. For example, ``_SKL`` or ``_GEN8``.
98 *
99 * Examples
551bd336 100 * ~~~~~~~~
1aa920ea
JN
101 *
102 * (Note that the values in the example are indented using spaces instead of
103 * TABs to avoid misalignment in generated documentation. Use TABs in the
104 * definitions.)::
105 *
106 * #define _FOO_A 0xf000
107 * #define _FOO_B 0xf001
108 * #define FOO(pipe) _MMIO_PIPE(pipe, _FOO_A, _FOO_B)
09b434d4
JN
109 * #define FOO_ENABLE REG_BIT(31)
110 * #define FOO_MODE_MASK REG_GENMASK(19, 16)
baa09e7d
JN
111 * #define FOO_MODE_BAR REG_FIELD_PREP(FOO_MODE_MASK, 0)
112 * #define FOO_MODE_BAZ REG_FIELD_PREP(FOO_MODE_MASK, 1)
113 * #define FOO_MODE_QUX_SNB REG_FIELD_PREP(FOO_MODE_MASK, 2)
1aa920ea
JN
114 *
115 * #define BAR _MMIO(0xb000)
116 * #define GEN8_BAR _MMIO(0xb888)
117 */
118
09b434d4
JN
119/**
120 * REG_BIT() - Prepare a u32 bit value
121 * @__n: 0-based bit number
122 *
123 * Local wrapper for BIT() to force u32, with compile time checks.
124 *
125 * @return: Value with bit @__n set.
126 */
127#define REG_BIT(__n) \
128 ((u32)(BIT(__n) + \
591d4dc4 129 BUILD_BUG_ON_ZERO(__is_constexpr(__n) && \
09b434d4
JN
130 ((__n) < 0 || (__n) > 31))))
131
132/**
133 * REG_GENMASK() - Prepare a continuous u32 bitmask
134 * @__high: 0-based high bit
135 * @__low: 0-based low bit
136 *
137 * Local wrapper for GENMASK() to force u32, with compile time checks.
138 *
139 * @return: Continuous bitmask from @__high to @__low, inclusive.
140 */
141#define REG_GENMASK(__high, __low) \
142 ((u32)(GENMASK(__high, __low) + \
591d4dc4
JN
143 BUILD_BUG_ON_ZERO(__is_constexpr(__high) && \
144 __is_constexpr(__low) && \
09b434d4
JN
145 ((__low) < 0 || (__high) > 31 || (__low) > (__high)))))
146
baa09e7d
JN
147/*
148 * Local integer constant expression version of is_power_of_2().
149 */
150#define IS_POWER_OF_2(__x) ((__x) && (((__x) & ((__x) - 1)) == 0))
151
78b36b10
JN
152/**
153 * REG_FIELD_PREP() - Prepare a u32 bitfield value
154 * @__mask: shifted mask defining the field's length and position
155 * @__val: value to put in the field
affa22b5 156 *
baa09e7d
JN
157 * Local copy of FIELD_PREP() to generate an integer constant expression, force
158 * u32 and for consistency with REG_FIELD_GET(), REG_BIT() and REG_GENMASK().
78b36b10
JN
159 *
160 * @return: @__val masked and shifted into the field defined by @__mask.
161 */
baa09e7d
JN
162#define REG_FIELD_PREP(__mask, __val) \
163 ((u32)((((typeof(__mask))(__val) << __bf_shf(__mask)) & (__mask)) + \
ab7529f2 164 BUILD_BUG_ON_ZERO(!__is_constexpr(__mask)) + \
baa09e7d
JN
165 BUILD_BUG_ON_ZERO((__mask) == 0 || (__mask) > U32_MAX) + \
166 BUILD_BUG_ON_ZERO(!IS_POWER_OF_2((__mask) + (1ULL << __bf_shf(__mask)))) + \
ab7529f2 167 BUILD_BUG_ON_ZERO(__builtin_choose_expr(__is_constexpr(__val), (~((__mask) >> __bf_shf(__mask)) & (__val)), 0))))
78b36b10
JN
168
169/**
170 * REG_FIELD_GET() - Extract a u32 bitfield value
171 * @__mask: shifted mask defining the field's length and position
172 * @__val: value to extract the bitfield value from
173 *
174 * Local wrapper for FIELD_GET() to force u32 and for consistency with
175 * REG_FIELD_PREP(), REG_BIT() and REG_GENMASK().
176 *
177 * @return: Masked and shifted value of the field defined by @__mask in @__val.
178 */
179#define REG_FIELD_GET(__mask, __val) ((u32)FIELD_GET(__mask, __val))
180
f0f59a00 181typedef struct {
739f3abd 182 u32 reg;
f0f59a00
VS
183} i915_reg_t;
184
185#define _MMIO(r) ((const i915_reg_t){ .reg = (r) })
186
187#define INVALID_MMIO_REG _MMIO(0)
188
739f3abd 189static inline u32 i915_mmio_reg_offset(i915_reg_t reg)
f0f59a00
VS
190{
191 return reg.reg;
192}
193
194static inline bool i915_mmio_reg_equal(i915_reg_t a, i915_reg_t b)
195{
196 return i915_mmio_reg_offset(a) == i915_mmio_reg_offset(b);
197}
198
199static inline bool i915_mmio_reg_valid(i915_reg_t reg)
200{
201 return !i915_mmio_reg_equal(reg, INVALID_MMIO_REG);
202}
203
ed5eb1b7
JN
204#define VLV_DISPLAY_BASE 0x180000
205#define VLV_MIPI_BASE VLV_DISPLAY_BASE
206#define BXT_MIPI_BASE 0x60000
207
208#define DISPLAY_MMIO_BASE(dev_priv) (INTEL_INFO(dev_priv)->display_mmio_offset)
209
e67005e5
JN
210/*
211 * Given the first two numbers __a and __b of arbitrarily many evenly spaced
212 * numbers, pick the 0-based __index'th value.
213 *
214 * Always prefer this over _PICK() if the numbers are evenly spaced.
215 */
216#define _PICK_EVEN(__index, __a, __b) ((__a) + (__index) * ((__b) - (__a)))
217
218/*
219 * Given the arbitrary numbers in varargs, pick the 0-based __index'th number.
220 *
221 * Always prefer _PICK_EVEN() over this if the numbers are evenly spaced.
222 */
ce64645d
JN
223#define _PICK(__index, ...) (((const u32 []){ __VA_ARGS__ })[__index])
224
e67005e5
JN
225/*
226 * Named helper wrappers around _PICK_EVEN() and _PICK().
227 */
8d97b4a9
JN
228#define _PIPE(pipe, a, b) _PICK_EVEN(pipe, a, b)
229#define _PLANE(plane, a, b) _PICK_EVEN(plane, a, b)
230#define _TRANS(tran, a, b) _PICK_EVEN(tran, a, b)
231#define _PORT(port, a, b) _PICK_EVEN(port, a, b)
232#define _PLL(pll, a, b) _PICK_EVEN(pll, a, b)
233
234#define _MMIO_PIPE(pipe, a, b) _MMIO(_PIPE(pipe, a, b))
235#define _MMIO_PLANE(plane, a, b) _MMIO(_PLANE(plane, a, b))
236#define _MMIO_TRANS(tran, a, b) _MMIO(_TRANS(tran, a, b))
237#define _MMIO_PORT(port, a, b) _MMIO(_PORT(port, a, b))
238#define _MMIO_PLL(pll, a, b) _MMIO(_PLL(pll, a, b))
239
240#define _PHY3(phy, ...) _PICK(phy, __VA_ARGS__)
241
242#define _MMIO_PIPE3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
243#define _MMIO_PORT3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
244#define _MMIO_PHY3(phy, a, b, c) _MMIO(_PHY3(phy, a, b, c))
36ca5335 245#define _MMIO_PLL3(pll, a, b, c) _MMIO(_PICK(pll, a, b, c))
2b139522 246
a7c0149f
JN
247/*
248 * Device info offset array based helpers for groups of registers with unevenly
249 * spaced base offsets.
250 */
a0f04cc2
JN
251#define _MMIO_PIPE2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->pipe_offsets[pipe] - \
252 INTEL_INFO(dev_priv)->pipe_offsets[PIPE_A] + (reg) + \
ed5eb1b7 253 DISPLAY_MMIO_BASE(dev_priv))
270b9991
JRS
254#define _TRANS2(tran, reg) (INTEL_INFO(dev_priv)->trans_offsets[(tran)] - \
255 INTEL_INFO(dev_priv)->trans_offsets[TRANSCODER_A] + (reg) + \
256 DISPLAY_MMIO_BASE(dev_priv))
257#define _MMIO_TRANS2(tran, reg) _MMIO(_TRANS2(tran, reg))
a0f04cc2
JN
258#define _CURSOR2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->cursor_offsets[(pipe)] - \
259 INTEL_INFO(dev_priv)->cursor_offsets[PIPE_A] + (reg) + \
ed5eb1b7 260 DISPLAY_MMIO_BASE(dev_priv))
a7c0149f 261
5ee4a7a6 262#define __MASKED_FIELD(mask, value) ((mask) << 16 | (value))
98533251
DL
263#define _MASKED_FIELD(mask, value) ({ \
264 if (__builtin_constant_p(mask)) \
265 BUILD_BUG_ON_MSG(((mask) & 0xffff0000), "Incorrect mask"); \
266 if (__builtin_constant_p(value)) \
267 BUILD_BUG_ON_MSG((value) & 0xffff0000, "Incorrect value"); \
268 if (__builtin_constant_p(mask) && __builtin_constant_p(value)) \
269 BUILD_BUG_ON_MSG((value) & ~(mask), \
270 "Incorrect value for mask"); \
5ee4a7a6 271 __MASKED_FIELD(mask, value); })
98533251
DL
272#define _MASKED_BIT_ENABLE(a) ({ typeof(a) _a = (a); _MASKED_FIELD(_a, _a); })
273#define _MASKED_BIT_DISABLE(a) (_MASKED_FIELD((a), 0))
274
585fb111
JB
275/* PCI config space */
276
e10fa551
JL
277#define MCHBAR_I915 0x44
278#define MCHBAR_I965 0x48
279#define MCHBAR_SIZE (4 * 4096)
280
281#define DEVEN 0x54
282#define DEVEN_MCHBAR_EN (1 << 28)
283
40006c43 284/* BSM in include/drm/i915_drm.h */
e10fa551 285
1b1d2716
VS
286#define HPLLCC 0xc0 /* 85x only */
287#define GC_CLOCK_CONTROL_MASK (0x7 << 0)
585fb111
JB
288#define GC_CLOCK_133_200 (0 << 0)
289#define GC_CLOCK_100_200 (1 << 0)
290#define GC_CLOCK_100_133 (2 << 0)
1b1d2716
VS
291#define GC_CLOCK_133_266 (3 << 0)
292#define GC_CLOCK_133_200_2 (4 << 0)
293#define GC_CLOCK_133_266_2 (5 << 0)
294#define GC_CLOCK_166_266 (6 << 0)
295#define GC_CLOCK_166_250 (7 << 0)
296
e10fa551
JL
297#define I915_GDRST 0xc0 /* PCI config register */
298#define GRDOM_FULL (0 << 2)
299#define GRDOM_RENDER (1 << 2)
300#define GRDOM_MEDIA (3 << 2)
301#define GRDOM_MASK (3 << 2)
302#define GRDOM_RESET_STATUS (1 << 1)
303#define GRDOM_RESET_ENABLE (1 << 0)
304
8fdded82
VS
305/* BSpec only has register offset, PCI device and bit found empirically */
306#define I830_CLOCK_GATE 0xc8 /* device 0 */
307#define I830_L2_CACHE_CLOCK_GATE_DISABLE (1 << 2)
308
e10fa551
JL
309#define GCDGMBUS 0xcc
310
f97108d1 311#define GCFGC2 0xda
585fb111
JB
312#define GCFGC 0xf0 /* 915+ only */
313#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
314#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
6248017a 315#define GC_DISPLAY_CLOCK_333_320_MHZ (4 << 4)
257a7ffc
DV
316#define GC_DISPLAY_CLOCK_267_MHZ_PNV (0 << 4)
317#define GC_DISPLAY_CLOCK_333_MHZ_PNV (1 << 4)
318#define GC_DISPLAY_CLOCK_444_MHZ_PNV (2 << 4)
319#define GC_DISPLAY_CLOCK_200_MHZ_PNV (5 << 4)
320#define GC_DISPLAY_CLOCK_133_MHZ_PNV (6 << 4)
321#define GC_DISPLAY_CLOCK_167_MHZ_PNV (7 << 4)
585fb111 322#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
323#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
324#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
325#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
326#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
327#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
328#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
329#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
330#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
331#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
332#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
333#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
334#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
335#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
336#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
337#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
338#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
339#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
340#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
341#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
7f1bdbcb 342
e10fa551
JL
343#define ASLE 0xe4
344#define ASLS 0xfc
345
346#define SWSCI 0xe8
347#define SWSCI_SCISEL (1 << 15)
348#define SWSCI_GSSCIE (1 << 0)
349
350#define LBPC 0xf4 /* legacy/combination backlight modes, also called LBB */
eeccdcac 351
585fb111 352
f0f59a00 353#define ILK_GDSR _MMIO(MCHBAR_MIRROR_BASE + 0x2ca4)
5ee8ee86
PZ
354#define ILK_GRDOM_FULL (0 << 1)
355#define ILK_GRDOM_RENDER (1 << 1)
356#define ILK_GRDOM_MEDIA (3 << 1)
357#define ILK_GRDOM_MASK (3 << 1)
358#define ILK_GRDOM_RESET_ENABLE (1 << 0)
b3a3f03d 359
f0f59a00 360#define GEN6_MBCUNIT_SNPCR _MMIO(0x900c) /* for LLC config */
07b7ddd9 361#define GEN6_MBC_SNPCR_SHIFT 21
5ee8ee86
PZ
362#define GEN6_MBC_SNPCR_MASK (3 << 21)
363#define GEN6_MBC_SNPCR_MAX (0 << 21)
364#define GEN6_MBC_SNPCR_MED (1 << 21)
365#define GEN6_MBC_SNPCR_LOW (2 << 21)
366#define GEN6_MBC_SNPCR_MIN (3 << 21) /* only 1/16th of the cache is shared */
07b7ddd9 367
f0f59a00
VS
368#define VLV_G3DCTL _MMIO(0x9024)
369#define VLV_GSCKGCTL _MMIO(0x9028)
9e72b46c 370
f0f59a00 371#define GEN6_MBCTL _MMIO(0x0907c)
5eb719cd
DV
372#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
373#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
374#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
375#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
376#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
377
f0f59a00 378#define GEN6_GDRST _MMIO(0x941c)
cff458c2
EA
379#define GEN6_GRDOM_FULL (1 << 0)
380#define GEN6_GRDOM_RENDER (1 << 1)
381#define GEN6_GRDOM_MEDIA (1 << 2)
382#define GEN6_GRDOM_BLT (1 << 3)
ee4b6faf 383#define GEN6_GRDOM_VECS (1 << 4)
6b332fa2 384#define GEN9_GRDOM_GUC (1 << 5)
ee4b6faf 385#define GEN8_GRDOM_MEDIA2 (1 << 7)
e34b0345
MT
386/* GEN11 changed all bit defs except for FULL & RENDER */
387#define GEN11_GRDOM_FULL GEN6_GRDOM_FULL
388#define GEN11_GRDOM_RENDER GEN6_GRDOM_RENDER
389#define GEN11_GRDOM_BLT (1 << 2)
390#define GEN11_GRDOM_GUC (1 << 3)
391#define GEN11_GRDOM_MEDIA (1 << 5)
392#define GEN11_GRDOM_MEDIA2 (1 << 6)
393#define GEN11_GRDOM_MEDIA3 (1 << 7)
394#define GEN11_GRDOM_MEDIA4 (1 << 8)
395#define GEN11_GRDOM_VECS (1 << 13)
396#define GEN11_GRDOM_VECS2 (1 << 14)
f513ac76
OM
397#define GEN11_GRDOM_SFC0 (1 << 17)
398#define GEN11_GRDOM_SFC1 (1 << 18)
399
400#define GEN11_VCS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << ((instance) >> 1))
401#define GEN11_VECS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << (instance))
402
403#define GEN11_VCS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x88C)
404#define GEN11_VCS_SFC_FORCED_LOCK_BIT (1 << 0)
405#define GEN11_VCS_SFC_LOCK_STATUS(engine) _MMIO((engine)->mmio_base + 0x890)
406#define GEN11_VCS_SFC_USAGE_BIT (1 << 0)
407#define GEN11_VCS_SFC_LOCK_ACK_BIT (1 << 1)
408
409#define GEN11_VECS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x201C)
410#define GEN11_VECS_SFC_FORCED_LOCK_BIT (1 << 0)
411#define GEN11_VECS_SFC_LOCK_ACK(engine) _MMIO((engine)->mmio_base + 0x2018)
412#define GEN11_VECS_SFC_LOCK_ACK_BIT (1 << 0)
413#define GEN11_VECS_SFC_USAGE(engine) _MMIO((engine)->mmio_base + 0x2014)
414#define GEN11_VECS_SFC_USAGE_BIT (1 << 0)
cff458c2 415
baba6e57
DCS
416#define RING_PP_DIR_BASE(base) _MMIO((base) + 0x228)
417#define RING_PP_DIR_BASE_READ(base) _MMIO((base) + 0x518)
418#define RING_PP_DIR_DCLV(base) _MMIO((base) + 0x220)
5eb719cd
DV
419#define PP_DIR_DCLV_2G 0xffffffff
420
6d425728
CW
421#define GEN8_RING_PDP_UDW(base, n) _MMIO((base) + 0x270 + (n) * 8 + 4)
422#define GEN8_RING_PDP_LDW(base, n) _MMIO((base) + 0x270 + (n) * 8)
94e409c1 423
f0f59a00 424#define GEN8_R_PWR_CLK_STATE _MMIO(0x20C8)
0cea6502
JM
425#define GEN8_RPCS_ENABLE (1 << 31)
426#define GEN8_RPCS_S_CNT_ENABLE (1 << 18)
427#define GEN8_RPCS_S_CNT_SHIFT 15
428#define GEN8_RPCS_S_CNT_MASK (0x7 << GEN8_RPCS_S_CNT_SHIFT)
b212f0a4
TU
429#define GEN11_RPCS_S_CNT_SHIFT 12
430#define GEN11_RPCS_S_CNT_MASK (0x3f << GEN11_RPCS_S_CNT_SHIFT)
0cea6502
JM
431#define GEN8_RPCS_SS_CNT_ENABLE (1 << 11)
432#define GEN8_RPCS_SS_CNT_SHIFT 8
433#define GEN8_RPCS_SS_CNT_MASK (0x7 << GEN8_RPCS_SS_CNT_SHIFT)
434#define GEN8_RPCS_EU_MAX_SHIFT 4
435#define GEN8_RPCS_EU_MAX_MASK (0xf << GEN8_RPCS_EU_MAX_SHIFT)
436#define GEN8_RPCS_EU_MIN_SHIFT 0
437#define GEN8_RPCS_EU_MIN_MASK (0xf << GEN8_RPCS_EU_MIN_SHIFT)
438
f89823c2
LL
439#define WAIT_FOR_RC6_EXIT _MMIO(0x20CC)
440/* HSW only */
441#define HSW_SELECTIVE_READ_ADDRESSING_SHIFT 2
442#define HSW_SELECTIVE_READ_ADDRESSING_MASK (0x3 << HSW_SLECTIVE_READ_ADDRESSING_SHIFT)
443#define HSW_SELECTIVE_WRITE_ADDRESS_SHIFT 4
444#define HSW_SELECTIVE_WRITE_ADDRESS_MASK (0x7 << HSW_SELECTIVE_WRITE_ADDRESS_SHIFT)
445/* HSW+ */
446#define HSW_WAIT_FOR_RC6_EXIT_ENABLE (1 << 0)
447#define HSW_RCS_CONTEXT_ENABLE (1 << 7)
448#define HSW_RCS_INHIBIT (1 << 8)
449/* Gen8 */
450#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
451#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
452#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
453#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
454#define GEN8_SELECTIVE_WRITE_ADDRESSING_ENABLE (1 << 6)
455#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT 9
456#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT)
457#define GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT 11
458#define GEN8_SELECTIVE_READ_SLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT)
459#define GEN8_SELECTIVE_READ_ADDRESSING_ENABLE (1 << 13)
460
f0f59a00 461#define GAM_ECOCHK _MMIO(0x4090)
5ee8ee86
PZ
462#define BDW_DISABLE_HDC_INVALIDATION (1 << 25)
463#define ECOCHK_SNB_BIT (1 << 10)
464#define ECOCHK_DIS_TLB (1 << 8)
465#define HSW_ECOCHK_ARB_PRIO_SOL (1 << 6)
466#define ECOCHK_PPGTT_CACHE64B (0x3 << 3)
467#define ECOCHK_PPGTT_CACHE4B (0x0 << 3)
468#define ECOCHK_PPGTT_GFDT_IVB (0x1 << 4)
469#define ECOCHK_PPGTT_LLC_IVB (0x1 << 3)
470#define ECOCHK_PPGTT_UC_HSW (0x1 << 3)
471#define ECOCHK_PPGTT_WT_HSW (0x2 << 3)
472#define ECOCHK_PPGTT_WB_HSW (0x3 << 3)
5eb719cd 473
f0f59a00 474#define GAC_ECO_BITS _MMIO(0x14090)
5ee8ee86
PZ
475#define ECOBITS_SNB_BIT (1 << 13)
476#define ECOBITS_PPGTT_CACHE64B (3 << 8)
477#define ECOBITS_PPGTT_CACHE4B (0 << 8)
48ecfa10 478
f0f59a00 479#define GAB_CTL _MMIO(0x24000)
5ee8ee86 480#define GAB_CTL_CONT_AFTER_PAGEFAULT (1 << 8)
be901a5a 481
f0f59a00 482#define GEN6_STOLEN_RESERVED _MMIO(0x1082C0)
3774eb50
PZ
483#define GEN6_STOLEN_RESERVED_ADDR_MASK (0xFFF << 20)
484#define GEN7_STOLEN_RESERVED_ADDR_MASK (0x3FFF << 18)
485#define GEN6_STOLEN_RESERVED_SIZE_MASK (3 << 4)
486#define GEN6_STOLEN_RESERVED_1M (0 << 4)
487#define GEN6_STOLEN_RESERVED_512K (1 << 4)
488#define GEN6_STOLEN_RESERVED_256K (2 << 4)
489#define GEN6_STOLEN_RESERVED_128K (3 << 4)
490#define GEN7_STOLEN_RESERVED_SIZE_MASK (1 << 5)
491#define GEN7_STOLEN_RESERVED_1M (0 << 5)
492#define GEN7_STOLEN_RESERVED_256K (1 << 5)
493#define GEN8_STOLEN_RESERVED_SIZE_MASK (3 << 7)
494#define GEN8_STOLEN_RESERVED_1M (0 << 7)
495#define GEN8_STOLEN_RESERVED_2M (1 << 7)
496#define GEN8_STOLEN_RESERVED_4M (2 << 7)
497#define GEN8_STOLEN_RESERVED_8M (3 << 7)
db7fb605 498#define GEN6_STOLEN_RESERVED_ENABLE (1 << 0)
185441e0 499#define GEN11_STOLEN_RESERVED_ADDR_MASK (0xFFFFFFFFFFFULL << 20)
40bae736 500
585fb111
JB
501/* VGA stuff */
502
503#define VGA_ST01_MDA 0x3ba
504#define VGA_ST01_CGA 0x3da
505
f0f59a00 506#define _VGA_MSR_WRITE _MMIO(0x3c2)
585fb111
JB
507#define VGA_MSR_WRITE 0x3c2
508#define VGA_MSR_READ 0x3cc
5ee8ee86
PZ
509#define VGA_MSR_MEM_EN (1 << 1)
510#define VGA_MSR_CGA_MODE (1 << 0)
585fb111 511
5434fd92 512#define VGA_SR_INDEX 0x3c4
f930ddd0 513#define SR01 1
5434fd92 514#define VGA_SR_DATA 0x3c5
585fb111
JB
515
516#define VGA_AR_INDEX 0x3c0
5ee8ee86 517#define VGA_AR_VID_EN (1 << 5)
585fb111
JB
518#define VGA_AR_DATA_WRITE 0x3c0
519#define VGA_AR_DATA_READ 0x3c1
520
521#define VGA_GR_INDEX 0x3ce
522#define VGA_GR_DATA 0x3cf
523/* GR05 */
524#define VGA_GR_MEM_READ_MODE_SHIFT 3
525#define VGA_GR_MEM_READ_MODE_PLANE 1
526/* GR06 */
527#define VGA_GR_MEM_MODE_MASK 0xc
528#define VGA_GR_MEM_MODE_SHIFT 2
529#define VGA_GR_MEM_A0000_AFFFF 0
530#define VGA_GR_MEM_A0000_BFFFF 1
531#define VGA_GR_MEM_B0000_B7FFF 2
532#define VGA_GR_MEM_B0000_BFFFF 3
533
534#define VGA_DACMASK 0x3c6
535#define VGA_DACRX 0x3c7
536#define VGA_DACWX 0x3c8
537#define VGA_DACDATA 0x3c9
538
539#define VGA_CR_INDEX_MDA 0x3b4
540#define VGA_CR_DATA_MDA 0x3b5
541#define VGA_CR_INDEX_CGA 0x3d4
542#define VGA_CR_DATA_CGA 0x3d5
543
f0f59a00
VS
544#define MI_PREDICATE_SRC0 _MMIO(0x2400)
545#define MI_PREDICATE_SRC0_UDW _MMIO(0x2400 + 4)
546#define MI_PREDICATE_SRC1 _MMIO(0x2408)
547#define MI_PREDICATE_SRC1_UDW _MMIO(0x2408 + 4)
9435373e 548
f0f59a00 549#define MI_PREDICATE_RESULT_2 _MMIO(0x2214)
5ee8ee86
PZ
550#define LOWER_SLICE_ENABLED (1 << 0)
551#define LOWER_SLICE_DISABLED (0 << 0)
9435373e 552
5947de9b
BV
553/*
554 * Registers used only by the command parser
555 */
f0f59a00
VS
556#define BCS_SWCTRL _MMIO(0x22200)
557
0f2f3975
JB
558/* There are 16 GPR registers */
559#define BCS_GPR(n) _MMIO(0x22600 + (n) * 8)
560#define BCS_GPR_UDW(n) _MMIO(0x22600 + (n) * 8 + 4)
561
f0f59a00
VS
562#define GPGPU_THREADS_DISPATCHED _MMIO(0x2290)
563#define GPGPU_THREADS_DISPATCHED_UDW _MMIO(0x2290 + 4)
564#define HS_INVOCATION_COUNT _MMIO(0x2300)
565#define HS_INVOCATION_COUNT_UDW _MMIO(0x2300 + 4)
566#define DS_INVOCATION_COUNT _MMIO(0x2308)
567#define DS_INVOCATION_COUNT_UDW _MMIO(0x2308 + 4)
568#define IA_VERTICES_COUNT _MMIO(0x2310)
569#define IA_VERTICES_COUNT_UDW _MMIO(0x2310 + 4)
570#define IA_PRIMITIVES_COUNT _MMIO(0x2318)
571#define IA_PRIMITIVES_COUNT_UDW _MMIO(0x2318 + 4)
572#define VS_INVOCATION_COUNT _MMIO(0x2320)
573#define VS_INVOCATION_COUNT_UDW _MMIO(0x2320 + 4)
574#define GS_INVOCATION_COUNT _MMIO(0x2328)
575#define GS_INVOCATION_COUNT_UDW _MMIO(0x2328 + 4)
576#define GS_PRIMITIVES_COUNT _MMIO(0x2330)
577#define GS_PRIMITIVES_COUNT_UDW _MMIO(0x2330 + 4)
578#define CL_INVOCATION_COUNT _MMIO(0x2338)
579#define CL_INVOCATION_COUNT_UDW _MMIO(0x2338 + 4)
580#define CL_PRIMITIVES_COUNT _MMIO(0x2340)
581#define CL_PRIMITIVES_COUNT_UDW _MMIO(0x2340 + 4)
582#define PS_INVOCATION_COUNT _MMIO(0x2348)
583#define PS_INVOCATION_COUNT_UDW _MMIO(0x2348 + 4)
584#define PS_DEPTH_COUNT _MMIO(0x2350)
585#define PS_DEPTH_COUNT_UDW _MMIO(0x2350 + 4)
5947de9b
BV
586
587/* There are the 4 64-bit counter registers, one for each stream output */
f0f59a00
VS
588#define GEN7_SO_NUM_PRIMS_WRITTEN(n) _MMIO(0x5200 + (n) * 8)
589#define GEN7_SO_NUM_PRIMS_WRITTEN_UDW(n) _MMIO(0x5200 + (n) * 8 + 4)
5947de9b 590
f0f59a00
VS
591#define GEN7_SO_PRIM_STORAGE_NEEDED(n) _MMIO(0x5240 + (n) * 8)
592#define GEN7_SO_PRIM_STORAGE_NEEDED_UDW(n) _MMIO(0x5240 + (n) * 8 + 4)
113a0476 593
f0f59a00
VS
594#define GEN7_3DPRIM_END_OFFSET _MMIO(0x2420)
595#define GEN7_3DPRIM_START_VERTEX _MMIO(0x2430)
596#define GEN7_3DPRIM_VERTEX_COUNT _MMIO(0x2434)
597#define GEN7_3DPRIM_INSTANCE_COUNT _MMIO(0x2438)
598#define GEN7_3DPRIM_START_INSTANCE _MMIO(0x243C)
599#define GEN7_3DPRIM_BASE_VERTEX _MMIO(0x2440)
113a0476 600
f0f59a00
VS
601#define GEN7_GPGPU_DISPATCHDIMX _MMIO(0x2500)
602#define GEN7_GPGPU_DISPATCHDIMY _MMIO(0x2504)
603#define GEN7_GPGPU_DISPATCHDIMZ _MMIO(0x2508)
7b9748cb 604
1b85066b
JJ
605/* There are the 16 64-bit CS General Purpose Registers */
606#define HSW_CS_GPR(n) _MMIO(0x2600 + (n) * 8)
607#define HSW_CS_GPR_UDW(n) _MMIO(0x2600 + (n) * 8 + 4)
608
a941795a 609#define GEN7_OACONTROL _MMIO(0x2360)
d7965152
RB
610#define GEN7_OACONTROL_CTX_MASK 0xFFFFF000
611#define GEN7_OACONTROL_TIMER_PERIOD_MASK 0x3F
612#define GEN7_OACONTROL_TIMER_PERIOD_SHIFT 6
5ee8ee86
PZ
613#define GEN7_OACONTROL_TIMER_ENABLE (1 << 5)
614#define GEN7_OACONTROL_FORMAT_A13 (0 << 2)
615#define GEN7_OACONTROL_FORMAT_A29 (1 << 2)
616#define GEN7_OACONTROL_FORMAT_A13_B8_C8 (2 << 2)
617#define GEN7_OACONTROL_FORMAT_A29_B8_C8 (3 << 2)
618#define GEN7_OACONTROL_FORMAT_B4_C8 (4 << 2)
619#define GEN7_OACONTROL_FORMAT_A45_B8_C8 (5 << 2)
620#define GEN7_OACONTROL_FORMAT_B4_C8_A16 (6 << 2)
621#define GEN7_OACONTROL_FORMAT_C4_B8 (7 << 2)
d7965152 622#define GEN7_OACONTROL_FORMAT_SHIFT 2
5ee8ee86
PZ
623#define GEN7_OACONTROL_PER_CTX_ENABLE (1 << 1)
624#define GEN7_OACONTROL_ENABLE (1 << 0)
d7965152
RB
625
626#define GEN8_OACTXID _MMIO(0x2364)
627
19f81df2 628#define GEN8_OA_DEBUG _MMIO(0x2B04)
5ee8ee86
PZ
629#define GEN9_OA_DEBUG_DISABLE_CLK_RATIO_REPORTS (1 << 5)
630#define GEN9_OA_DEBUG_INCLUDE_CLK_RATIO (1 << 6)
631#define GEN9_OA_DEBUG_DISABLE_GO_1_0_REPORTS (1 << 2)
632#define GEN9_OA_DEBUG_DISABLE_CTX_SWITCH_REPORTS (1 << 1)
19f81df2 633
d7965152 634#define GEN8_OACONTROL _MMIO(0x2B00)
5ee8ee86
PZ
635#define GEN8_OA_REPORT_FORMAT_A12 (0 << 2)
636#define GEN8_OA_REPORT_FORMAT_A12_B8_C8 (2 << 2)
637#define GEN8_OA_REPORT_FORMAT_A36_B8_C8 (5 << 2)
638#define GEN8_OA_REPORT_FORMAT_C4_B8 (7 << 2)
d7965152 639#define GEN8_OA_REPORT_FORMAT_SHIFT 2
5ee8ee86
PZ
640#define GEN8_OA_SPECIFIC_CONTEXT_ENABLE (1 << 1)
641#define GEN8_OA_COUNTER_ENABLE (1 << 0)
d7965152
RB
642
643#define GEN8_OACTXCONTROL _MMIO(0x2360)
644#define GEN8_OA_TIMER_PERIOD_MASK 0x3F
645#define GEN8_OA_TIMER_PERIOD_SHIFT 2
5ee8ee86
PZ
646#define GEN8_OA_TIMER_ENABLE (1 << 1)
647#define GEN8_OA_COUNTER_RESUME (1 << 0)
d7965152
RB
648
649#define GEN7_OABUFFER _MMIO(0x23B0) /* R/W */
5ee8ee86
PZ
650#define GEN7_OABUFFER_OVERRUN_DISABLE (1 << 3)
651#define GEN7_OABUFFER_EDGE_TRIGGER (1 << 2)
652#define GEN7_OABUFFER_STOP_RESUME_ENABLE (1 << 1)
653#define GEN7_OABUFFER_RESUME (1 << 0)
d7965152 654
19f81df2 655#define GEN8_OABUFFER_UDW _MMIO(0x23b4)
d7965152 656#define GEN8_OABUFFER _MMIO(0x2b14)
b82ed43d 657#define GEN8_OABUFFER_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
658
659#define GEN7_OASTATUS1 _MMIO(0x2364)
660#define GEN7_OASTATUS1_TAIL_MASK 0xffffffc0
5ee8ee86
PZ
661#define GEN7_OASTATUS1_COUNTER_OVERFLOW (1 << 2)
662#define GEN7_OASTATUS1_OABUFFER_OVERFLOW (1 << 1)
663#define GEN7_OASTATUS1_REPORT_LOST (1 << 0)
d7965152
RB
664
665#define GEN7_OASTATUS2 _MMIO(0x2368)
b82ed43d
LL
666#define GEN7_OASTATUS2_HEAD_MASK 0xffffffc0
667#define GEN7_OASTATUS2_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
668
669#define GEN8_OASTATUS _MMIO(0x2b08)
5ee8ee86
PZ
670#define GEN8_OASTATUS_OVERRUN_STATUS (1 << 3)
671#define GEN8_OASTATUS_COUNTER_OVERFLOW (1 << 2)
672#define GEN8_OASTATUS_OABUFFER_OVERFLOW (1 << 1)
673#define GEN8_OASTATUS_REPORT_LOST (1 << 0)
d7965152
RB
674
675#define GEN8_OAHEADPTR _MMIO(0x2B0C)
19f81df2 676#define GEN8_OAHEADPTR_MASK 0xffffffc0
d7965152 677#define GEN8_OATAILPTR _MMIO(0x2B10)
19f81df2 678#define GEN8_OATAILPTR_MASK 0xffffffc0
d7965152 679
5ee8ee86
PZ
680#define OABUFFER_SIZE_128K (0 << 3)
681#define OABUFFER_SIZE_256K (1 << 3)
682#define OABUFFER_SIZE_512K (2 << 3)
683#define OABUFFER_SIZE_1M (3 << 3)
684#define OABUFFER_SIZE_2M (4 << 3)
685#define OABUFFER_SIZE_4M (5 << 3)
686#define OABUFFER_SIZE_8M (6 << 3)
687#define OABUFFER_SIZE_16M (7 << 3)
d7965152 688
19f81df2
RB
689/*
690 * Flexible, Aggregate EU Counter Registers.
691 * Note: these aren't contiguous
692 */
d7965152 693#define EU_PERF_CNTL0 _MMIO(0xe458)
19f81df2
RB
694#define EU_PERF_CNTL1 _MMIO(0xe558)
695#define EU_PERF_CNTL2 _MMIO(0xe658)
696#define EU_PERF_CNTL3 _MMIO(0xe758)
697#define EU_PERF_CNTL4 _MMIO(0xe45c)
698#define EU_PERF_CNTL5 _MMIO(0xe55c)
699#define EU_PERF_CNTL6 _MMIO(0xe65c)
d7965152 700
d7965152
RB
701/*
702 * OA Boolean state
703 */
704
d7965152
RB
705#define OASTARTTRIG1 _MMIO(0x2710)
706#define OASTARTTRIG1_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
707#define OASTARTTRIG1_THRESHOLD_MASK 0xffff
708
709#define OASTARTTRIG2 _MMIO(0x2714)
5ee8ee86
PZ
710#define OASTARTTRIG2_INVERT_A_0 (1 << 0)
711#define OASTARTTRIG2_INVERT_A_1 (1 << 1)
712#define OASTARTTRIG2_INVERT_A_2 (1 << 2)
713#define OASTARTTRIG2_INVERT_A_3 (1 << 3)
714#define OASTARTTRIG2_INVERT_A_4 (1 << 4)
715#define OASTARTTRIG2_INVERT_A_5 (1 << 5)
716#define OASTARTTRIG2_INVERT_A_6 (1 << 6)
717#define OASTARTTRIG2_INVERT_A_7 (1 << 7)
718#define OASTARTTRIG2_INVERT_A_8 (1 << 8)
719#define OASTARTTRIG2_INVERT_A_9 (1 << 9)
720#define OASTARTTRIG2_INVERT_A_10 (1 << 10)
721#define OASTARTTRIG2_INVERT_A_11 (1 << 11)
722#define OASTARTTRIG2_INVERT_A_12 (1 << 12)
723#define OASTARTTRIG2_INVERT_A_13 (1 << 13)
724#define OASTARTTRIG2_INVERT_A_14 (1 << 14)
725#define OASTARTTRIG2_INVERT_A_15 (1 << 15)
726#define OASTARTTRIG2_INVERT_B_0 (1 << 16)
727#define OASTARTTRIG2_INVERT_B_1 (1 << 17)
728#define OASTARTTRIG2_INVERT_B_2 (1 << 18)
729#define OASTARTTRIG2_INVERT_B_3 (1 << 19)
730#define OASTARTTRIG2_INVERT_C_0 (1 << 20)
731#define OASTARTTRIG2_INVERT_C_1 (1 << 21)
732#define OASTARTTRIG2_INVERT_D_0 (1 << 22)
733#define OASTARTTRIG2_THRESHOLD_ENABLE (1 << 23)
734#define OASTARTTRIG2_START_TRIG_FLAG_MBZ (1 << 24)
735#define OASTARTTRIG2_EVENT_SELECT_0 (1 << 28)
736#define OASTARTTRIG2_EVENT_SELECT_1 (1 << 29)
737#define OASTARTTRIG2_EVENT_SELECT_2 (1 << 30)
738#define OASTARTTRIG2_EVENT_SELECT_3 (1 << 31)
d7965152
RB
739
740#define OASTARTTRIG3 _MMIO(0x2718)
741#define OASTARTTRIG3_NOA_SELECT_MASK 0xf
742#define OASTARTTRIG3_NOA_SELECT_8_SHIFT 0
743#define OASTARTTRIG3_NOA_SELECT_9_SHIFT 4
744#define OASTARTTRIG3_NOA_SELECT_10_SHIFT 8
745#define OASTARTTRIG3_NOA_SELECT_11_SHIFT 12
746#define OASTARTTRIG3_NOA_SELECT_12_SHIFT 16
747#define OASTARTTRIG3_NOA_SELECT_13_SHIFT 20
748#define OASTARTTRIG3_NOA_SELECT_14_SHIFT 24
749#define OASTARTTRIG3_NOA_SELECT_15_SHIFT 28
750
751#define OASTARTTRIG4 _MMIO(0x271c)
752#define OASTARTTRIG4_NOA_SELECT_MASK 0xf
753#define OASTARTTRIG4_NOA_SELECT_0_SHIFT 0
754#define OASTARTTRIG4_NOA_SELECT_1_SHIFT 4
755#define OASTARTTRIG4_NOA_SELECT_2_SHIFT 8
756#define OASTARTTRIG4_NOA_SELECT_3_SHIFT 12
757#define OASTARTTRIG4_NOA_SELECT_4_SHIFT 16
758#define OASTARTTRIG4_NOA_SELECT_5_SHIFT 20
759#define OASTARTTRIG4_NOA_SELECT_6_SHIFT 24
760#define OASTARTTRIG4_NOA_SELECT_7_SHIFT 28
761
762#define OASTARTTRIG5 _MMIO(0x2720)
763#define OASTARTTRIG5_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
764#define OASTARTTRIG5_THRESHOLD_MASK 0xffff
765
766#define OASTARTTRIG6 _MMIO(0x2724)
5ee8ee86
PZ
767#define OASTARTTRIG6_INVERT_A_0 (1 << 0)
768#define OASTARTTRIG6_INVERT_A_1 (1 << 1)
769#define OASTARTTRIG6_INVERT_A_2 (1 << 2)
770#define OASTARTTRIG6_INVERT_A_3 (1 << 3)
771#define OASTARTTRIG6_INVERT_A_4 (1 << 4)
772#define OASTARTTRIG6_INVERT_A_5 (1 << 5)
773#define OASTARTTRIG6_INVERT_A_6 (1 << 6)
774#define OASTARTTRIG6_INVERT_A_7 (1 << 7)
775#define OASTARTTRIG6_INVERT_A_8 (1 << 8)
776#define OASTARTTRIG6_INVERT_A_9 (1 << 9)
777#define OASTARTTRIG6_INVERT_A_10 (1 << 10)
778#define OASTARTTRIG6_INVERT_A_11 (1 << 11)
779#define OASTARTTRIG6_INVERT_A_12 (1 << 12)
780#define OASTARTTRIG6_INVERT_A_13 (1 << 13)
781#define OASTARTTRIG6_INVERT_A_14 (1 << 14)
782#define OASTARTTRIG6_INVERT_A_15 (1 << 15)
783#define OASTARTTRIG6_INVERT_B_0 (1 << 16)
784#define OASTARTTRIG6_INVERT_B_1 (1 << 17)
785#define OASTARTTRIG6_INVERT_B_2 (1 << 18)
786#define OASTARTTRIG6_INVERT_B_3 (1 << 19)
787#define OASTARTTRIG6_INVERT_C_0 (1 << 20)
788#define OASTARTTRIG6_INVERT_C_1 (1 << 21)
789#define OASTARTTRIG6_INVERT_D_0 (1 << 22)
790#define OASTARTTRIG6_THRESHOLD_ENABLE (1 << 23)
791#define OASTARTTRIG6_START_TRIG_FLAG_MBZ (1 << 24)
792#define OASTARTTRIG6_EVENT_SELECT_4 (1 << 28)
793#define OASTARTTRIG6_EVENT_SELECT_5 (1 << 29)
794#define OASTARTTRIG6_EVENT_SELECT_6 (1 << 30)
795#define OASTARTTRIG6_EVENT_SELECT_7 (1 << 31)
d7965152
RB
796
797#define OASTARTTRIG7 _MMIO(0x2728)
798#define OASTARTTRIG7_NOA_SELECT_MASK 0xf
799#define OASTARTTRIG7_NOA_SELECT_8_SHIFT 0
800#define OASTARTTRIG7_NOA_SELECT_9_SHIFT 4
801#define OASTARTTRIG7_NOA_SELECT_10_SHIFT 8
802#define OASTARTTRIG7_NOA_SELECT_11_SHIFT 12
803#define OASTARTTRIG7_NOA_SELECT_12_SHIFT 16
804#define OASTARTTRIG7_NOA_SELECT_13_SHIFT 20
805#define OASTARTTRIG7_NOA_SELECT_14_SHIFT 24
806#define OASTARTTRIG7_NOA_SELECT_15_SHIFT 28
807
808#define OASTARTTRIG8 _MMIO(0x272c)
809#define OASTARTTRIG8_NOA_SELECT_MASK 0xf
810#define OASTARTTRIG8_NOA_SELECT_0_SHIFT 0
811#define OASTARTTRIG8_NOA_SELECT_1_SHIFT 4
812#define OASTARTTRIG8_NOA_SELECT_2_SHIFT 8
813#define OASTARTTRIG8_NOA_SELECT_3_SHIFT 12
814#define OASTARTTRIG8_NOA_SELECT_4_SHIFT 16
815#define OASTARTTRIG8_NOA_SELECT_5_SHIFT 20
816#define OASTARTTRIG8_NOA_SELECT_6_SHIFT 24
817#define OASTARTTRIG8_NOA_SELECT_7_SHIFT 28
818
7853d92e
LL
819#define OAREPORTTRIG1 _MMIO(0x2740)
820#define OAREPORTTRIG1_THRESHOLD_MASK 0xffff
821#define OAREPORTTRIG1_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
822
823#define OAREPORTTRIG2 _MMIO(0x2744)
5ee8ee86
PZ
824#define OAREPORTTRIG2_INVERT_A_0 (1 << 0)
825#define OAREPORTTRIG2_INVERT_A_1 (1 << 1)
826#define OAREPORTTRIG2_INVERT_A_2 (1 << 2)
827#define OAREPORTTRIG2_INVERT_A_3 (1 << 3)
828#define OAREPORTTRIG2_INVERT_A_4 (1 << 4)
829#define OAREPORTTRIG2_INVERT_A_5 (1 << 5)
830#define OAREPORTTRIG2_INVERT_A_6 (1 << 6)
831#define OAREPORTTRIG2_INVERT_A_7 (1 << 7)
832#define OAREPORTTRIG2_INVERT_A_8 (1 << 8)
833#define OAREPORTTRIG2_INVERT_A_9 (1 << 9)
834#define OAREPORTTRIG2_INVERT_A_10 (1 << 10)
835#define OAREPORTTRIG2_INVERT_A_11 (1 << 11)
836#define OAREPORTTRIG2_INVERT_A_12 (1 << 12)
837#define OAREPORTTRIG2_INVERT_A_13 (1 << 13)
838#define OAREPORTTRIG2_INVERT_A_14 (1 << 14)
839#define OAREPORTTRIG2_INVERT_A_15 (1 << 15)
840#define OAREPORTTRIG2_INVERT_B_0 (1 << 16)
841#define OAREPORTTRIG2_INVERT_B_1 (1 << 17)
842#define OAREPORTTRIG2_INVERT_B_2 (1 << 18)
843#define OAREPORTTRIG2_INVERT_B_3 (1 << 19)
844#define OAREPORTTRIG2_INVERT_C_0 (1 << 20)
845#define OAREPORTTRIG2_INVERT_C_1 (1 << 21)
846#define OAREPORTTRIG2_INVERT_D_0 (1 << 22)
847#define OAREPORTTRIG2_THRESHOLD_ENABLE (1 << 23)
848#define OAREPORTTRIG2_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
849
850#define OAREPORTTRIG3 _MMIO(0x2748)
851#define OAREPORTTRIG3_NOA_SELECT_MASK 0xf
852#define OAREPORTTRIG3_NOA_SELECT_8_SHIFT 0
853#define OAREPORTTRIG3_NOA_SELECT_9_SHIFT 4
854#define OAREPORTTRIG3_NOA_SELECT_10_SHIFT 8
855#define OAREPORTTRIG3_NOA_SELECT_11_SHIFT 12
856#define OAREPORTTRIG3_NOA_SELECT_12_SHIFT 16
857#define OAREPORTTRIG3_NOA_SELECT_13_SHIFT 20
858#define OAREPORTTRIG3_NOA_SELECT_14_SHIFT 24
859#define OAREPORTTRIG3_NOA_SELECT_15_SHIFT 28
860
861#define OAREPORTTRIG4 _MMIO(0x274c)
862#define OAREPORTTRIG4_NOA_SELECT_MASK 0xf
863#define OAREPORTTRIG4_NOA_SELECT_0_SHIFT 0
864#define OAREPORTTRIG4_NOA_SELECT_1_SHIFT 4
865#define OAREPORTTRIG4_NOA_SELECT_2_SHIFT 8
866#define OAREPORTTRIG4_NOA_SELECT_3_SHIFT 12
867#define OAREPORTTRIG4_NOA_SELECT_4_SHIFT 16
868#define OAREPORTTRIG4_NOA_SELECT_5_SHIFT 20
869#define OAREPORTTRIG4_NOA_SELECT_6_SHIFT 24
870#define OAREPORTTRIG4_NOA_SELECT_7_SHIFT 28
871
872#define OAREPORTTRIG5 _MMIO(0x2750)
873#define OAREPORTTRIG5_THRESHOLD_MASK 0xffff
874#define OAREPORTTRIG5_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
875
876#define OAREPORTTRIG6 _MMIO(0x2754)
5ee8ee86
PZ
877#define OAREPORTTRIG6_INVERT_A_0 (1 << 0)
878#define OAREPORTTRIG6_INVERT_A_1 (1 << 1)
879#define OAREPORTTRIG6_INVERT_A_2 (1 << 2)
880#define OAREPORTTRIG6_INVERT_A_3 (1 << 3)
881#define OAREPORTTRIG6_INVERT_A_4 (1 << 4)
882#define OAREPORTTRIG6_INVERT_A_5 (1 << 5)
883#define OAREPORTTRIG6_INVERT_A_6 (1 << 6)
884#define OAREPORTTRIG6_INVERT_A_7 (1 << 7)
885#define OAREPORTTRIG6_INVERT_A_8 (1 << 8)
886#define OAREPORTTRIG6_INVERT_A_9 (1 << 9)
887#define OAREPORTTRIG6_INVERT_A_10 (1 << 10)
888#define OAREPORTTRIG6_INVERT_A_11 (1 << 11)
889#define OAREPORTTRIG6_INVERT_A_12 (1 << 12)
890#define OAREPORTTRIG6_INVERT_A_13 (1 << 13)
891#define OAREPORTTRIG6_INVERT_A_14 (1 << 14)
892#define OAREPORTTRIG6_INVERT_A_15 (1 << 15)
893#define OAREPORTTRIG6_INVERT_B_0 (1 << 16)
894#define OAREPORTTRIG6_INVERT_B_1 (1 << 17)
895#define OAREPORTTRIG6_INVERT_B_2 (1 << 18)
896#define OAREPORTTRIG6_INVERT_B_3 (1 << 19)
897#define OAREPORTTRIG6_INVERT_C_0 (1 << 20)
898#define OAREPORTTRIG6_INVERT_C_1 (1 << 21)
899#define OAREPORTTRIG6_INVERT_D_0 (1 << 22)
900#define OAREPORTTRIG6_THRESHOLD_ENABLE (1 << 23)
901#define OAREPORTTRIG6_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
902
903#define OAREPORTTRIG7 _MMIO(0x2758)
904#define OAREPORTTRIG7_NOA_SELECT_MASK 0xf
905#define OAREPORTTRIG7_NOA_SELECT_8_SHIFT 0
906#define OAREPORTTRIG7_NOA_SELECT_9_SHIFT 4
907#define OAREPORTTRIG7_NOA_SELECT_10_SHIFT 8
908#define OAREPORTTRIG7_NOA_SELECT_11_SHIFT 12
909#define OAREPORTTRIG7_NOA_SELECT_12_SHIFT 16
910#define OAREPORTTRIG7_NOA_SELECT_13_SHIFT 20
911#define OAREPORTTRIG7_NOA_SELECT_14_SHIFT 24
912#define OAREPORTTRIG7_NOA_SELECT_15_SHIFT 28
913
914#define OAREPORTTRIG8 _MMIO(0x275c)
915#define OAREPORTTRIG8_NOA_SELECT_MASK 0xf
916#define OAREPORTTRIG8_NOA_SELECT_0_SHIFT 0
917#define OAREPORTTRIG8_NOA_SELECT_1_SHIFT 4
918#define OAREPORTTRIG8_NOA_SELECT_2_SHIFT 8
919#define OAREPORTTRIG8_NOA_SELECT_3_SHIFT 12
920#define OAREPORTTRIG8_NOA_SELECT_4_SHIFT 16
921#define OAREPORTTRIG8_NOA_SELECT_5_SHIFT 20
922#define OAREPORTTRIG8_NOA_SELECT_6_SHIFT 24
923#define OAREPORTTRIG8_NOA_SELECT_7_SHIFT 28
924
d7965152
RB
925/* CECX_0 */
926#define OACEC_COMPARE_LESS_OR_EQUAL 6
927#define OACEC_COMPARE_NOT_EQUAL 5
928#define OACEC_COMPARE_LESS_THAN 4
929#define OACEC_COMPARE_GREATER_OR_EQUAL 3
930#define OACEC_COMPARE_EQUAL 2
931#define OACEC_COMPARE_GREATER_THAN 1
932#define OACEC_COMPARE_ANY_EQUAL 0
933
934#define OACEC_COMPARE_VALUE_MASK 0xffff
935#define OACEC_COMPARE_VALUE_SHIFT 3
936
5ee8ee86
PZ
937#define OACEC_SELECT_NOA (0 << 19)
938#define OACEC_SELECT_PREV (1 << 19)
939#define OACEC_SELECT_BOOLEAN (2 << 19)
d7965152
RB
940
941/* CECX_1 */
942#define OACEC_MASK_MASK 0xffff
943#define OACEC_CONSIDERATIONS_MASK 0xffff
944#define OACEC_CONSIDERATIONS_SHIFT 16
945
946#define OACEC0_0 _MMIO(0x2770)
947#define OACEC0_1 _MMIO(0x2774)
948#define OACEC1_0 _MMIO(0x2778)
949#define OACEC1_1 _MMIO(0x277c)
950#define OACEC2_0 _MMIO(0x2780)
951#define OACEC2_1 _MMIO(0x2784)
952#define OACEC3_0 _MMIO(0x2788)
953#define OACEC3_1 _MMIO(0x278c)
954#define OACEC4_0 _MMIO(0x2790)
955#define OACEC4_1 _MMIO(0x2794)
956#define OACEC5_0 _MMIO(0x2798)
957#define OACEC5_1 _MMIO(0x279c)
958#define OACEC6_0 _MMIO(0x27a0)
959#define OACEC6_1 _MMIO(0x27a4)
960#define OACEC7_0 _MMIO(0x27a8)
961#define OACEC7_1 _MMIO(0x27ac)
962
f89823c2
LL
963/* OA perf counters */
964#define OA_PERFCNT1_LO _MMIO(0x91B8)
965#define OA_PERFCNT1_HI _MMIO(0x91BC)
966#define OA_PERFCNT2_LO _MMIO(0x91C0)
967#define OA_PERFCNT2_HI _MMIO(0x91C4)
95690a02
LL
968#define OA_PERFCNT3_LO _MMIO(0x91C8)
969#define OA_PERFCNT3_HI _MMIO(0x91CC)
970#define OA_PERFCNT4_LO _MMIO(0x91D8)
971#define OA_PERFCNT4_HI _MMIO(0x91DC)
f89823c2
LL
972
973#define OA_PERFMATRIX_LO _MMIO(0x91C8)
974#define OA_PERFMATRIX_HI _MMIO(0x91CC)
975
976/* RPM unit config (Gen8+) */
977#define RPM_CONFIG0 _MMIO(0x0D00)
dab91783
LL
978#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
979#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (1 << GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
980#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 0
981#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 1
d775a7b1
PZ
982#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
983#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (0x7 << GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
984#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 0
985#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 1
986#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_38_4_MHZ 2
987#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ 3
dab91783
LL
988#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT 1
989#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_MASK (0x3 << GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT)
990
f89823c2 991#define RPM_CONFIG1 _MMIO(0x0D04)
95690a02 992#define GEN10_GT_NOA_ENABLE (1 << 9)
f89823c2 993
dab91783
LL
994/* GPM unit config (Gen9+) */
995#define CTC_MODE _MMIO(0xA26C)
996#define CTC_SOURCE_PARAMETER_MASK 1
997#define CTC_SOURCE_CRYSTAL_CLOCK 0
998#define CTC_SOURCE_DIVIDE_LOGIC 1
999#define CTC_SHIFT_PARAMETER_SHIFT 1
1000#define CTC_SHIFT_PARAMETER_MASK (0x3 << CTC_SHIFT_PARAMETER_SHIFT)
1001
5888576b
LL
1002/* RCP unit config (Gen8+) */
1003#define RCP_CONFIG _MMIO(0x0D08)
f89823c2 1004
a54b19f1
LL
1005/* NOA (HSW) */
1006#define HSW_MBVID2_NOA0 _MMIO(0x9E80)
1007#define HSW_MBVID2_NOA1 _MMIO(0x9E84)
1008#define HSW_MBVID2_NOA2 _MMIO(0x9E88)
1009#define HSW_MBVID2_NOA3 _MMIO(0x9E8C)
1010#define HSW_MBVID2_NOA4 _MMIO(0x9E90)
1011#define HSW_MBVID2_NOA5 _MMIO(0x9E94)
1012#define HSW_MBVID2_NOA6 _MMIO(0x9E98)
1013#define HSW_MBVID2_NOA7 _MMIO(0x9E9C)
1014#define HSW_MBVID2_NOA8 _MMIO(0x9EA0)
1015#define HSW_MBVID2_NOA9 _MMIO(0x9EA4)
1016
1017#define HSW_MBVID2_MISR0 _MMIO(0x9EC0)
1018
f89823c2
LL
1019/* NOA (Gen8+) */
1020#define NOA_CONFIG(i) _MMIO(0x0D0C + (i) * 4)
1021
1022#define MICRO_BP0_0 _MMIO(0x9800)
1023#define MICRO_BP0_2 _MMIO(0x9804)
1024#define MICRO_BP0_1 _MMIO(0x9808)
1025
1026#define MICRO_BP1_0 _MMIO(0x980C)
1027#define MICRO_BP1_2 _MMIO(0x9810)
1028#define MICRO_BP1_1 _MMIO(0x9814)
1029
1030#define MICRO_BP2_0 _MMIO(0x9818)
1031#define MICRO_BP2_2 _MMIO(0x981C)
1032#define MICRO_BP2_1 _MMIO(0x9820)
1033
1034#define MICRO_BP3_0 _MMIO(0x9824)
1035#define MICRO_BP3_2 _MMIO(0x9828)
1036#define MICRO_BP3_1 _MMIO(0x982C)
1037
1038#define MICRO_BP_TRIGGER _MMIO(0x9830)
1039#define MICRO_BP3_COUNT_STATUS01 _MMIO(0x9834)
1040#define MICRO_BP3_COUNT_STATUS23 _MMIO(0x9838)
1041#define MICRO_BP_FIRED_ARMED _MMIO(0x983C)
1042
1043#define GDT_CHICKEN_BITS _MMIO(0x9840)
1044#define GT_NOA_ENABLE 0x00000080
1045
1046#define NOA_DATA _MMIO(0x986C)
1047#define NOA_WRITE _MMIO(0x9888)
bf210f6c 1048#define GEN10_NOA_WRITE_HIGH _MMIO(0x9884)
180b813c 1049
220375aa
BV
1050#define _GEN7_PIPEA_DE_LOAD_SL 0x70068
1051#define _GEN7_PIPEB_DE_LOAD_SL 0x71068
f0f59a00 1052#define GEN7_PIPE_DE_LOAD_SL(pipe) _MMIO_PIPE(pipe, _GEN7_PIPEA_DE_LOAD_SL, _GEN7_PIPEB_DE_LOAD_SL)
220375aa 1053
dc96e9b8
CW
1054/*
1055 * Reset registers
1056 */
f0f59a00 1057#define DEBUG_RESET_I830 _MMIO(0x6070)
5ee8ee86
PZ
1058#define DEBUG_RESET_FULL (1 << 7)
1059#define DEBUG_RESET_RENDER (1 << 8)
1060#define DEBUG_RESET_DISPLAY (1 << 9)
dc96e9b8 1061
57f350b6 1062/*
5a09ae9f
JN
1063 * IOSF sideband
1064 */
f0f59a00 1065#define VLV_IOSF_DOORBELL_REQ _MMIO(VLV_DISPLAY_BASE + 0x2100)
5a09ae9f
JN
1066#define IOSF_DEVFN_SHIFT 24
1067#define IOSF_OPCODE_SHIFT 16
1068#define IOSF_PORT_SHIFT 8
1069#define IOSF_BYTE_ENABLES_SHIFT 4
1070#define IOSF_BAR_SHIFT 1
5ee8ee86 1071#define IOSF_SB_BUSY (1 << 0)
4688d45f
JN
1072#define IOSF_PORT_BUNIT 0x03
1073#define IOSF_PORT_PUNIT 0x04
5a09ae9f
JN
1074#define IOSF_PORT_NC 0x11
1075#define IOSF_PORT_DPIO 0x12
e9f882a3
JN
1076#define IOSF_PORT_GPIO_NC 0x13
1077#define IOSF_PORT_CCK 0x14
4688d45f
JN
1078#define IOSF_PORT_DPIO_2 0x1a
1079#define IOSF_PORT_FLISDSI 0x1b
dfb19ed2
D
1080#define IOSF_PORT_GPIO_SC 0x48
1081#define IOSF_PORT_GPIO_SUS 0xa8
4688d45f 1082#define IOSF_PORT_CCU 0xa9
7071af97
JN
1083#define CHV_IOSF_PORT_GPIO_N 0x13
1084#define CHV_IOSF_PORT_GPIO_SE 0x48
1085#define CHV_IOSF_PORT_GPIO_E 0xa8
1086#define CHV_IOSF_PORT_GPIO_SW 0xb2
f0f59a00
VS
1087#define VLV_IOSF_DATA _MMIO(VLV_DISPLAY_BASE + 0x2104)
1088#define VLV_IOSF_ADDR _MMIO(VLV_DISPLAY_BASE + 0x2108)
5a09ae9f 1089
30a970c6
JB
1090/* See configdb bunit SB addr map */
1091#define BUNIT_REG_BISOC 0x11
1092
5e0b6697
VS
1093/* PUNIT_REG_*SSPM0 */
1094#define _SSPM0_SSC(val) ((val) << 0)
1095#define SSPM0_SSC_MASK _SSPM0_SSC(0x3)
1096#define SSPM0_SSC_PWR_ON _SSPM0_SSC(0x0)
1097#define SSPM0_SSC_CLK_GATE _SSPM0_SSC(0x1)
1098#define SSPM0_SSC_RESET _SSPM0_SSC(0x2)
1099#define SSPM0_SSC_PWR_GATE _SSPM0_SSC(0x3)
1100#define _SSPM0_SSS(val) ((val) << 24)
1101#define SSPM0_SSS_MASK _SSPM0_SSS(0x3)
1102#define SSPM0_SSS_PWR_ON _SSPM0_SSS(0x0)
1103#define SSPM0_SSS_CLK_GATE _SSPM0_SSS(0x1)
1104#define SSPM0_SSS_RESET _SSPM0_SSS(0x2)
1105#define SSPM0_SSS_PWR_GATE _SSPM0_SSS(0x3)
1106
1107/* PUNIT_REG_*SSPM1 */
1108#define SSPM1_FREQSTAT_SHIFT 24
1109#define SSPM1_FREQSTAT_MASK (0x1f << SSPM1_FREQSTAT_SHIFT)
1110#define SSPM1_FREQGUAR_SHIFT 8
1111#define SSPM1_FREQGUAR_MASK (0x1f << SSPM1_FREQGUAR_SHIFT)
1112#define SSPM1_FREQ_SHIFT 0
1113#define SSPM1_FREQ_MASK (0x1f << SSPM1_FREQ_SHIFT)
1114
1115#define PUNIT_REG_VEDSSPM0 0x32
1116#define PUNIT_REG_VEDSSPM1 0x33
1117
c11b813f 1118#define PUNIT_REG_DSPSSPM 0x36
383c5a6a
VS
1119#define DSPFREQSTAT_SHIFT_CHV 24
1120#define DSPFREQSTAT_MASK_CHV (0x1f << DSPFREQSTAT_SHIFT_CHV)
1121#define DSPFREQGUAR_SHIFT_CHV 8
1122#define DSPFREQGUAR_MASK_CHV (0x1f << DSPFREQGUAR_SHIFT_CHV)
30a970c6
JB
1123#define DSPFREQSTAT_SHIFT 30
1124#define DSPFREQSTAT_MASK (0x3 << DSPFREQSTAT_SHIFT)
1125#define DSPFREQGUAR_SHIFT 14
1126#define DSPFREQGUAR_MASK (0x3 << DSPFREQGUAR_SHIFT)
cfb41411
VS
1127#define DSP_MAXFIFO_PM5_STATUS (1 << 22) /* chv */
1128#define DSP_AUTO_CDCLK_GATE_DISABLE (1 << 7) /* chv */
1129#define DSP_MAXFIFO_PM5_ENABLE (1 << 6) /* chv */
26972b0a
VS
1130#define _DP_SSC(val, pipe) ((val) << (2 * (pipe)))
1131#define DP_SSC_MASK(pipe) _DP_SSC(0x3, (pipe))
1132#define DP_SSC_PWR_ON(pipe) _DP_SSC(0x0, (pipe))
1133#define DP_SSC_CLK_GATE(pipe) _DP_SSC(0x1, (pipe))
1134#define DP_SSC_RESET(pipe) _DP_SSC(0x2, (pipe))
1135#define DP_SSC_PWR_GATE(pipe) _DP_SSC(0x3, (pipe))
1136#define _DP_SSS(val, pipe) ((val) << (2 * (pipe) + 16))
1137#define DP_SSS_MASK(pipe) _DP_SSS(0x3, (pipe))
1138#define DP_SSS_PWR_ON(pipe) _DP_SSS(0x0, (pipe))
1139#define DP_SSS_CLK_GATE(pipe) _DP_SSS(0x1, (pipe))
1140#define DP_SSS_RESET(pipe) _DP_SSS(0x2, (pipe))
1141#define DP_SSS_PWR_GATE(pipe) _DP_SSS(0x3, (pipe))
a30180a5 1142
5e0b6697
VS
1143#define PUNIT_REG_ISPSSPM0 0x39
1144#define PUNIT_REG_ISPSSPM1 0x3a
1145
02f4c9e0
CML
1146#define PUNIT_REG_PWRGT_CTRL 0x60
1147#define PUNIT_REG_PWRGT_STATUS 0x61
d13dd05a
ID
1148#define PUNIT_PWRGT_MASK(pw_idx) (3 << ((pw_idx) * 2))
1149#define PUNIT_PWRGT_PWR_ON(pw_idx) (0 << ((pw_idx) * 2))
1150#define PUNIT_PWRGT_CLK_GATE(pw_idx) (1 << ((pw_idx) * 2))
1151#define PUNIT_PWRGT_RESET(pw_idx) (2 << ((pw_idx) * 2))
1152#define PUNIT_PWRGT_PWR_GATE(pw_idx) (3 << ((pw_idx) * 2))
1153
1154#define PUNIT_PWGT_IDX_RENDER 0
1155#define PUNIT_PWGT_IDX_MEDIA 1
1156#define PUNIT_PWGT_IDX_DISP2D 3
1157#define PUNIT_PWGT_IDX_DPIO_CMN_BC 5
1158#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_01 6
1159#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_23 7
1160#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_01 8
1161#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_23 9
1162#define PUNIT_PWGT_IDX_DPIO_RX0 10
1163#define PUNIT_PWGT_IDX_DPIO_RX1 11
1164#define PUNIT_PWGT_IDX_DPIO_CMN_D 12
02f4c9e0 1165
5a09ae9f
JN
1166#define PUNIT_REG_GPU_LFM 0xd3
1167#define PUNIT_REG_GPU_FREQ_REQ 0xd4
1168#define PUNIT_REG_GPU_FREQ_STS 0xd8
5ee8ee86
PZ
1169#define GPLLENABLE (1 << 4)
1170#define GENFREQSTATUS (1 << 0)
5a09ae9f 1171#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
31685c25 1172#define PUNIT_REG_CZ_TIMESTAMP 0xce
5a09ae9f
JN
1173
1174#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
1175#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
1176
095acd5f
D
1177#define FB_GFX_FMAX_AT_VMAX_FUSE 0x136
1178#define FB_GFX_FREQ_FUSE_MASK 0xff
1179#define FB_GFX_FMAX_AT_VMAX_2SS4EU_FUSE_SHIFT 24
1180#define FB_GFX_FMAX_AT_VMAX_2SS6EU_FUSE_SHIFT 16
1181#define FB_GFX_FMAX_AT_VMAX_2SS8EU_FUSE_SHIFT 8
1182
1183#define FB_GFX_FMIN_AT_VMIN_FUSE 0x137
1184#define FB_GFX_FMIN_AT_VMIN_FUSE_SHIFT 8
1185
fc1ac8de
VS
1186#define PUNIT_REG_DDR_SETUP2 0x139
1187#define FORCE_DDR_FREQ_REQ_ACK (1 << 8)
1188#define FORCE_DDR_LOW_FREQ (1 << 1)
1189#define FORCE_DDR_HIGH_FREQ (1 << 0)
1190
2b6b3a09
D
1191#define PUNIT_GPU_STATUS_REG 0xdb
1192#define PUNIT_GPU_STATUS_MAX_FREQ_SHIFT 16
1193#define PUNIT_GPU_STATUS_MAX_FREQ_MASK 0xff
1194#define PUNIT_GPU_STATIS_GFX_MIN_FREQ_SHIFT 8
1195#define PUNIT_GPU_STATUS_GFX_MIN_FREQ_MASK 0xff
1196
1197#define PUNIT_GPU_DUTYCYCLE_REG 0xdf
1198#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_SHIFT 8
1199#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_MASK 0xff
1200
5a09ae9f
JN
1201#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
1202#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
1203#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
1204#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
1205#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
1206#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
1207#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
1208#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
1209#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
1210#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
1211
af7187b7
PZ
1212#define VLV_TURBO_SOC_OVERRIDE 0x04
1213#define VLV_OVERRIDE_EN 1
1214#define VLV_SOC_TDP_EN (1 << 1)
1215#define VLV_BIAS_CPU_125_SOC_875 (6 << 2)
1216#define CHV_BIAS_CPU_50_SOC_50 (3 << 2)
3ef62342 1217
be4fc046 1218/* vlv2 north clock has */
24eb2d59
CML
1219#define CCK_FUSE_REG 0x8
1220#define CCK_FUSE_HPLL_FREQ_MASK 0x3
be4fc046 1221#define CCK_REG_DSI_PLL_FUSE 0x44
1222#define CCK_REG_DSI_PLL_CONTROL 0x48
1223#define DSI_PLL_VCO_EN (1 << 31)
1224#define DSI_PLL_LDO_GATE (1 << 30)
1225#define DSI_PLL_P1_POST_DIV_SHIFT 17
1226#define DSI_PLL_P1_POST_DIV_MASK (0x1ff << 17)
1227#define DSI_PLL_P2_MUX_DSI0_DIV2 (1 << 13)
1228#define DSI_PLL_P3_MUX_DSI1_DIV2 (1 << 12)
1229#define DSI_PLL_MUX_MASK (3 << 9)
1230#define DSI_PLL_MUX_DSI0_DSIPLL (0 << 10)
1231#define DSI_PLL_MUX_DSI0_CCK (1 << 10)
1232#define DSI_PLL_MUX_DSI1_DSIPLL (0 << 9)
1233#define DSI_PLL_MUX_DSI1_CCK (1 << 9)
1234#define DSI_PLL_CLK_GATE_MASK (0xf << 5)
1235#define DSI_PLL_CLK_GATE_DSI0_DSIPLL (1 << 8)
1236#define DSI_PLL_CLK_GATE_DSI1_DSIPLL (1 << 7)
1237#define DSI_PLL_CLK_GATE_DSI0_CCK (1 << 6)
1238#define DSI_PLL_CLK_GATE_DSI1_CCK (1 << 5)
1239#define DSI_PLL_LOCK (1 << 0)
1240#define CCK_REG_DSI_PLL_DIVIDER 0x4c
1241#define DSI_PLL_LFSR (1 << 31)
1242#define DSI_PLL_FRACTION_EN (1 << 30)
1243#define DSI_PLL_FRAC_COUNTER_SHIFT 27
1244#define DSI_PLL_FRAC_COUNTER_MASK (7 << 27)
1245#define DSI_PLL_USYNC_CNT_SHIFT 18
1246#define DSI_PLL_USYNC_CNT_MASK (0x1ff << 18)
1247#define DSI_PLL_N1_DIV_SHIFT 16
1248#define DSI_PLL_N1_DIV_MASK (3 << 16)
1249#define DSI_PLL_M1_DIV_SHIFT 0
1250#define DSI_PLL_M1_DIV_MASK (0x1ff << 0)
bfa7df01 1251#define CCK_CZ_CLOCK_CONTROL 0x62
c30fec65 1252#define CCK_GPLL_CLOCK_CONTROL 0x67
30a970c6 1253#define CCK_DISPLAY_CLOCK_CONTROL 0x6b
35d38d1f 1254#define CCK_DISPLAY_REF_CLOCK_CONTROL 0x6c
87d5d259
VK
1255#define CCK_TRUNK_FORCE_ON (1 << 17)
1256#define CCK_TRUNK_FORCE_OFF (1 << 16)
1257#define CCK_FREQUENCY_STATUS (0x1f << 8)
1258#define CCK_FREQUENCY_STATUS_SHIFT 8
1259#define CCK_FREQUENCY_VALUES (0x1f << 0)
be4fc046 1260
f38861b8 1261/* DPIO registers */
5a09ae9f 1262#define DPIO_DEVFN 0
5a09ae9f 1263
f0f59a00 1264#define DPIO_CTL _MMIO(VLV_DISPLAY_BASE + 0x2110)
5ee8ee86
PZ
1265#define DPIO_MODSEL1 (1 << 3) /* if ref clk b == 27 */
1266#define DPIO_MODSEL0 (1 << 2) /* if ref clk a == 27 */
1267#define DPIO_SFR_BYPASS (1 << 1)
1268#define DPIO_CMNRST (1 << 0)
57f350b6 1269
e4607fcf
CML
1270#define DPIO_PHY(pipe) ((pipe) >> 1)
1271#define DPIO_PHY_IOSF_PORT(phy) (dev_priv->dpio_phy_iosf_port[phy])
1272
598fac6b
DV
1273/*
1274 * Per pipe/PLL DPIO regs
1275 */
ab3c759a 1276#define _VLV_PLL_DW3_CH0 0x800c
57f350b6 1277#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
1278#define DPIO_POST_DIV_DAC 0
1279#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
1280#define DPIO_POST_DIV_LVDS1 2
1281#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
1282#define DPIO_K_SHIFT (24) /* 4 bits */
1283#define DPIO_P1_SHIFT (21) /* 3 bits */
1284#define DPIO_P2_SHIFT (16) /* 5 bits */
1285#define DPIO_N_SHIFT (12) /* 4 bits */
5ee8ee86 1286#define DPIO_ENABLE_CALIBRATION (1 << 11)
57f350b6
JB
1287#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
1288#define DPIO_M2DIV_MASK 0xff
ab3c759a
CML
1289#define _VLV_PLL_DW3_CH1 0x802c
1290#define VLV_PLL_DW3(ch) _PIPE(ch, _VLV_PLL_DW3_CH0, _VLV_PLL_DW3_CH1)
57f350b6 1291
ab3c759a 1292#define _VLV_PLL_DW5_CH0 0x8014
57f350b6
JB
1293#define DPIO_REFSEL_OVERRIDE 27
1294#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
1295#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
1296#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 1297#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
1298#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
1299#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
ab3c759a
CML
1300#define _VLV_PLL_DW5_CH1 0x8034
1301#define VLV_PLL_DW5(ch) _PIPE(ch, _VLV_PLL_DW5_CH0, _VLV_PLL_DW5_CH1)
57f350b6 1302
ab3c759a
CML
1303#define _VLV_PLL_DW7_CH0 0x801c
1304#define _VLV_PLL_DW7_CH1 0x803c
1305#define VLV_PLL_DW7(ch) _PIPE(ch, _VLV_PLL_DW7_CH0, _VLV_PLL_DW7_CH1)
57f350b6 1306
ab3c759a
CML
1307#define _VLV_PLL_DW8_CH0 0x8040
1308#define _VLV_PLL_DW8_CH1 0x8060
1309#define VLV_PLL_DW8(ch) _PIPE(ch, _VLV_PLL_DW8_CH0, _VLV_PLL_DW8_CH1)
598fac6b 1310
ab3c759a
CML
1311#define VLV_PLL_DW9_BCAST 0xc044
1312#define _VLV_PLL_DW9_CH0 0x8044
1313#define _VLV_PLL_DW9_CH1 0x8064
1314#define VLV_PLL_DW9(ch) _PIPE(ch, _VLV_PLL_DW9_CH0, _VLV_PLL_DW9_CH1)
598fac6b 1315
ab3c759a
CML
1316#define _VLV_PLL_DW10_CH0 0x8048
1317#define _VLV_PLL_DW10_CH1 0x8068
1318#define VLV_PLL_DW10(ch) _PIPE(ch, _VLV_PLL_DW10_CH0, _VLV_PLL_DW10_CH1)
598fac6b 1319
ab3c759a
CML
1320#define _VLV_PLL_DW11_CH0 0x804c
1321#define _VLV_PLL_DW11_CH1 0x806c
1322#define VLV_PLL_DW11(ch) _PIPE(ch, _VLV_PLL_DW11_CH0, _VLV_PLL_DW11_CH1)
57f350b6 1323
ab3c759a
CML
1324/* Spec for ref block start counts at DW10 */
1325#define VLV_REF_DW13 0x80ac
598fac6b 1326
ab3c759a 1327#define VLV_CMN_DW0 0x8100
dc96e9b8 1328
598fac6b
DV
1329/*
1330 * Per DDI channel DPIO regs
1331 */
1332
ab3c759a
CML
1333#define _VLV_PCS_DW0_CH0 0x8200
1334#define _VLV_PCS_DW0_CH1 0x8400
5ee8ee86
PZ
1335#define DPIO_PCS_TX_LANE2_RESET (1 << 16)
1336#define DPIO_PCS_TX_LANE1_RESET (1 << 7)
1337#define DPIO_LEFT_TXFIFO_RST_MASTER2 (1 << 4)
1338#define DPIO_RIGHT_TXFIFO_RST_MASTER2 (1 << 3)
ab3c759a 1339#define VLV_PCS_DW0(ch) _PORT(ch, _VLV_PCS_DW0_CH0, _VLV_PCS_DW0_CH1)
598fac6b 1340
97fd4d5c
VS
1341#define _VLV_PCS01_DW0_CH0 0x200
1342#define _VLV_PCS23_DW0_CH0 0x400
1343#define _VLV_PCS01_DW0_CH1 0x2600
1344#define _VLV_PCS23_DW0_CH1 0x2800
1345#define VLV_PCS01_DW0(ch) _PORT(ch, _VLV_PCS01_DW0_CH0, _VLV_PCS01_DW0_CH1)
1346#define VLV_PCS23_DW0(ch) _PORT(ch, _VLV_PCS23_DW0_CH0, _VLV_PCS23_DW0_CH1)
1347
ab3c759a
CML
1348#define _VLV_PCS_DW1_CH0 0x8204
1349#define _VLV_PCS_DW1_CH1 0x8404
5ee8ee86
PZ
1350#define CHV_PCS_REQ_SOFTRESET_EN (1 << 23)
1351#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1 << 22)
1352#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1 << 21)
598fac6b 1353#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
5ee8ee86 1354#define DPIO_PCS_CLK_SOFT_RESET (1 << 5)
ab3c759a
CML
1355#define VLV_PCS_DW1(ch) _PORT(ch, _VLV_PCS_DW1_CH0, _VLV_PCS_DW1_CH1)
1356
97fd4d5c
VS
1357#define _VLV_PCS01_DW1_CH0 0x204
1358#define _VLV_PCS23_DW1_CH0 0x404
1359#define _VLV_PCS01_DW1_CH1 0x2604
1360#define _VLV_PCS23_DW1_CH1 0x2804
1361#define VLV_PCS01_DW1(ch) _PORT(ch, _VLV_PCS01_DW1_CH0, _VLV_PCS01_DW1_CH1)
1362#define VLV_PCS23_DW1(ch) _PORT(ch, _VLV_PCS23_DW1_CH0, _VLV_PCS23_DW1_CH1)
1363
ab3c759a
CML
1364#define _VLV_PCS_DW8_CH0 0x8220
1365#define _VLV_PCS_DW8_CH1 0x8420
9197c88b
VS
1366#define CHV_PCS_USEDCLKCHANNEL_OVRRIDE (1 << 20)
1367#define CHV_PCS_USEDCLKCHANNEL (1 << 21)
ab3c759a
CML
1368#define VLV_PCS_DW8(ch) _PORT(ch, _VLV_PCS_DW8_CH0, _VLV_PCS_DW8_CH1)
1369
1370#define _VLV_PCS01_DW8_CH0 0x0220
1371#define _VLV_PCS23_DW8_CH0 0x0420
1372#define _VLV_PCS01_DW8_CH1 0x2620
1373#define _VLV_PCS23_DW8_CH1 0x2820
1374#define VLV_PCS01_DW8(port) _PORT(port, _VLV_PCS01_DW8_CH0, _VLV_PCS01_DW8_CH1)
1375#define VLV_PCS23_DW8(port) _PORT(port, _VLV_PCS23_DW8_CH0, _VLV_PCS23_DW8_CH1)
1376
1377#define _VLV_PCS_DW9_CH0 0x8224
1378#define _VLV_PCS_DW9_CH1 0x8424
5ee8ee86
PZ
1379#define DPIO_PCS_TX2MARGIN_MASK (0x7 << 13)
1380#define DPIO_PCS_TX2MARGIN_000 (0 << 13)
1381#define DPIO_PCS_TX2MARGIN_101 (1 << 13)
1382#define DPIO_PCS_TX1MARGIN_MASK (0x7 << 10)
1383#define DPIO_PCS_TX1MARGIN_000 (0 << 10)
1384#define DPIO_PCS_TX1MARGIN_101 (1 << 10)
ab3c759a
CML
1385#define VLV_PCS_DW9(ch) _PORT(ch, _VLV_PCS_DW9_CH0, _VLV_PCS_DW9_CH1)
1386
a02ef3c7
VS
1387#define _VLV_PCS01_DW9_CH0 0x224
1388#define _VLV_PCS23_DW9_CH0 0x424
1389#define _VLV_PCS01_DW9_CH1 0x2624
1390#define _VLV_PCS23_DW9_CH1 0x2824
1391#define VLV_PCS01_DW9(ch) _PORT(ch, _VLV_PCS01_DW9_CH0, _VLV_PCS01_DW9_CH1)
1392#define VLV_PCS23_DW9(ch) _PORT(ch, _VLV_PCS23_DW9_CH0, _VLV_PCS23_DW9_CH1)
1393
9d556c99
CML
1394#define _CHV_PCS_DW10_CH0 0x8228
1395#define _CHV_PCS_DW10_CH1 0x8428
5ee8ee86
PZ
1396#define DPIO_PCS_SWING_CALC_TX0_TX2 (1 << 30)
1397#define DPIO_PCS_SWING_CALC_TX1_TX3 (1 << 31)
1398#define DPIO_PCS_TX2DEEMP_MASK (0xf << 24)
1399#define DPIO_PCS_TX2DEEMP_9P5 (0 << 24)
1400#define DPIO_PCS_TX2DEEMP_6P0 (2 << 24)
1401#define DPIO_PCS_TX1DEEMP_MASK (0xf << 16)
1402#define DPIO_PCS_TX1DEEMP_9P5 (0 << 16)
1403#define DPIO_PCS_TX1DEEMP_6P0 (2 << 16)
9d556c99
CML
1404#define CHV_PCS_DW10(ch) _PORT(ch, _CHV_PCS_DW10_CH0, _CHV_PCS_DW10_CH1)
1405
1966e59e
VS
1406#define _VLV_PCS01_DW10_CH0 0x0228
1407#define _VLV_PCS23_DW10_CH0 0x0428
1408#define _VLV_PCS01_DW10_CH1 0x2628
1409#define _VLV_PCS23_DW10_CH1 0x2828
1410#define VLV_PCS01_DW10(port) _PORT(port, _VLV_PCS01_DW10_CH0, _VLV_PCS01_DW10_CH1)
1411#define VLV_PCS23_DW10(port) _PORT(port, _VLV_PCS23_DW10_CH0, _VLV_PCS23_DW10_CH1)
1412
ab3c759a
CML
1413#define _VLV_PCS_DW11_CH0 0x822c
1414#define _VLV_PCS_DW11_CH1 0x842c
5ee8ee86
PZ
1415#define DPIO_TX2_STAGGER_MASK(x) ((x) << 24)
1416#define DPIO_LANEDESKEW_STRAP_OVRD (1 << 3)
1417#define DPIO_LEFT_TXFIFO_RST_MASTER (1 << 1)
1418#define DPIO_RIGHT_TXFIFO_RST_MASTER (1 << 0)
ab3c759a
CML
1419#define VLV_PCS_DW11(ch) _PORT(ch, _VLV_PCS_DW11_CH0, _VLV_PCS_DW11_CH1)
1420
570e2a74
VS
1421#define _VLV_PCS01_DW11_CH0 0x022c
1422#define _VLV_PCS23_DW11_CH0 0x042c
1423#define _VLV_PCS01_DW11_CH1 0x262c
1424#define _VLV_PCS23_DW11_CH1 0x282c
142d2eca
VS
1425#define VLV_PCS01_DW11(ch) _PORT(ch, _VLV_PCS01_DW11_CH0, _VLV_PCS01_DW11_CH1)
1426#define VLV_PCS23_DW11(ch) _PORT(ch, _VLV_PCS23_DW11_CH0, _VLV_PCS23_DW11_CH1)
570e2a74 1427
2e523e98
VS
1428#define _VLV_PCS01_DW12_CH0 0x0230
1429#define _VLV_PCS23_DW12_CH0 0x0430
1430#define _VLV_PCS01_DW12_CH1 0x2630
1431#define _VLV_PCS23_DW12_CH1 0x2830
1432#define VLV_PCS01_DW12(ch) _PORT(ch, _VLV_PCS01_DW12_CH0, _VLV_PCS01_DW12_CH1)
1433#define VLV_PCS23_DW12(ch) _PORT(ch, _VLV_PCS23_DW12_CH0, _VLV_PCS23_DW12_CH1)
1434
ab3c759a
CML
1435#define _VLV_PCS_DW12_CH0 0x8230
1436#define _VLV_PCS_DW12_CH1 0x8430
5ee8ee86
PZ
1437#define DPIO_TX2_STAGGER_MULT(x) ((x) << 20)
1438#define DPIO_TX1_STAGGER_MULT(x) ((x) << 16)
1439#define DPIO_TX1_STAGGER_MASK(x) ((x) << 8)
1440#define DPIO_LANESTAGGER_STRAP_OVRD (1 << 6)
1441#define DPIO_LANESTAGGER_STRAP(x) ((x) << 0)
ab3c759a
CML
1442#define VLV_PCS_DW12(ch) _PORT(ch, _VLV_PCS_DW12_CH0, _VLV_PCS_DW12_CH1)
1443
1444#define _VLV_PCS_DW14_CH0 0x8238
1445#define _VLV_PCS_DW14_CH1 0x8438
1446#define VLV_PCS_DW14(ch) _PORT(ch, _VLV_PCS_DW14_CH0, _VLV_PCS_DW14_CH1)
1447
1448#define _VLV_PCS_DW23_CH0 0x825c
1449#define _VLV_PCS_DW23_CH1 0x845c
1450#define VLV_PCS_DW23(ch) _PORT(ch, _VLV_PCS_DW23_CH0, _VLV_PCS_DW23_CH1)
1451
1452#define _VLV_TX_DW2_CH0 0x8288
1453#define _VLV_TX_DW2_CH1 0x8488
1fb44505
VS
1454#define DPIO_SWING_MARGIN000_SHIFT 16
1455#define DPIO_SWING_MARGIN000_MASK (0xff << DPIO_SWING_MARGIN000_SHIFT)
9d556c99 1456#define DPIO_UNIQ_TRANS_SCALE_SHIFT 8
ab3c759a
CML
1457#define VLV_TX_DW2(ch) _PORT(ch, _VLV_TX_DW2_CH0, _VLV_TX_DW2_CH1)
1458
1459#define _VLV_TX_DW3_CH0 0x828c
1460#define _VLV_TX_DW3_CH1 0x848c
9d556c99 1461/* The following bit for CHV phy */
5ee8ee86 1462#define DPIO_TX_UNIQ_TRANS_SCALE_EN (1 << 27)
1fb44505
VS
1463#define DPIO_SWING_MARGIN101_SHIFT 16
1464#define DPIO_SWING_MARGIN101_MASK (0xff << DPIO_SWING_MARGIN101_SHIFT)
ab3c759a
CML
1465#define VLV_TX_DW3(ch) _PORT(ch, _VLV_TX_DW3_CH0, _VLV_TX_DW3_CH1)
1466
1467#define _VLV_TX_DW4_CH0 0x8290
1468#define _VLV_TX_DW4_CH1 0x8490
9d556c99
CML
1469#define DPIO_SWING_DEEMPH9P5_SHIFT 24
1470#define DPIO_SWING_DEEMPH9P5_MASK (0xff << DPIO_SWING_DEEMPH9P5_SHIFT)
1fb44505
VS
1471#define DPIO_SWING_DEEMPH6P0_SHIFT 16
1472#define DPIO_SWING_DEEMPH6P0_MASK (0xff << DPIO_SWING_DEEMPH6P0_SHIFT)
ab3c759a
CML
1473#define VLV_TX_DW4(ch) _PORT(ch, _VLV_TX_DW4_CH0, _VLV_TX_DW4_CH1)
1474
1475#define _VLV_TX3_DW4_CH0 0x690
1476#define _VLV_TX3_DW4_CH1 0x2a90
1477#define VLV_TX3_DW4(ch) _PORT(ch, _VLV_TX3_DW4_CH0, _VLV_TX3_DW4_CH1)
1478
1479#define _VLV_TX_DW5_CH0 0x8294
1480#define _VLV_TX_DW5_CH1 0x8494
5ee8ee86 1481#define DPIO_TX_OCALINIT_EN (1 << 31)
ab3c759a
CML
1482#define VLV_TX_DW5(ch) _PORT(ch, _VLV_TX_DW5_CH0, _VLV_TX_DW5_CH1)
1483
1484#define _VLV_TX_DW11_CH0 0x82ac
1485#define _VLV_TX_DW11_CH1 0x84ac
1486#define VLV_TX_DW11(ch) _PORT(ch, _VLV_TX_DW11_CH0, _VLV_TX_DW11_CH1)
1487
1488#define _VLV_TX_DW14_CH0 0x82b8
1489#define _VLV_TX_DW14_CH1 0x84b8
1490#define VLV_TX_DW14(ch) _PORT(ch, _VLV_TX_DW14_CH0, _VLV_TX_DW14_CH1)
b56747aa 1491
9d556c99
CML
1492/* CHV dpPhy registers */
1493#define _CHV_PLL_DW0_CH0 0x8000
1494#define _CHV_PLL_DW0_CH1 0x8180
1495#define CHV_PLL_DW0(ch) _PIPE(ch, _CHV_PLL_DW0_CH0, _CHV_PLL_DW0_CH1)
1496
1497#define _CHV_PLL_DW1_CH0 0x8004
1498#define _CHV_PLL_DW1_CH1 0x8184
1499#define DPIO_CHV_N_DIV_SHIFT 8
1500#define DPIO_CHV_M1_DIV_BY_2 (0 << 0)
1501#define CHV_PLL_DW1(ch) _PIPE(ch, _CHV_PLL_DW1_CH0, _CHV_PLL_DW1_CH1)
1502
1503#define _CHV_PLL_DW2_CH0 0x8008
1504#define _CHV_PLL_DW2_CH1 0x8188
1505#define CHV_PLL_DW2(ch) _PIPE(ch, _CHV_PLL_DW2_CH0, _CHV_PLL_DW2_CH1)
1506
1507#define _CHV_PLL_DW3_CH0 0x800c
1508#define _CHV_PLL_DW3_CH1 0x818c
1509#define DPIO_CHV_FRAC_DIV_EN (1 << 16)
1510#define DPIO_CHV_FIRST_MOD (0 << 8)
1511#define DPIO_CHV_SECOND_MOD (1 << 8)
1512#define DPIO_CHV_FEEDFWD_GAIN_SHIFT 0
a945ce7e 1513#define DPIO_CHV_FEEDFWD_GAIN_MASK (0xF << 0)
9d556c99
CML
1514#define CHV_PLL_DW3(ch) _PIPE(ch, _CHV_PLL_DW3_CH0, _CHV_PLL_DW3_CH1)
1515
1516#define _CHV_PLL_DW6_CH0 0x8018
1517#define _CHV_PLL_DW6_CH1 0x8198
1518#define DPIO_CHV_GAIN_CTRL_SHIFT 16
1519#define DPIO_CHV_INT_COEFF_SHIFT 8
1520#define DPIO_CHV_PROP_COEFF_SHIFT 0
1521#define CHV_PLL_DW6(ch) _PIPE(ch, _CHV_PLL_DW6_CH0, _CHV_PLL_DW6_CH1)
1522
d3eee4ba
VP
1523#define _CHV_PLL_DW8_CH0 0x8020
1524#define _CHV_PLL_DW8_CH1 0x81A0
9cbe40c1
VP
1525#define DPIO_CHV_TDC_TARGET_CNT_SHIFT 0
1526#define DPIO_CHV_TDC_TARGET_CNT_MASK (0x3FF << 0)
d3eee4ba
VP
1527#define CHV_PLL_DW8(ch) _PIPE(ch, _CHV_PLL_DW8_CH0, _CHV_PLL_DW8_CH1)
1528
1529#define _CHV_PLL_DW9_CH0 0x8024
1530#define _CHV_PLL_DW9_CH1 0x81A4
1531#define DPIO_CHV_INT_LOCK_THRESHOLD_SHIFT 1 /* 3 bits */
de3a0fde 1532#define DPIO_CHV_INT_LOCK_THRESHOLD_MASK (7 << 1)
d3eee4ba
VP
1533#define DPIO_CHV_INT_LOCK_THRESHOLD_SEL_COARSE 1 /* 1: coarse & 0 : fine */
1534#define CHV_PLL_DW9(ch) _PIPE(ch, _CHV_PLL_DW9_CH0, _CHV_PLL_DW9_CH1)
1535
6669e39f
VS
1536#define _CHV_CMN_DW0_CH0 0x8100
1537#define DPIO_ALLDL_POWERDOWN_SHIFT_CH0 19
1538#define DPIO_ANYDL_POWERDOWN_SHIFT_CH0 18
1539#define DPIO_ALLDL_POWERDOWN (1 << 1)
1540#define DPIO_ANYDL_POWERDOWN (1 << 0)
1541
b9e5ac3c
VS
1542#define _CHV_CMN_DW5_CH0 0x8114
1543#define CHV_BUFRIGHTENA1_DISABLE (0 << 20)
1544#define CHV_BUFRIGHTENA1_NORMAL (1 << 20)
1545#define CHV_BUFRIGHTENA1_FORCE (3 << 20)
1546#define CHV_BUFRIGHTENA1_MASK (3 << 20)
1547#define CHV_BUFLEFTENA1_DISABLE (0 << 22)
1548#define CHV_BUFLEFTENA1_NORMAL (1 << 22)
1549#define CHV_BUFLEFTENA1_FORCE (3 << 22)
1550#define CHV_BUFLEFTENA1_MASK (3 << 22)
1551
9d556c99
CML
1552#define _CHV_CMN_DW13_CH0 0x8134
1553#define _CHV_CMN_DW0_CH1 0x8080
1554#define DPIO_CHV_S1_DIV_SHIFT 21
1555#define DPIO_CHV_P1_DIV_SHIFT 13 /* 3 bits */
1556#define DPIO_CHV_P2_DIV_SHIFT 8 /* 5 bits */
1557#define DPIO_CHV_K_DIV_SHIFT 4
1558#define DPIO_PLL_FREQLOCK (1 << 1)
1559#define DPIO_PLL_LOCK (1 << 0)
1560#define CHV_CMN_DW13(ch) _PIPE(ch, _CHV_CMN_DW13_CH0, _CHV_CMN_DW0_CH1)
1561
1562#define _CHV_CMN_DW14_CH0 0x8138
1563#define _CHV_CMN_DW1_CH1 0x8084
1564#define DPIO_AFC_RECAL (1 << 14)
1565#define DPIO_DCLKP_EN (1 << 13)
b9e5ac3c
VS
1566#define CHV_BUFLEFTENA2_DISABLE (0 << 17) /* CL2 DW1 only */
1567#define CHV_BUFLEFTENA2_NORMAL (1 << 17) /* CL2 DW1 only */
1568#define CHV_BUFLEFTENA2_FORCE (3 << 17) /* CL2 DW1 only */
1569#define CHV_BUFLEFTENA2_MASK (3 << 17) /* CL2 DW1 only */
1570#define CHV_BUFRIGHTENA2_DISABLE (0 << 19) /* CL2 DW1 only */
1571#define CHV_BUFRIGHTENA2_NORMAL (1 << 19) /* CL2 DW1 only */
1572#define CHV_BUFRIGHTENA2_FORCE (3 << 19) /* CL2 DW1 only */
1573#define CHV_BUFRIGHTENA2_MASK (3 << 19) /* CL2 DW1 only */
9d556c99
CML
1574#define CHV_CMN_DW14(ch) _PIPE(ch, _CHV_CMN_DW14_CH0, _CHV_CMN_DW1_CH1)
1575
9197c88b
VS
1576#define _CHV_CMN_DW19_CH0 0x814c
1577#define _CHV_CMN_DW6_CH1 0x8098
6669e39f
VS
1578#define DPIO_ALLDL_POWERDOWN_SHIFT_CH1 30 /* CL2 DW6 only */
1579#define DPIO_ANYDL_POWERDOWN_SHIFT_CH1 29 /* CL2 DW6 only */
e0fce78f 1580#define DPIO_DYNPWRDOWNEN_CH1 (1 << 28) /* CL2 DW6 only */
9197c88b 1581#define CHV_CMN_USEDCLKCHANNEL (1 << 13)
e0fce78f 1582
9197c88b
VS
1583#define CHV_CMN_DW19(ch) _PIPE(ch, _CHV_CMN_DW19_CH0, _CHV_CMN_DW6_CH1)
1584
e0fce78f
VS
1585#define CHV_CMN_DW28 0x8170
1586#define DPIO_CL1POWERDOWNEN (1 << 23)
1587#define DPIO_DYNPWRDOWNEN_CH0 (1 << 22)
ee279218
VS
1588#define DPIO_SUS_CLK_CONFIG_ON (0 << 0)
1589#define DPIO_SUS_CLK_CONFIG_CLKREQ (1 << 0)
1590#define DPIO_SUS_CLK_CONFIG_GATE (2 << 0)
1591#define DPIO_SUS_CLK_CONFIG_GATE_CLKREQ (3 << 0)
e0fce78f 1592
9d556c99 1593#define CHV_CMN_DW30 0x8178
3e288786 1594#define DPIO_CL2_LDOFUSE_PWRENB (1 << 6)
9d556c99
CML
1595#define DPIO_LRC_BYPASS (1 << 3)
1596
1597#define _TXLANE(ch, lane, offset) ((ch ? 0x2400 : 0) + \
1598 (lane) * 0x200 + (offset))
1599
f72df8db
VS
1600#define CHV_TX_DW0(ch, lane) _TXLANE(ch, lane, 0x80)
1601#define CHV_TX_DW1(ch, lane) _TXLANE(ch, lane, 0x84)
1602#define CHV_TX_DW2(ch, lane) _TXLANE(ch, lane, 0x88)
1603#define CHV_TX_DW3(ch, lane) _TXLANE(ch, lane, 0x8c)
1604#define CHV_TX_DW4(ch, lane) _TXLANE(ch, lane, 0x90)
1605#define CHV_TX_DW5(ch, lane) _TXLANE(ch, lane, 0x94)
1606#define CHV_TX_DW6(ch, lane) _TXLANE(ch, lane, 0x98)
1607#define CHV_TX_DW7(ch, lane) _TXLANE(ch, lane, 0x9c)
1608#define CHV_TX_DW8(ch, lane) _TXLANE(ch, lane, 0xa0)
1609#define CHV_TX_DW9(ch, lane) _TXLANE(ch, lane, 0xa4)
1610#define CHV_TX_DW10(ch, lane) _TXLANE(ch, lane, 0xa8)
9d556c99
CML
1611#define CHV_TX_DW11(ch, lane) _TXLANE(ch, lane, 0xac)
1612#define DPIO_FRC_LATENCY_SHFIT 8
1613#define CHV_TX_DW14(ch, lane) _TXLANE(ch, lane, 0xb8)
1614#define DPIO_UPAR_SHIFT 30
5c6706e5
VK
1615
1616/* BXT PHY registers */
ed37892e
ACO
1617#define _BXT_PHY0_BASE 0x6C000
1618#define _BXT_PHY1_BASE 0x162000
0a116ce8
ACO
1619#define _BXT_PHY2_BASE 0x163000
1620#define BXT_PHY_BASE(phy) _PHY3((phy), _BXT_PHY0_BASE, \
1621 _BXT_PHY1_BASE, \
1622 _BXT_PHY2_BASE)
ed37892e
ACO
1623
1624#define _BXT_PHY(phy, reg) \
1625 _MMIO(BXT_PHY_BASE(phy) - _BXT_PHY0_BASE + (reg))
1626
1627#define _BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1628 (BXT_PHY_BASE(phy) + _PIPE((ch), (reg_ch0) - _BXT_PHY0_BASE, \
1629 (reg_ch1) - _BXT_PHY0_BASE))
1630#define _MMIO_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1631 _MMIO(_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1))
5c6706e5 1632
f0f59a00 1633#define BXT_P_CR_GT_DISP_PWRON _MMIO(0x138090)
1881a423 1634#define MIPIO_RST_CTRL (1 << 2)
5c6706e5 1635
e93da0a0
ID
1636#define _BXT_PHY_CTL_DDI_A 0x64C00
1637#define _BXT_PHY_CTL_DDI_B 0x64C10
1638#define _BXT_PHY_CTL_DDI_C 0x64C20
1639#define BXT_PHY_CMNLANE_POWERDOWN_ACK (1 << 10)
1640#define BXT_PHY_LANE_POWERDOWN_ACK (1 << 9)
1641#define BXT_PHY_LANE_ENABLED (1 << 8)
1642#define BXT_PHY_CTL(port) _MMIO_PORT(port, _BXT_PHY_CTL_DDI_A, \
1643 _BXT_PHY_CTL_DDI_B)
1644
5c6706e5
VK
1645#define _PHY_CTL_FAMILY_EDP 0x64C80
1646#define _PHY_CTL_FAMILY_DDI 0x64C90
0a116ce8 1647#define _PHY_CTL_FAMILY_DDI_C 0x64CA0
5c6706e5 1648#define COMMON_RESET_DIS (1 << 31)
0a116ce8
ACO
1649#define BXT_PHY_CTL_FAMILY(phy) _MMIO_PHY3((phy), _PHY_CTL_FAMILY_DDI, \
1650 _PHY_CTL_FAMILY_EDP, \
1651 _PHY_CTL_FAMILY_DDI_C)
5c6706e5 1652
dfb82408
S
1653/* BXT PHY PLL registers */
1654#define _PORT_PLL_A 0x46074
1655#define _PORT_PLL_B 0x46078
1656#define _PORT_PLL_C 0x4607c
1657#define PORT_PLL_ENABLE (1 << 31)
1658#define PORT_PLL_LOCK (1 << 30)
1659#define PORT_PLL_REF_SEL (1 << 27)
f7044dd9
MC
1660#define PORT_PLL_POWER_ENABLE (1 << 26)
1661#define PORT_PLL_POWER_STATE (1 << 25)
f0f59a00 1662#define BXT_PORT_PLL_ENABLE(port) _MMIO_PORT(port, _PORT_PLL_A, _PORT_PLL_B)
dfb82408
S
1663
1664#define _PORT_PLL_EBB_0_A 0x162034
1665#define _PORT_PLL_EBB_0_B 0x6C034
1666#define _PORT_PLL_EBB_0_C 0x6C340
aa610dcb
ID
1667#define PORT_PLL_P1_SHIFT 13
1668#define PORT_PLL_P1_MASK (0x07 << PORT_PLL_P1_SHIFT)
1669#define PORT_PLL_P1(x) ((x) << PORT_PLL_P1_SHIFT)
1670#define PORT_PLL_P2_SHIFT 8
1671#define PORT_PLL_P2_MASK (0x1f << PORT_PLL_P2_SHIFT)
1672#define PORT_PLL_P2(x) ((x) << PORT_PLL_P2_SHIFT)
ed37892e
ACO
1673#define BXT_PORT_PLL_EBB_0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1674 _PORT_PLL_EBB_0_B, \
1675 _PORT_PLL_EBB_0_C)
dfb82408
S
1676
1677#define _PORT_PLL_EBB_4_A 0x162038
1678#define _PORT_PLL_EBB_4_B 0x6C038
1679#define _PORT_PLL_EBB_4_C 0x6C344
1680#define PORT_PLL_10BIT_CLK_ENABLE (1 << 13)
1681#define PORT_PLL_RECALIBRATE (1 << 14)
ed37892e
ACO
1682#define BXT_PORT_PLL_EBB_4(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1683 _PORT_PLL_EBB_4_B, \
1684 _PORT_PLL_EBB_4_C)
dfb82408
S
1685
1686#define _PORT_PLL_0_A 0x162100
1687#define _PORT_PLL_0_B 0x6C100
1688#define _PORT_PLL_0_C 0x6C380
1689/* PORT_PLL_0_A */
1690#define PORT_PLL_M2_MASK 0xFF
1691/* PORT_PLL_1_A */
aa610dcb
ID
1692#define PORT_PLL_N_SHIFT 8
1693#define PORT_PLL_N_MASK (0x0F << PORT_PLL_N_SHIFT)
1694#define PORT_PLL_N(x) ((x) << PORT_PLL_N_SHIFT)
dfb82408
S
1695/* PORT_PLL_2_A */
1696#define PORT_PLL_M2_FRAC_MASK 0x3FFFFF
1697/* PORT_PLL_3_A */
1698#define PORT_PLL_M2_FRAC_ENABLE (1 << 16)
1699/* PORT_PLL_6_A */
1700#define PORT_PLL_PROP_COEFF_MASK 0xF
1701#define PORT_PLL_INT_COEFF_MASK (0x1F << 8)
1702#define PORT_PLL_INT_COEFF(x) ((x) << 8)
1703#define PORT_PLL_GAIN_CTL_MASK (0x07 << 16)
1704#define PORT_PLL_GAIN_CTL(x) ((x) << 16)
1705/* PORT_PLL_8_A */
1706#define PORT_PLL_TARGET_CNT_MASK 0x3FF
b6dc71f3 1707/* PORT_PLL_9_A */
05712c15
ID
1708#define PORT_PLL_LOCK_THRESHOLD_SHIFT 1
1709#define PORT_PLL_LOCK_THRESHOLD_MASK (0x7 << PORT_PLL_LOCK_THRESHOLD_SHIFT)
b6dc71f3 1710/* PORT_PLL_10_A */
5ee8ee86 1711#define PORT_PLL_DCO_AMP_OVR_EN_H (1 << 27)
e6292556 1712#define PORT_PLL_DCO_AMP_DEFAULT 15
b6dc71f3 1713#define PORT_PLL_DCO_AMP_MASK 0x3c00
5ee8ee86 1714#define PORT_PLL_DCO_AMP(x) ((x) << 10)
ed37892e
ACO
1715#define _PORT_PLL_BASE(phy, ch) _BXT_PHY_CH(phy, ch, \
1716 _PORT_PLL_0_B, \
1717 _PORT_PLL_0_C)
1718#define BXT_PORT_PLL(phy, ch, idx) _MMIO(_PORT_PLL_BASE(phy, ch) + \
1719 (idx) * 4)
dfb82408 1720
5c6706e5
VK
1721/* BXT PHY common lane registers */
1722#define _PORT_CL1CM_DW0_A 0x162000
1723#define _PORT_CL1CM_DW0_BC 0x6C000
1724#define PHY_POWER_GOOD (1 << 16)
b61e7996 1725#define PHY_RESERVED (1 << 7)
ed37892e 1726#define BXT_PORT_CL1CM_DW0(phy) _BXT_PHY((phy), _PORT_CL1CM_DW0_BC)
5c6706e5 1727
d72e84cc
MK
1728#define _PORT_CL1CM_DW9_A 0x162024
1729#define _PORT_CL1CM_DW9_BC 0x6C024
1730#define IREF0RC_OFFSET_SHIFT 8
1731#define IREF0RC_OFFSET_MASK (0xFF << IREF0RC_OFFSET_SHIFT)
1732#define BXT_PORT_CL1CM_DW9(phy) _BXT_PHY((phy), _PORT_CL1CM_DW9_BC)
d8d4a512 1733
d72e84cc
MK
1734#define _PORT_CL1CM_DW10_A 0x162028
1735#define _PORT_CL1CM_DW10_BC 0x6C028
1736#define IREF1RC_OFFSET_SHIFT 8
1737#define IREF1RC_OFFSET_MASK (0xFF << IREF1RC_OFFSET_SHIFT)
1738#define BXT_PORT_CL1CM_DW10(phy) _BXT_PHY((phy), _PORT_CL1CM_DW10_BC)
1739
1740#define _PORT_CL1CM_DW28_A 0x162070
1741#define _PORT_CL1CM_DW28_BC 0x6C070
1742#define OCL1_POWER_DOWN_EN (1 << 23)
1743#define DW28_OLDO_DYN_PWR_DOWN_EN (1 << 22)
1744#define SUS_CLK_CONFIG 0x3
1745#define BXT_PORT_CL1CM_DW28(phy) _BXT_PHY((phy), _PORT_CL1CM_DW28_BC)
1746
1747#define _PORT_CL1CM_DW30_A 0x162078
1748#define _PORT_CL1CM_DW30_BC 0x6C078
1749#define OCL2_LDOFUSE_PWR_DIS (1 << 6)
1750#define BXT_PORT_CL1CM_DW30(phy) _BXT_PHY((phy), _PORT_CL1CM_DW30_BC)
1751
1752/*
1753 * CNL/ICL Port/COMBO-PHY Registers
1754 */
4e53840f
LDM
1755#define _ICL_COMBOPHY_A 0x162000
1756#define _ICL_COMBOPHY_B 0x6C000
0e933162 1757#define _EHL_COMBOPHY_C 0x160000
dc867bc7 1758#define _ICL_COMBOPHY(phy) _PICK(phy, _ICL_COMBOPHY_A, \
0e933162
MR
1759 _ICL_COMBOPHY_B, \
1760 _EHL_COMBOPHY_C)
4e53840f 1761
d72e84cc 1762/* CNL/ICL Port CL_DW registers */
dc867bc7 1763#define _ICL_PORT_CL_DW(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1764 4 * (dw))
1765
1766#define CNL_PORT_CL1CM_DW5 _MMIO(0x162014)
dc867bc7 1767#define ICL_PORT_CL_DW5(phy) _MMIO(_ICL_PORT_CL_DW(5, phy))
d72e84cc
MK
1768#define CL_POWER_DOWN_ENABLE (1 << 4)
1769#define SUS_CLOCK_CONFIG (3 << 0)
ad186f3f 1770
dc867bc7 1771#define ICL_PORT_CL_DW10(phy) _MMIO(_ICL_PORT_CL_DW(10, phy))
166869b3
MC
1772#define PG_SEQ_DELAY_OVERRIDE_MASK (3 << 25)
1773#define PG_SEQ_DELAY_OVERRIDE_SHIFT 25
1774#define PG_SEQ_DELAY_OVERRIDE_ENABLE (1 << 24)
1775#define PWR_UP_ALL_LANES (0x0 << 4)
1776#define PWR_DOWN_LN_3_2_1 (0xe << 4)
1777#define PWR_DOWN_LN_3_2 (0xc << 4)
1778#define PWR_DOWN_LN_3 (0x8 << 4)
1779#define PWR_DOWN_LN_2_1_0 (0x7 << 4)
1780#define PWR_DOWN_LN_1_0 (0x3 << 4)
166869b3
MC
1781#define PWR_DOWN_LN_3_1 (0xa << 4)
1782#define PWR_DOWN_LN_3_1_0 (0xb << 4)
1783#define PWR_DOWN_LN_MASK (0xf << 4)
1784#define PWR_DOWN_LN_SHIFT 4
1785
dc867bc7 1786#define ICL_PORT_CL_DW12(phy) _MMIO(_ICL_PORT_CL_DW(12, phy))
67ca07e7 1787#define ICL_LANE_ENABLE_AUX (1 << 0)
67ca07e7 1788
d72e84cc 1789/* CNL/ICL Port COMP_DW registers */
4e53840f 1790#define _ICL_PORT_COMP 0x100
dc867bc7 1791#define _ICL_PORT_COMP_DW(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1792 _ICL_PORT_COMP + 4 * (dw))
1793
d72e84cc 1794#define CNL_PORT_COMP_DW0 _MMIO(0x162100)
dc867bc7 1795#define ICL_PORT_COMP_DW0(phy) _MMIO(_ICL_PORT_COMP_DW(0, phy))
d72e84cc 1796#define COMP_INIT (1 << 31)
5c6706e5 1797
d72e84cc 1798#define CNL_PORT_COMP_DW1 _MMIO(0x162104)
dc867bc7 1799#define ICL_PORT_COMP_DW1(phy) _MMIO(_ICL_PORT_COMP_DW(1, phy))
4e53840f 1800
d72e84cc 1801#define CNL_PORT_COMP_DW3 _MMIO(0x16210c)
dc867bc7 1802#define ICL_PORT_COMP_DW3(phy) _MMIO(_ICL_PORT_COMP_DW(3, phy))
d72e84cc
MK
1803#define PROCESS_INFO_DOT_0 (0 << 26)
1804#define PROCESS_INFO_DOT_1 (1 << 26)
1805#define PROCESS_INFO_DOT_4 (2 << 26)
1806#define PROCESS_INFO_MASK (7 << 26)
1807#define PROCESS_INFO_SHIFT 26
1808#define VOLTAGE_INFO_0_85V (0 << 24)
1809#define VOLTAGE_INFO_0_95V (1 << 24)
1810#define VOLTAGE_INFO_1_05V (2 << 24)
1811#define VOLTAGE_INFO_MASK (3 << 24)
1812#define VOLTAGE_INFO_SHIFT 24
1813
dc867bc7 1814#define ICL_PORT_COMP_DW8(phy) _MMIO(_ICL_PORT_COMP_DW(8, phy))
4361ccac
ID
1815#define IREFGEN (1 << 24)
1816
d72e84cc 1817#define CNL_PORT_COMP_DW9 _MMIO(0x162124)
dc867bc7 1818#define ICL_PORT_COMP_DW9(phy) _MMIO(_ICL_PORT_COMP_DW(9, phy))
d72e84cc
MK
1819
1820#define CNL_PORT_COMP_DW10 _MMIO(0x162128)
dc867bc7 1821#define ICL_PORT_COMP_DW10(phy) _MMIO(_ICL_PORT_COMP_DW(10, phy))
5c6706e5 1822
d72e84cc 1823/* CNL/ICL Port PCS registers */
04416108
RV
1824#define _CNL_PORT_PCS_DW1_GRP_AE 0x162304
1825#define _CNL_PORT_PCS_DW1_GRP_B 0x162384
1826#define _CNL_PORT_PCS_DW1_GRP_C 0x162B04
1827#define _CNL_PORT_PCS_DW1_GRP_D 0x162B84
1828#define _CNL_PORT_PCS_DW1_GRP_F 0x162A04
1829#define _CNL_PORT_PCS_DW1_LN0_AE 0x162404
1830#define _CNL_PORT_PCS_DW1_LN0_B 0x162604
1831#define _CNL_PORT_PCS_DW1_LN0_C 0x162C04
1832#define _CNL_PORT_PCS_DW1_LN0_D 0x162E04
1833#define _CNL_PORT_PCS_DW1_LN0_F 0x162804
dc867bc7 1834#define CNL_PORT_PCS_DW1_GRP(phy) _MMIO(_PICK(phy, \
04416108
RV
1835 _CNL_PORT_PCS_DW1_GRP_AE, \
1836 _CNL_PORT_PCS_DW1_GRP_B, \
1837 _CNL_PORT_PCS_DW1_GRP_C, \
1838 _CNL_PORT_PCS_DW1_GRP_D, \
1839 _CNL_PORT_PCS_DW1_GRP_AE, \
da9cb11f 1840 _CNL_PORT_PCS_DW1_GRP_F))
dc867bc7 1841#define CNL_PORT_PCS_DW1_LN0(phy) _MMIO(_PICK(phy, \
04416108
RV
1842 _CNL_PORT_PCS_DW1_LN0_AE, \
1843 _CNL_PORT_PCS_DW1_LN0_B, \
1844 _CNL_PORT_PCS_DW1_LN0_C, \
1845 _CNL_PORT_PCS_DW1_LN0_D, \
1846 _CNL_PORT_PCS_DW1_LN0_AE, \
da9cb11f 1847 _CNL_PORT_PCS_DW1_LN0_F))
d61d1b3b 1848
4e53840f
LDM
1849#define _ICL_PORT_PCS_AUX 0x300
1850#define _ICL_PORT_PCS_GRP 0x600
1851#define _ICL_PORT_PCS_LN(ln) (0x800 + (ln) * 0x100)
dc867bc7 1852#define _ICL_PORT_PCS_DW_AUX(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1853 _ICL_PORT_PCS_AUX + 4 * (dw))
dc867bc7 1854#define _ICL_PORT_PCS_DW_GRP(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1855 _ICL_PORT_PCS_GRP + 4 * (dw))
dc867bc7 1856#define _ICL_PORT_PCS_DW_LN(dw, ln, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1857 _ICL_PORT_PCS_LN(ln) + 4 * (dw))
dc867bc7
MR
1858#define ICL_PORT_PCS_DW1_AUX(phy) _MMIO(_ICL_PORT_PCS_DW_AUX(1, phy))
1859#define ICL_PORT_PCS_DW1_GRP(phy) _MMIO(_ICL_PORT_PCS_DW_GRP(1, phy))
1860#define ICL_PORT_PCS_DW1_LN0(phy) _MMIO(_ICL_PORT_PCS_DW_LN(1, 0, phy))
04416108 1861#define COMMON_KEEPER_EN (1 << 26)
6a7bafe8
VK
1862#define LATENCY_OPTIM_MASK (0x3 << 2)
1863#define LATENCY_OPTIM_VAL(x) ((x) << 2)
04416108 1864
d72e84cc 1865/* CNL/ICL Port TX registers */
4635b573
MK
1866#define _CNL_PORT_TX_AE_GRP_OFFSET 0x162340
1867#define _CNL_PORT_TX_B_GRP_OFFSET 0x1623C0
1868#define _CNL_PORT_TX_C_GRP_OFFSET 0x162B40
1869#define _CNL_PORT_TX_D_GRP_OFFSET 0x162BC0
1870#define _CNL_PORT_TX_F_GRP_OFFSET 0x162A40
1871#define _CNL_PORT_TX_AE_LN0_OFFSET 0x162440
1872#define _CNL_PORT_TX_B_LN0_OFFSET 0x162640
1873#define _CNL_PORT_TX_C_LN0_OFFSET 0x162C40
1874#define _CNL_PORT_TX_D_LN0_OFFSET 0x162E40
1875#define _CNL_PORT_TX_F_LN0_OFFSET 0x162840
b14c06ec 1876#define _CNL_PORT_TX_DW_GRP(dw, port) (_PICK((port), \
4635b573
MK
1877 _CNL_PORT_TX_AE_GRP_OFFSET, \
1878 _CNL_PORT_TX_B_GRP_OFFSET, \
1879 _CNL_PORT_TX_B_GRP_OFFSET, \
1880 _CNL_PORT_TX_D_GRP_OFFSET, \
1881 _CNL_PORT_TX_AE_GRP_OFFSET, \
1882 _CNL_PORT_TX_F_GRP_OFFSET) + \
5ee8ee86 1883 4 * (dw))
b14c06ec 1884#define _CNL_PORT_TX_DW_LN0(dw, port) (_PICK((port), \
4635b573
MK
1885 _CNL_PORT_TX_AE_LN0_OFFSET, \
1886 _CNL_PORT_TX_B_LN0_OFFSET, \
1887 _CNL_PORT_TX_B_LN0_OFFSET, \
1888 _CNL_PORT_TX_D_LN0_OFFSET, \
1889 _CNL_PORT_TX_AE_LN0_OFFSET, \
1890 _CNL_PORT_TX_F_LN0_OFFSET) + \
5ee8ee86 1891 4 * (dw))
4635b573 1892
4e53840f
LDM
1893#define _ICL_PORT_TX_AUX 0x380
1894#define _ICL_PORT_TX_GRP 0x680
1895#define _ICL_PORT_TX_LN(ln) (0x880 + (ln) * 0x100)
1896
dc867bc7 1897#define _ICL_PORT_TX_DW_AUX(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1898 _ICL_PORT_TX_AUX + 4 * (dw))
dc867bc7 1899#define _ICL_PORT_TX_DW_GRP(dw, phy) (_ICL_COMBOPHY(phy) + \
4e53840f 1900 _ICL_PORT_TX_GRP + 4 * (dw))
dc867bc7 1901#define _ICL_PORT_TX_DW_LN(dw, ln, phy) (_ICL_COMBOPHY(phy) + \
4e53840f
LDM
1902 _ICL_PORT_TX_LN(ln) + 4 * (dw))
1903
1904#define CNL_PORT_TX_DW2_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(2, port))
1905#define CNL_PORT_TX_DW2_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(2, port))
dc867bc7
MR
1906#define ICL_PORT_TX_DW2_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(2, phy))
1907#define ICL_PORT_TX_DW2_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(2, phy))
1908#define ICL_PORT_TX_DW2_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(2, 0, phy))
7487508e 1909#define SWING_SEL_UPPER(x) (((x) >> 3) << 15)
1f588aeb 1910#define SWING_SEL_UPPER_MASK (1 << 15)
7487508e 1911#define SWING_SEL_LOWER(x) (((x) & 0x7) << 11)
1f588aeb 1912#define SWING_SEL_LOWER_MASK (0x7 << 11)
d61d1b3b
MC
1913#define FRC_LATENCY_OPTIM_MASK (0x7 << 8)
1914#define FRC_LATENCY_OPTIM_VAL(x) ((x) << 8)
04416108 1915#define RCOMP_SCALAR(x) ((x) << 0)
1f588aeb 1916#define RCOMP_SCALAR_MASK (0xFF << 0)
04416108 1917
04416108
RV
1918#define _CNL_PORT_TX_DW4_LN0_AE 0x162450
1919#define _CNL_PORT_TX_DW4_LN1_AE 0x1624D0
b14c06ec
AS
1920#define CNL_PORT_TX_DW4_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(4, (port)))
1921#define CNL_PORT_TX_DW4_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)))
9194e42a 1922#define CNL_PORT_TX_DW4_LN(ln, port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)) + \
9e8789ec 1923 ((ln) * (_CNL_PORT_TX_DW4_LN1_AE - \
4635b573 1924 _CNL_PORT_TX_DW4_LN0_AE)))
dc867bc7
MR
1925#define ICL_PORT_TX_DW4_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(4, phy))
1926#define ICL_PORT_TX_DW4_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(4, phy))
1927#define ICL_PORT_TX_DW4_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(4, 0, phy))
1928#define ICL_PORT_TX_DW4_LN(ln, phy) _MMIO(_ICL_PORT_TX_DW_LN(4, ln, phy))
04416108
RV
1929#define LOADGEN_SELECT (1 << 31)
1930#define POST_CURSOR_1(x) ((x) << 12)
1f588aeb 1931#define POST_CURSOR_1_MASK (0x3F << 12)
04416108 1932#define POST_CURSOR_2(x) ((x) << 6)
1f588aeb 1933#define POST_CURSOR_2_MASK (0x3F << 6)
04416108 1934#define CURSOR_COEFF(x) ((x) << 0)
fcace3b9 1935#define CURSOR_COEFF_MASK (0x3F << 0)
04416108 1936
4e53840f
LDM
1937#define CNL_PORT_TX_DW5_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(5, port))
1938#define CNL_PORT_TX_DW5_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(5, port))
dc867bc7
MR
1939#define ICL_PORT_TX_DW5_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(5, phy))
1940#define ICL_PORT_TX_DW5_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(5, phy))
1941#define ICL_PORT_TX_DW5_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(5, 0, phy))
04416108 1942#define TX_TRAINING_EN (1 << 31)
5bb975de 1943#define TAP2_DISABLE (1 << 30)
04416108
RV
1944#define TAP3_DISABLE (1 << 29)
1945#define SCALING_MODE_SEL(x) ((x) << 18)
1f588aeb 1946#define SCALING_MODE_SEL_MASK (0x7 << 18)
04416108 1947#define RTERM_SELECT(x) ((x) << 3)
1f588aeb 1948#define RTERM_SELECT_MASK (0x7 << 3)
04416108 1949
b14c06ec
AS
1950#define CNL_PORT_TX_DW7_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(7, (port)))
1951#define CNL_PORT_TX_DW7_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(7, (port)))
dc867bc7
MR
1952#define ICL_PORT_TX_DW7_AUX(phy) _MMIO(_ICL_PORT_TX_DW_AUX(7, phy))
1953#define ICL_PORT_TX_DW7_GRP(phy) _MMIO(_ICL_PORT_TX_DW_GRP(7, phy))
1954#define ICL_PORT_TX_DW7_LN0(phy) _MMIO(_ICL_PORT_TX_DW_LN(7, 0, phy))
1955#define ICL_PORT_TX_DW7_LN(ln, phy) _MMIO(_ICL_PORT_TX_DW_LN(7, ln, phy))
04416108 1956#define N_SCALAR(x) ((x) << 24)
1f588aeb 1957#define N_SCALAR_MASK (0x7F << 24)
04416108 1958
683d672c
JRS
1959#define _ICL_DPHY_CHKN_REG 0x194
1960#define ICL_DPHY_CHKN(port) _MMIO(_ICL_COMBOPHY(port) + _ICL_DPHY_CHKN_REG)
1961#define ICL_DPHY_CHKN_AFE_OVER_PPI_STRAP REG_BIT(7)
1962
58106b7d 1963#define MG_PHY_PORT_LN(ln, port, ln0p1, ln0p2, ln1p1) \
c92f47b5
MN
1964 _MMIO(_PORT((port) - PORT_C, ln0p1, ln0p2) + (ln) * ((ln1p1) - (ln0p1)))
1965
a38bb309
MN
1966#define MG_TX_LINK_PARAMS_TX1LN0_PORT1 0x16812C
1967#define MG_TX_LINK_PARAMS_TX1LN1_PORT1 0x16852C
1968#define MG_TX_LINK_PARAMS_TX1LN0_PORT2 0x16912C
1969#define MG_TX_LINK_PARAMS_TX1LN1_PORT2 0x16952C
1970#define MG_TX_LINK_PARAMS_TX1LN0_PORT3 0x16A12C
1971#define MG_TX_LINK_PARAMS_TX1LN1_PORT3 0x16A52C
1972#define MG_TX_LINK_PARAMS_TX1LN0_PORT4 0x16B12C
1973#define MG_TX_LINK_PARAMS_TX1LN1_PORT4 0x16B52C
58106b7d
AS
1974#define MG_TX1_LINK_PARAMS(ln, port) \
1975 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX1LN0_PORT1, \
a38bb309
MN
1976 MG_TX_LINK_PARAMS_TX1LN0_PORT2, \
1977 MG_TX_LINK_PARAMS_TX1LN1_PORT1)
1978
1979#define MG_TX_LINK_PARAMS_TX2LN0_PORT1 0x1680AC
1980#define MG_TX_LINK_PARAMS_TX2LN1_PORT1 0x1684AC
1981#define MG_TX_LINK_PARAMS_TX2LN0_PORT2 0x1690AC
1982#define MG_TX_LINK_PARAMS_TX2LN1_PORT2 0x1694AC
1983#define MG_TX_LINK_PARAMS_TX2LN0_PORT3 0x16A0AC
1984#define MG_TX_LINK_PARAMS_TX2LN1_PORT3 0x16A4AC
1985#define MG_TX_LINK_PARAMS_TX2LN0_PORT4 0x16B0AC
1986#define MG_TX_LINK_PARAMS_TX2LN1_PORT4 0x16B4AC
58106b7d
AS
1987#define MG_TX2_LINK_PARAMS(ln, port) \
1988 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX2LN0_PORT1, \
a38bb309
MN
1989 MG_TX_LINK_PARAMS_TX2LN0_PORT2, \
1990 MG_TX_LINK_PARAMS_TX2LN1_PORT1)
1991#define CRI_USE_FS32 (1 << 5)
1992
1993#define MG_TX_PISO_READLOAD_TX1LN0_PORT1 0x16814C
1994#define MG_TX_PISO_READLOAD_TX1LN1_PORT1 0x16854C
1995#define MG_TX_PISO_READLOAD_TX1LN0_PORT2 0x16914C
1996#define MG_TX_PISO_READLOAD_TX1LN1_PORT2 0x16954C
1997#define MG_TX_PISO_READLOAD_TX1LN0_PORT3 0x16A14C
1998#define MG_TX_PISO_READLOAD_TX1LN1_PORT3 0x16A54C
1999#define MG_TX_PISO_READLOAD_TX1LN0_PORT4 0x16B14C
2000#define MG_TX_PISO_READLOAD_TX1LN1_PORT4 0x16B54C
58106b7d
AS
2001#define MG_TX1_PISO_READLOAD(ln, port) \
2002 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX1LN0_PORT1, \
a38bb309
MN
2003 MG_TX_PISO_READLOAD_TX1LN0_PORT2, \
2004 MG_TX_PISO_READLOAD_TX1LN1_PORT1)
2005
2006#define MG_TX_PISO_READLOAD_TX2LN0_PORT1 0x1680CC
2007#define MG_TX_PISO_READLOAD_TX2LN1_PORT1 0x1684CC
2008#define MG_TX_PISO_READLOAD_TX2LN0_PORT2 0x1690CC
2009#define MG_TX_PISO_READLOAD_TX2LN1_PORT2 0x1694CC
2010#define MG_TX_PISO_READLOAD_TX2LN0_PORT3 0x16A0CC
2011#define MG_TX_PISO_READLOAD_TX2LN1_PORT3 0x16A4CC
2012#define MG_TX_PISO_READLOAD_TX2LN0_PORT4 0x16B0CC
2013#define MG_TX_PISO_READLOAD_TX2LN1_PORT4 0x16B4CC
58106b7d
AS
2014#define MG_TX2_PISO_READLOAD(ln, port) \
2015 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX2LN0_PORT1, \
a38bb309
MN
2016 MG_TX_PISO_READLOAD_TX2LN0_PORT2, \
2017 MG_TX_PISO_READLOAD_TX2LN1_PORT1)
2018#define CRI_CALCINIT (1 << 1)
2019
2020#define MG_TX_SWINGCTRL_TX1LN0_PORT1 0x168148
2021#define MG_TX_SWINGCTRL_TX1LN1_PORT1 0x168548
2022#define MG_TX_SWINGCTRL_TX1LN0_PORT2 0x169148
2023#define MG_TX_SWINGCTRL_TX1LN1_PORT2 0x169548
2024#define MG_TX_SWINGCTRL_TX1LN0_PORT3 0x16A148
2025#define MG_TX_SWINGCTRL_TX1LN1_PORT3 0x16A548
2026#define MG_TX_SWINGCTRL_TX1LN0_PORT4 0x16B148
2027#define MG_TX_SWINGCTRL_TX1LN1_PORT4 0x16B548
58106b7d
AS
2028#define MG_TX1_SWINGCTRL(ln, port) \
2029 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX1LN0_PORT1, \
a38bb309
MN
2030 MG_TX_SWINGCTRL_TX1LN0_PORT2, \
2031 MG_TX_SWINGCTRL_TX1LN1_PORT1)
2032
2033#define MG_TX_SWINGCTRL_TX2LN0_PORT1 0x1680C8
2034#define MG_TX_SWINGCTRL_TX2LN1_PORT1 0x1684C8
2035#define MG_TX_SWINGCTRL_TX2LN0_PORT2 0x1690C8
2036#define MG_TX_SWINGCTRL_TX2LN1_PORT2 0x1694C8
2037#define MG_TX_SWINGCTRL_TX2LN0_PORT3 0x16A0C8
2038#define MG_TX_SWINGCTRL_TX2LN1_PORT3 0x16A4C8
2039#define MG_TX_SWINGCTRL_TX2LN0_PORT4 0x16B0C8
2040#define MG_TX_SWINGCTRL_TX2LN1_PORT4 0x16B4C8
58106b7d
AS
2041#define MG_TX2_SWINGCTRL(ln, port) \
2042 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX2LN0_PORT1, \
a38bb309
MN
2043 MG_TX_SWINGCTRL_TX2LN0_PORT2, \
2044 MG_TX_SWINGCTRL_TX2LN1_PORT1)
2045#define CRI_TXDEEMPH_OVERRIDE_17_12(x) ((x) << 0)
2046#define CRI_TXDEEMPH_OVERRIDE_17_12_MASK (0x3F << 0)
2047
2048#define MG_TX_DRVCTRL_TX1LN0_TXPORT1 0x168144
2049#define MG_TX_DRVCTRL_TX1LN1_TXPORT1 0x168544
2050#define MG_TX_DRVCTRL_TX1LN0_TXPORT2 0x169144
2051#define MG_TX_DRVCTRL_TX1LN1_TXPORT2 0x169544
2052#define MG_TX_DRVCTRL_TX1LN0_TXPORT3 0x16A144
2053#define MG_TX_DRVCTRL_TX1LN1_TXPORT3 0x16A544
2054#define MG_TX_DRVCTRL_TX1LN0_TXPORT4 0x16B144
2055#define MG_TX_DRVCTRL_TX1LN1_TXPORT4 0x16B544
58106b7d
AS
2056#define MG_TX1_DRVCTRL(ln, port) \
2057 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX1LN0_TXPORT1, \
a38bb309
MN
2058 MG_TX_DRVCTRL_TX1LN0_TXPORT2, \
2059 MG_TX_DRVCTRL_TX1LN1_TXPORT1)
2060
2061#define MG_TX_DRVCTRL_TX2LN0_PORT1 0x1680C4
2062#define MG_TX_DRVCTRL_TX2LN1_PORT1 0x1684C4
2063#define MG_TX_DRVCTRL_TX2LN0_PORT2 0x1690C4
2064#define MG_TX_DRVCTRL_TX2LN1_PORT2 0x1694C4
2065#define MG_TX_DRVCTRL_TX2LN0_PORT3 0x16A0C4
2066#define MG_TX_DRVCTRL_TX2LN1_PORT3 0x16A4C4
2067#define MG_TX_DRVCTRL_TX2LN0_PORT4 0x16B0C4
2068#define MG_TX_DRVCTRL_TX2LN1_PORT4 0x16B4C4
58106b7d
AS
2069#define MG_TX2_DRVCTRL(ln, port) \
2070 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX2LN0_PORT1, \
a38bb309
MN
2071 MG_TX_DRVCTRL_TX2LN0_PORT2, \
2072 MG_TX_DRVCTRL_TX2LN1_PORT1)
2073#define CRI_TXDEEMPH_OVERRIDE_11_6(x) ((x) << 24)
2074#define CRI_TXDEEMPH_OVERRIDE_11_6_MASK (0x3F << 24)
2075#define CRI_TXDEEMPH_OVERRIDE_EN (1 << 22)
2076#define CRI_TXDEEMPH_OVERRIDE_5_0(x) ((x) << 16)
2077#define CRI_TXDEEMPH_OVERRIDE_5_0_MASK (0x3F << 16)
2078#define CRI_LOADGEN_SEL(x) ((x) << 12)
2079#define CRI_LOADGEN_SEL_MASK (0x3 << 12)
2080
2081#define MG_CLKHUB_LN0_PORT1 0x16839C
2082#define MG_CLKHUB_LN1_PORT1 0x16879C
2083#define MG_CLKHUB_LN0_PORT2 0x16939C
2084#define MG_CLKHUB_LN1_PORT2 0x16979C
2085#define MG_CLKHUB_LN0_PORT3 0x16A39C
2086#define MG_CLKHUB_LN1_PORT3 0x16A79C
2087#define MG_CLKHUB_LN0_PORT4 0x16B39C
2088#define MG_CLKHUB_LN1_PORT4 0x16B79C
58106b7d
AS
2089#define MG_CLKHUB(ln, port) \
2090 MG_PHY_PORT_LN(ln, port, MG_CLKHUB_LN0_PORT1, \
a38bb309
MN
2091 MG_CLKHUB_LN0_PORT2, \
2092 MG_CLKHUB_LN1_PORT1)
2093#define CFG_LOW_RATE_LKREN_EN (1 << 11)
2094
2095#define MG_TX_DCC_TX1LN0_PORT1 0x168110
2096#define MG_TX_DCC_TX1LN1_PORT1 0x168510
2097#define MG_TX_DCC_TX1LN0_PORT2 0x169110
2098#define MG_TX_DCC_TX1LN1_PORT2 0x169510
2099#define MG_TX_DCC_TX1LN0_PORT3 0x16A110
2100#define MG_TX_DCC_TX1LN1_PORT3 0x16A510
2101#define MG_TX_DCC_TX1LN0_PORT4 0x16B110
2102#define MG_TX_DCC_TX1LN1_PORT4 0x16B510
58106b7d
AS
2103#define MG_TX1_DCC(ln, port) \
2104 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX1LN0_PORT1, \
a38bb309
MN
2105 MG_TX_DCC_TX1LN0_PORT2, \
2106 MG_TX_DCC_TX1LN1_PORT1)
2107#define MG_TX_DCC_TX2LN0_PORT1 0x168090
2108#define MG_TX_DCC_TX2LN1_PORT1 0x168490
2109#define MG_TX_DCC_TX2LN0_PORT2 0x169090
2110#define MG_TX_DCC_TX2LN1_PORT2 0x169490
2111#define MG_TX_DCC_TX2LN0_PORT3 0x16A090
2112#define MG_TX_DCC_TX2LN1_PORT3 0x16A490
2113#define MG_TX_DCC_TX2LN0_PORT4 0x16B090
2114#define MG_TX_DCC_TX2LN1_PORT4 0x16B490
58106b7d
AS
2115#define MG_TX2_DCC(ln, port) \
2116 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX2LN0_PORT1, \
a38bb309
MN
2117 MG_TX_DCC_TX2LN0_PORT2, \
2118 MG_TX_DCC_TX2LN1_PORT1)
2119#define CFG_AMI_CK_DIV_OVERRIDE_VAL(x) ((x) << 25)
2120#define CFG_AMI_CK_DIV_OVERRIDE_VAL_MASK (0x3 << 25)
2121#define CFG_AMI_CK_DIV_OVERRIDE_EN (1 << 24)
c92f47b5 2122
340a44be
PZ
2123#define MG_DP_MODE_LN0_ACU_PORT1 0x1683A0
2124#define MG_DP_MODE_LN1_ACU_PORT1 0x1687A0
2125#define MG_DP_MODE_LN0_ACU_PORT2 0x1693A0
2126#define MG_DP_MODE_LN1_ACU_PORT2 0x1697A0
2127#define MG_DP_MODE_LN0_ACU_PORT3 0x16A3A0
2128#define MG_DP_MODE_LN1_ACU_PORT3 0x16A7A0
2129#define MG_DP_MODE_LN0_ACU_PORT4 0x16B3A0
2130#define MG_DP_MODE_LN1_ACU_PORT4 0x16B7A0
58106b7d
AS
2131#define MG_DP_MODE(ln, port) \
2132 MG_PHY_PORT_LN(ln, port, MG_DP_MODE_LN0_ACU_PORT1, \
340a44be
PZ
2133 MG_DP_MODE_LN0_ACU_PORT2, \
2134 MG_DP_MODE_LN1_ACU_PORT1)
2135#define MG_DP_MODE_CFG_DP_X2_MODE (1 << 7)
2136#define MG_DP_MODE_CFG_DP_X1_MODE (1 << 6)
bc334d91
PZ
2137#define MG_DP_MODE_CFG_TR2PWR_GATING (1 << 5)
2138#define MG_DP_MODE_CFG_TRPWR_GATING (1 << 4)
2139#define MG_DP_MODE_CFG_CLNPWR_GATING (1 << 3)
2140#define MG_DP_MODE_CFG_DIGPWR_GATING (1 << 2)
2141#define MG_DP_MODE_CFG_GAONPWR_GATING (1 << 1)
2142
2143#define MG_MISC_SUS0_PORT1 0x168814
2144#define MG_MISC_SUS0_PORT2 0x169814
2145#define MG_MISC_SUS0_PORT3 0x16A814
2146#define MG_MISC_SUS0_PORT4 0x16B814
2147#define MG_MISC_SUS0(tc_port) \
2148 _MMIO(_PORT(tc_port, MG_MISC_SUS0_PORT1, MG_MISC_SUS0_PORT2))
2149#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE_MASK (3 << 14)
2150#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE(x) ((x) << 14)
2151#define MG_MISC_SUS0_CFG_TR2PWR_GATING (1 << 12)
2152#define MG_MISC_SUS0_CFG_CL2PWR_GATING (1 << 11)
2153#define MG_MISC_SUS0_CFG_GAONPWR_GATING (1 << 10)
2154#define MG_MISC_SUS0_CFG_TRPWR_GATING (1 << 7)
2155#define MG_MISC_SUS0_CFG_CL1PWR_GATING (1 << 6)
2156#define MG_MISC_SUS0_CFG_DGPWR_GATING (1 << 5)
340a44be 2157
842d4166
ACO
2158/* The spec defines this only for BXT PHY0, but lets assume that this
2159 * would exist for PHY1 too if it had a second channel.
2160 */
2161#define _PORT_CL2CM_DW6_A 0x162358
2162#define _PORT_CL2CM_DW6_BC 0x6C358
ed37892e 2163#define BXT_PORT_CL2CM_DW6(phy) _BXT_PHY((phy), _PORT_CL2CM_DW6_BC)
5c6706e5
VK
2164#define DW6_OLDO_DYN_PWR_DOWN_EN (1 << 28)
2165
a6576a8d 2166#define FIA1_BASE 0x163000
0caf6257
AS
2167#define FIA2_BASE 0x16E000
2168#define FIA3_BASE 0x16F000
2169#define _FIA(fia) _PICK((fia), FIA1_BASE, FIA2_BASE, FIA3_BASE)
2170#define _MMIO_FIA(fia, off) _MMIO(_FIA(fia) + (off))
a6576a8d 2171
a2bc69a1 2172/* ICL PHY DFLEX registers */
0caf6257 2173#define PORT_TX_DFLEXDPMLE1(fia) _MMIO_FIA((fia), 0x008C0)
b4335ec0
MN
2174#define DFLEXDPMLE1_DPMLETC_MASK(tc_port) (0xf << (4 * (tc_port)))
2175#define DFLEXDPMLE1_DPMLETC_ML0(tc_port) (1 << (4 * (tc_port)))
2176#define DFLEXDPMLE1_DPMLETC_ML1_0(tc_port) (3 << (4 * (tc_port)))
2177#define DFLEXDPMLE1_DPMLETC_ML3(tc_port) (8 << (4 * (tc_port)))
2178#define DFLEXDPMLE1_DPMLETC_ML3_2(tc_port) (12 << (4 * (tc_port)))
2179#define DFLEXDPMLE1_DPMLETC_ML3_0(tc_port) (15 << (4 * (tc_port)))
a2bc69a1 2180
5c6706e5
VK
2181/* BXT PHY Ref registers */
2182#define _PORT_REF_DW3_A 0x16218C
2183#define _PORT_REF_DW3_BC 0x6C18C
2184#define GRC_DONE (1 << 22)
ed37892e 2185#define BXT_PORT_REF_DW3(phy) _BXT_PHY((phy), _PORT_REF_DW3_BC)
5c6706e5
VK
2186
2187#define _PORT_REF_DW6_A 0x162198
2188#define _PORT_REF_DW6_BC 0x6C198
d1e082ff
ID
2189#define GRC_CODE_SHIFT 24
2190#define GRC_CODE_MASK (0xFF << GRC_CODE_SHIFT)
5c6706e5 2191#define GRC_CODE_FAST_SHIFT 16
d1e082ff 2192#define GRC_CODE_FAST_MASK (0xFF << GRC_CODE_FAST_SHIFT)
5c6706e5
VK
2193#define GRC_CODE_SLOW_SHIFT 8
2194#define GRC_CODE_SLOW_MASK (0xFF << GRC_CODE_SLOW_SHIFT)
2195#define GRC_CODE_NOM_MASK 0xFF
ed37892e 2196#define BXT_PORT_REF_DW6(phy) _BXT_PHY((phy), _PORT_REF_DW6_BC)
5c6706e5
VK
2197
2198#define _PORT_REF_DW8_A 0x1621A0
2199#define _PORT_REF_DW8_BC 0x6C1A0
2200#define GRC_DIS (1 << 15)
2201#define GRC_RDY_OVRD (1 << 1)
ed37892e 2202#define BXT_PORT_REF_DW8(phy) _BXT_PHY((phy), _PORT_REF_DW8_BC)
5c6706e5 2203
dfb82408 2204/* BXT PHY PCS registers */
96fb9f9b
VK
2205#define _PORT_PCS_DW10_LN01_A 0x162428
2206#define _PORT_PCS_DW10_LN01_B 0x6C428
2207#define _PORT_PCS_DW10_LN01_C 0x6C828
2208#define _PORT_PCS_DW10_GRP_A 0x162C28
2209#define _PORT_PCS_DW10_GRP_B 0x6CC28
2210#define _PORT_PCS_DW10_GRP_C 0x6CE28
ed37892e
ACO
2211#define BXT_PORT_PCS_DW10_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2212 _PORT_PCS_DW10_LN01_B, \
2213 _PORT_PCS_DW10_LN01_C)
2214#define BXT_PORT_PCS_DW10_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2215 _PORT_PCS_DW10_GRP_B, \
2216 _PORT_PCS_DW10_GRP_C)
2217
96fb9f9b
VK
2218#define TX2_SWING_CALC_INIT (1 << 31)
2219#define TX1_SWING_CALC_INIT (1 << 30)
2220
dfb82408
S
2221#define _PORT_PCS_DW12_LN01_A 0x162430
2222#define _PORT_PCS_DW12_LN01_B 0x6C430
2223#define _PORT_PCS_DW12_LN01_C 0x6C830
2224#define _PORT_PCS_DW12_LN23_A 0x162630
2225#define _PORT_PCS_DW12_LN23_B 0x6C630
2226#define _PORT_PCS_DW12_LN23_C 0x6CA30
2227#define _PORT_PCS_DW12_GRP_A 0x162c30
2228#define _PORT_PCS_DW12_GRP_B 0x6CC30
2229#define _PORT_PCS_DW12_GRP_C 0x6CE30
2230#define LANESTAGGER_STRAP_OVRD (1 << 6)
2231#define LANE_STAGGER_MASK 0x1F
ed37892e
ACO
2232#define BXT_PORT_PCS_DW12_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2233 _PORT_PCS_DW12_LN01_B, \
2234 _PORT_PCS_DW12_LN01_C)
2235#define BXT_PORT_PCS_DW12_LN23(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2236 _PORT_PCS_DW12_LN23_B, \
2237 _PORT_PCS_DW12_LN23_C)
2238#define BXT_PORT_PCS_DW12_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2239 _PORT_PCS_DW12_GRP_B, \
2240 _PORT_PCS_DW12_GRP_C)
dfb82408 2241
5c6706e5
VK
2242/* BXT PHY TX registers */
2243#define _BXT_LANE_OFFSET(lane) (((lane) >> 1) * 0x200 + \
2244 ((lane) & 1) * 0x80)
2245
96fb9f9b
VK
2246#define _PORT_TX_DW2_LN0_A 0x162508
2247#define _PORT_TX_DW2_LN0_B 0x6C508
2248#define _PORT_TX_DW2_LN0_C 0x6C908
2249#define _PORT_TX_DW2_GRP_A 0x162D08
2250#define _PORT_TX_DW2_GRP_B 0x6CD08
2251#define _PORT_TX_DW2_GRP_C 0x6CF08
ed37892e
ACO
2252#define BXT_PORT_TX_DW2_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2253 _PORT_TX_DW2_LN0_B, \
2254 _PORT_TX_DW2_LN0_C)
2255#define BXT_PORT_TX_DW2_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2256 _PORT_TX_DW2_GRP_B, \
2257 _PORT_TX_DW2_GRP_C)
96fb9f9b
VK
2258#define MARGIN_000_SHIFT 16
2259#define MARGIN_000 (0xFF << MARGIN_000_SHIFT)
2260#define UNIQ_TRANS_SCALE_SHIFT 8
2261#define UNIQ_TRANS_SCALE (0xFF << UNIQ_TRANS_SCALE_SHIFT)
2262
2263#define _PORT_TX_DW3_LN0_A 0x16250C
2264#define _PORT_TX_DW3_LN0_B 0x6C50C
2265#define _PORT_TX_DW3_LN0_C 0x6C90C
2266#define _PORT_TX_DW3_GRP_A 0x162D0C
2267#define _PORT_TX_DW3_GRP_B 0x6CD0C
2268#define _PORT_TX_DW3_GRP_C 0x6CF0C
ed37892e
ACO
2269#define BXT_PORT_TX_DW3_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2270 _PORT_TX_DW3_LN0_B, \
2271 _PORT_TX_DW3_LN0_C)
2272#define BXT_PORT_TX_DW3_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2273 _PORT_TX_DW3_GRP_B, \
2274 _PORT_TX_DW3_GRP_C)
9c58a049
SJ
2275#define SCALE_DCOMP_METHOD (1 << 26)
2276#define UNIQUE_TRANGE_EN_METHOD (1 << 27)
96fb9f9b
VK
2277
2278#define _PORT_TX_DW4_LN0_A 0x162510
2279#define _PORT_TX_DW4_LN0_B 0x6C510
2280#define _PORT_TX_DW4_LN0_C 0x6C910
2281#define _PORT_TX_DW4_GRP_A 0x162D10
2282#define _PORT_TX_DW4_GRP_B 0x6CD10
2283#define _PORT_TX_DW4_GRP_C 0x6CF10
ed37892e
ACO
2284#define BXT_PORT_TX_DW4_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2285 _PORT_TX_DW4_LN0_B, \
2286 _PORT_TX_DW4_LN0_C)
2287#define BXT_PORT_TX_DW4_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2288 _PORT_TX_DW4_GRP_B, \
2289 _PORT_TX_DW4_GRP_C)
96fb9f9b
VK
2290#define DEEMPH_SHIFT 24
2291#define DE_EMPHASIS (0xFF << DEEMPH_SHIFT)
2292
51b3ee35
ACO
2293#define _PORT_TX_DW5_LN0_A 0x162514
2294#define _PORT_TX_DW5_LN0_B 0x6C514
2295#define _PORT_TX_DW5_LN0_C 0x6C914
2296#define _PORT_TX_DW5_GRP_A 0x162D14
2297#define _PORT_TX_DW5_GRP_B 0x6CD14
2298#define _PORT_TX_DW5_GRP_C 0x6CF14
2299#define BXT_PORT_TX_DW5_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2300 _PORT_TX_DW5_LN0_B, \
2301 _PORT_TX_DW5_LN0_C)
2302#define BXT_PORT_TX_DW5_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2303 _PORT_TX_DW5_GRP_B, \
2304 _PORT_TX_DW5_GRP_C)
2305#define DCC_DELAY_RANGE_1 (1 << 9)
2306#define DCC_DELAY_RANGE_2 (1 << 8)
2307
5c6706e5
VK
2308#define _PORT_TX_DW14_LN0_A 0x162538
2309#define _PORT_TX_DW14_LN0_B 0x6C538
2310#define _PORT_TX_DW14_LN0_C 0x6C938
2311#define LATENCY_OPTIM_SHIFT 30
2312#define LATENCY_OPTIM (1 << LATENCY_OPTIM_SHIFT)
ed37892e
ACO
2313#define BXT_PORT_TX_DW14_LN(phy, ch, lane) \
2314 _MMIO(_BXT_PHY_CH(phy, ch, _PORT_TX_DW14_LN0_B, \
2315 _PORT_TX_DW14_LN0_C) + \
2316 _BXT_LANE_OFFSET(lane))
5c6706e5 2317
f8896f5d 2318/* UAIMI scratch pad register 1 */
f0f59a00 2319#define UAIMI_SPR1 _MMIO(0x4F074)
f8896f5d
DW
2320/* SKL VccIO mask */
2321#define SKL_VCCIO_MASK 0x1
2322/* SKL balance leg register */
f0f59a00 2323#define DISPIO_CR_TX_BMU_CR0 _MMIO(0x6C00C)
f8896f5d 2324/* I_boost values */
5ee8ee86
PZ
2325#define BALANCE_LEG_SHIFT(port) (8 + 3 * (port))
2326#define BALANCE_LEG_MASK(port) (7 << (8 + 3 * (port)))
f8896f5d
DW
2327/* Balance leg disable bits */
2328#define BALANCE_LEG_DISABLE_SHIFT 23
a7d8dbc0 2329#define BALANCE_LEG_DISABLE(port) (1 << (23 + (port)))
f8896f5d 2330
585fb111 2331/*
de151cf6 2332 * Fence registers
eecf613a
VS
2333 * [0-7] @ 0x2000 gen2,gen3
2334 * [8-15] @ 0x3000 945,g33,pnv
2335 *
2336 * [0-15] @ 0x3000 gen4,gen5
2337 *
2338 * [0-15] @ 0x100000 gen6,vlv,chv
2339 * [0-31] @ 0x100000 gen7+
585fb111 2340 */
f0f59a00 2341#define FENCE_REG(i) _MMIO(0x2000 + (((i) & 8) << 9) + ((i) & 7) * 4)
de151cf6
JB
2342#define I830_FENCE_START_MASK 0x07f80000
2343#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 2344#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6 2345#define I830_FENCE_PITCH_SHIFT 4
5ee8ee86 2346#define I830_FENCE_REG_VALID (1 << 0)
c36a2a6d 2347#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 2348#define I830_FENCE_MAX_PITCH_VAL 6
5ee8ee86 2349#define I830_FENCE_MAX_SIZE_VAL (1 << 8)
de151cf6
JB
2350
2351#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 2352#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 2353
f0f59a00
VS
2354#define FENCE_REG_965_LO(i) _MMIO(0x03000 + (i) * 8)
2355#define FENCE_REG_965_HI(i) _MMIO(0x03000 + (i) * 8 + 4)
de151cf6
JB
2356#define I965_FENCE_PITCH_SHIFT 2
2357#define I965_FENCE_TILING_Y_SHIFT 1
5ee8ee86 2358#define I965_FENCE_REG_VALID (1 << 0)
8d7773a3 2359#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 2360
f0f59a00
VS
2361#define FENCE_REG_GEN6_LO(i) _MMIO(0x100000 + (i) * 8)
2362#define FENCE_REG_GEN6_HI(i) _MMIO(0x100000 + (i) * 8 + 4)
eecf613a 2363#define GEN6_FENCE_PITCH_SHIFT 32
3a062478 2364#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 2365
2b6b3a09 2366
f691e2f4 2367/* control register for cpu gtt access */
f0f59a00 2368#define TILECTL _MMIO(0x101000)
f691e2f4 2369#define TILECTL_SWZCTL (1 << 0)
e3a29055 2370#define TILECTL_TLBPF (1 << 1)
f691e2f4
DV
2371#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
2372#define TILECTL_BACKSNOOP_DIS (1 << 3)
2373
de151cf6
JB
2374/*
2375 * Instruction and interrupt control regs
2376 */
f0f59a00 2377#define PGTBL_CTL _MMIO(0x02020)
f1e1c212
VS
2378#define PGTBL_ADDRESS_LO_MASK 0xfffff000 /* bits [31:12] */
2379#define PGTBL_ADDRESS_HI_MASK 0x000000f0 /* bits [35:32] (gen4) */
f0f59a00 2380#define PGTBL_ER _MMIO(0x02024)
5ee8ee86
PZ
2381#define PRB0_BASE (0x2030 - 0x30)
2382#define PRB1_BASE (0x2040 - 0x30) /* 830,gen3 */
2383#define PRB2_BASE (0x2050 - 0x30) /* gen3 */
2384#define SRB0_BASE (0x2100 - 0x30) /* gen2 */
2385#define SRB1_BASE (0x2110 - 0x30) /* gen2 */
2386#define SRB2_BASE (0x2120 - 0x30) /* 830 */
2387#define SRB3_BASE (0x2130 - 0x30) /* 830 */
333e9fe9
DV
2388#define RENDER_RING_BASE 0x02000
2389#define BSD_RING_BASE 0x04000
2390#define GEN6_BSD_RING_BASE 0x12000
845f74a7 2391#define GEN8_BSD2_RING_BASE 0x1c000
5f79e7c6
OM
2392#define GEN11_BSD_RING_BASE 0x1c0000
2393#define GEN11_BSD2_RING_BASE 0x1c4000
2394#define GEN11_BSD3_RING_BASE 0x1d0000
2395#define GEN11_BSD4_RING_BASE 0x1d4000
1950de14 2396#define VEBOX_RING_BASE 0x1a000
5f79e7c6
OM
2397#define GEN11_VEBOX_RING_BASE 0x1c8000
2398#define GEN11_VEBOX2_RING_BASE 0x1d8000
549f7365 2399#define BLT_RING_BASE 0x22000
5ee8ee86
PZ
2400#define RING_TAIL(base) _MMIO((base) + 0x30)
2401#define RING_HEAD(base) _MMIO((base) + 0x34)
2402#define RING_START(base) _MMIO((base) + 0x38)
2403#define RING_CTL(base) _MMIO((base) + 0x3c)
62ae14b1 2404#define RING_CTL_SIZE(size) ((size) - PAGE_SIZE) /* in bytes -> pages */
5ee8ee86
PZ
2405#define RING_SYNC_0(base) _MMIO((base) + 0x40)
2406#define RING_SYNC_1(base) _MMIO((base) + 0x44)
2407#define RING_SYNC_2(base) _MMIO((base) + 0x48)
1950de14
BW
2408#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
2409#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
2410#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
2411#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
2412#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
2413#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
2414#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
2415#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
2416#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
2417#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
2418#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
2419#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
f0f59a00 2420#define GEN6_NOSYNC INVALID_MMIO_REG
5ee8ee86
PZ
2421#define RING_PSMI_CTL(base) _MMIO((base) + 0x50)
2422#define RING_MAX_IDLE(base) _MMIO((base) + 0x54)
2423#define RING_HWS_PGA(base) _MMIO((base) + 0x80)
2424#define RING_HWS_PGA_GEN6(base) _MMIO((base) + 0x2080)
2425#define RING_RESET_CTL(base) _MMIO((base) + 0xd0)
5ce5f61b
MK
2426#define RESET_CTL_CAT_ERROR REG_BIT(2)
2427#define RESET_CTL_READY_TO_RESET REG_BIT(1)
2428#define RESET_CTL_REQUEST_RESET REG_BIT(0)
2429
39e78234 2430#define RING_SEMA_WAIT_POLL(base) _MMIO((base) + 0x24c)
9e72b46c 2431
f0f59a00 2432#define HSW_GTT_CACHE_EN _MMIO(0x4024)
6d50b065 2433#define GTT_CACHE_EN_ALL 0xF0007FFF
f0f59a00
VS
2434#define GEN7_WR_WATERMARK _MMIO(0x4028)
2435#define GEN7_GFX_PRIO_CTRL _MMIO(0x402C)
2436#define ARB_MODE _MMIO(0x4030)
5ee8ee86
PZ
2437#define ARB_MODE_SWIZZLE_SNB (1 << 4)
2438#define ARB_MODE_SWIZZLE_IVB (1 << 5)
f0f59a00
VS
2439#define GEN7_GFX_PEND_TLB0 _MMIO(0x4034)
2440#define GEN7_GFX_PEND_TLB1 _MMIO(0x4038)
9e72b46c 2441/* L3, CVS, ZTLB, RCC, CASC LRA min, max values */
f0f59a00 2442#define GEN7_LRA_LIMITS(i) _MMIO(0x403C + (i) * 4)
9e72b46c 2443#define GEN7_LRA_LIMITS_REG_NUM 13
f0f59a00
VS
2444#define GEN7_MEDIA_MAX_REQ_COUNT _MMIO(0x4070)
2445#define GEN7_GFX_MAX_REQ_COUNT _MMIO(0x4074)
9e72b46c 2446
f0f59a00 2447#define GAMTARBMODE _MMIO(0x04a08)
5ee8ee86
PZ
2448#define ARB_MODE_BWGTLB_DISABLE (1 << 9)
2449#define ARB_MODE_SWIZZLE_BDW (1 << 1)
f0f59a00 2450#define RENDER_HWS_PGA_GEN7 _MMIO(0x04080)
5ee8ee86 2451#define RING_FAULT_REG(engine) _MMIO(0x4094 + 0x100 * (engine)->hw_id)
b03ec3d6 2452#define GEN8_RING_FAULT_REG _MMIO(0x4094)
91b59cd9 2453#define GEN12_RING_FAULT_REG _MMIO(0xcec4)
b03ec3d6 2454#define GEN8_RING_FAULT_ENGINE_ID(x) (((x) >> 12) & 0x7)
5ee8ee86 2455#define RING_FAULT_GTTSEL_MASK (1 << 11)
68d97538
VS
2456#define RING_FAULT_SRCID(x) (((x) >> 3) & 0xff)
2457#define RING_FAULT_FAULT_TYPE(x) (((x) >> 1) & 0x3)
5ee8ee86 2458#define RING_FAULT_VALID (1 << 0)
f0f59a00
VS
2459#define DONE_REG _MMIO(0x40b0)
2460#define GEN8_PRIVATE_PAT_LO _MMIO(0x40e0)
2461#define GEN8_PRIVATE_PAT_HI _MMIO(0x40e0 + 4)
5ee8ee86 2462#define GEN10_PAT_INDEX(index) _MMIO(0x40e0 + (index) * 4)
b41e63d8 2463#define GEN12_PAT_INDEX(index) _MMIO(0x4800 + (index) * 4)
f0f59a00
VS
2464#define BSD_HWS_PGA_GEN7 _MMIO(0x04180)
2465#define BLT_HWS_PGA_GEN7 _MMIO(0x04280)
2466#define VEBOX_HWS_PGA_GEN7 _MMIO(0x04380)
5ee8ee86
PZ
2467#define RING_ACTHD(base) _MMIO((base) + 0x74)
2468#define RING_ACTHD_UDW(base) _MMIO((base) + 0x5c)
2469#define RING_NOPID(base) _MMIO((base) + 0x94)
2470#define RING_IMR(base) _MMIO((base) + 0xa8)
2471#define RING_HWSTAM(base) _MMIO((base) + 0x98)
2472#define RING_TIMESTAMP(base) _MMIO((base) + 0x358)
2473#define RING_TIMESTAMP_UDW(base) _MMIO((base) + 0x358 + 4)
585fb111
JB
2474#define TAIL_ADDR 0x001FFFF8
2475#define HEAD_WRAP_COUNT 0xFFE00000
2476#define HEAD_WRAP_ONE 0x00200000
2477#define HEAD_ADDR 0x001FFFFC
2478#define RING_NR_PAGES 0x001FF000
2479#define RING_REPORT_MASK 0x00000006
2480#define RING_REPORT_64K 0x00000002
2481#define RING_REPORT_128K 0x00000004
2482#define RING_NO_REPORT 0x00000000
2483#define RING_VALID_MASK 0x00000001
2484#define RING_VALID 0x00000001
2485#define RING_INVALID 0x00000000
5ee8ee86
PZ
2486#define RING_WAIT_I8XX (1 << 0) /* gen2, PRBx_HEAD */
2487#define RING_WAIT (1 << 11) /* gen3+, PRBx_CTL */
2488#define RING_WAIT_SEMAPHORE (1 << 10) /* gen6+ */
9e72b46c 2489
5ee8ee86 2490#define RING_FORCE_TO_NONPRIV(base, i) _MMIO(((base) + 0x4D0) + (i) * 4)
1e2b7f49
JH
2491#define RING_FORCE_TO_NONPRIV_ACCESS_RW (0 << 28) /* CFL+ & Gen11+ */
2492#define RING_FORCE_TO_NONPRIV_ACCESS_RD (1 << 28)
2493#define RING_FORCE_TO_NONPRIV_ACCESS_WR (2 << 28)
2494#define RING_FORCE_TO_NONPRIV_ACCESS_INVALID (3 << 28)
2495#define RING_FORCE_TO_NONPRIV_ACCESS_MASK (3 << 28)
5380d0b7
JH
2496#define RING_FORCE_TO_NONPRIV_RANGE_1 (0 << 0) /* CFL+ & Gen11+ */
2497#define RING_FORCE_TO_NONPRIV_RANGE_4 (1 << 0)
2498#define RING_FORCE_TO_NONPRIV_RANGE_16 (2 << 0)
2499#define RING_FORCE_TO_NONPRIV_RANGE_64 (3 << 0)
1e2b7f49
JH
2500#define RING_FORCE_TO_NONPRIV_RANGE_MASK (3 << 0)
2501#define RING_FORCE_TO_NONPRIV_MASK_VALID \
2502 (RING_FORCE_TO_NONPRIV_RANGE_MASK \
2503 | RING_FORCE_TO_NONPRIV_ACCESS_MASK)
33136b06
AS
2504#define RING_MAX_NONPRIV_SLOTS 12
2505
f0f59a00 2506#define GEN7_TLB_RD_ADDR _MMIO(0x4700)
9e72b46c 2507
4ba9c1f7 2508#define GEN9_GAMT_ECO_REG_RW_IA _MMIO(0x4ab0)
5ee8ee86 2509#define GAMT_ECO_ENABLE_IN_PLACE_DECOMPRESS (1 << 18)
4ba9c1f7 2510
9a6330cf
MA
2511#define GEN8_GAMW_ECO_DEV_RW_IA _MMIO(0x4080)
2512#define GAMW_ECO_ENABLE_64K_IPS_FIELD 0xF
85f04aa5 2513#define GAMW_ECO_DEV_CTX_RELOAD_DISABLE (1 << 7)
9a6330cf 2514
c0b730d5 2515#define GAMT_CHKN_BIT_REG _MMIO(0x4ab8)
4ece66b1
OM
2516#define GAMT_CHKN_DISABLE_L3_COH_PIPE (1 << 31)
2517#define GAMT_CHKN_DISABLE_DYNAMIC_CREDIT_SHARING (1 << 28)
2518#define GAMT_CHKN_DISABLE_I2M_CYCLE_ON_WR_PORT (1 << 24)
c0b730d5 2519
8168bd48 2520#if 0
f0f59a00
VS
2521#define PRB0_TAIL _MMIO(0x2030)
2522#define PRB0_HEAD _MMIO(0x2034)
2523#define PRB0_START _MMIO(0x2038)
2524#define PRB0_CTL _MMIO(0x203c)
2525#define PRB1_TAIL _MMIO(0x2040) /* 915+ only */
2526#define PRB1_HEAD _MMIO(0x2044) /* 915+ only */
2527#define PRB1_START _MMIO(0x2048) /* 915+ only */
2528#define PRB1_CTL _MMIO(0x204c) /* 915+ only */
8168bd48 2529#endif
f0f59a00
VS
2530#define IPEIR_I965 _MMIO(0x2064)
2531#define IPEHR_I965 _MMIO(0x2068)
2532#define GEN7_SC_INSTDONE _MMIO(0x7100)
2533#define GEN7_SAMPLER_INSTDONE _MMIO(0xe160)
2534#define GEN7_ROW_INSTDONE _MMIO(0xe164)
f9e61372
BW
2535#define GEN8_MCR_SELECTOR _MMIO(0xfdc)
2536#define GEN8_MCR_SLICE(slice) (((slice) & 3) << 26)
2537#define GEN8_MCR_SLICE_MASK GEN8_MCR_SLICE(3)
2538#define GEN8_MCR_SUBSLICE(subslice) (((subslice) & 3) << 24)
2539#define GEN8_MCR_SUBSLICE_MASK GEN8_MCR_SUBSLICE(3)
d3d57927
KG
2540#define GEN11_MCR_SLICE(slice) (((slice) & 0xf) << 27)
2541#define GEN11_MCR_SLICE_MASK GEN11_MCR_SLICE(0xf)
2542#define GEN11_MCR_SUBSLICE(subslice) (((subslice) & 0x7) << 24)
2543#define GEN11_MCR_SUBSLICE_MASK GEN11_MCR_SUBSLICE(0x7)
5ee8ee86
PZ
2544#define RING_IPEIR(base) _MMIO((base) + 0x64)
2545#define RING_IPEHR(base) _MMIO((base) + 0x68)
f1d54348
ID
2546/*
2547 * On GEN4, only the render ring INSTDONE exists and has a different
2548 * layout than the GEN7+ version.
bd93a50e 2549 * The GEN2 counterpart of this register is GEN2_INSTDONE.
f1d54348 2550 */
5ee8ee86
PZ
2551#define RING_INSTDONE(base) _MMIO((base) + 0x6c)
2552#define RING_INSTPS(base) _MMIO((base) + 0x70)
2553#define RING_DMA_FADD(base) _MMIO((base) + 0x78)
2554#define RING_DMA_FADD_UDW(base) _MMIO((base) + 0x60) /* gen8+ */
2555#define RING_INSTPM(base) _MMIO((base) + 0xc0)
2556#define RING_MI_MODE(base) _MMIO((base) + 0x9c)
f0f59a00
VS
2557#define INSTPS _MMIO(0x2070) /* 965+ only */
2558#define GEN4_INSTDONE1 _MMIO(0x207c) /* 965+ only, aka INSTDONE_2 on SNB */
2559#define ACTHD_I965 _MMIO(0x2074)
2560#define HWS_PGA _MMIO(0x2080)
585fb111
JB
2561#define HWS_ADDRESS_MASK 0xfffff000
2562#define HWS_START_ADDRESS_SHIFT 4
f0f59a00 2563#define PWRCTXA _MMIO(0x2088) /* 965GM+ only */
5ee8ee86 2564#define PWRCTX_EN (1 << 0)
baba6e57
DCS
2565#define IPEIR(base) _MMIO((base) + 0x88)
2566#define IPEHR(base) _MMIO((base) + 0x8c)
f0f59a00
VS
2567#define GEN2_INSTDONE _MMIO(0x2090)
2568#define NOPID _MMIO(0x2094)
2569#define HWSTAM _MMIO(0x2098)
baba6e57 2570#define DMA_FADD_I8XX(base) _MMIO((base) + 0xd0)
5ee8ee86 2571#define RING_BBSTATE(base) _MMIO((base) + 0x110)
35dc3f97 2572#define RING_BB_PPGTT (1 << 5)
5ee8ee86
PZ
2573#define RING_SBBADDR(base) _MMIO((base) + 0x114) /* hsw+ */
2574#define RING_SBBSTATE(base) _MMIO((base) + 0x118) /* hsw+ */
2575#define RING_SBBADDR_UDW(base) _MMIO((base) + 0x11c) /* gen8+ */
2576#define RING_BBADDR(base) _MMIO((base) + 0x140)
2577#define RING_BBADDR_UDW(base) _MMIO((base) + 0x168) /* gen8+ */
2578#define RING_BB_PER_CTX_PTR(base) _MMIO((base) + 0x1c0) /* gen8+ */
2579#define RING_INDIRECT_CTX(base) _MMIO((base) + 0x1c4) /* gen8+ */
2580#define RING_INDIRECT_CTX_OFFSET(base) _MMIO((base) + 0x1c8) /* gen8+ */
2581#define RING_CTX_TIMESTAMP(base) _MMIO((base) + 0x3a8) /* gen8+ */
f0f59a00
VS
2582
2583#define ERROR_GEN6 _MMIO(0x40a0)
2584#define GEN7_ERR_INT _MMIO(0x44040)
5ee8ee86
PZ
2585#define ERR_INT_POISON (1 << 31)
2586#define ERR_INT_MMIO_UNCLAIMED (1 << 13)
2587#define ERR_INT_PIPE_CRC_DONE_C (1 << 8)
2588#define ERR_INT_FIFO_UNDERRUN_C (1 << 6)
2589#define ERR_INT_PIPE_CRC_DONE_B (1 << 5)
2590#define ERR_INT_FIFO_UNDERRUN_B (1 << 3)
2591#define ERR_INT_PIPE_CRC_DONE_A (1 << 2)
2592#define ERR_INT_PIPE_CRC_DONE(pipe) (1 << (2 + (pipe) * 3))
2593#define ERR_INT_FIFO_UNDERRUN_A (1 << 0)
2594#define ERR_INT_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
f406839f 2595
f0f59a00
VS
2596#define GEN8_FAULT_TLB_DATA0 _MMIO(0x4b10)
2597#define GEN8_FAULT_TLB_DATA1 _MMIO(0x4b14)
91b59cd9
LDM
2598#define GEN12_FAULT_TLB_DATA0 _MMIO(0xceb8)
2599#define GEN12_FAULT_TLB_DATA1 _MMIO(0xcebc)
5a3f58df
OM
2600#define FAULT_VA_HIGH_BITS (0xf << 0)
2601#define FAULT_GTT_SEL (1 << 4)
6c826f34 2602
f0f59a00 2603#define FPGA_DBG _MMIO(0x42300)
5ee8ee86 2604#define FPGA_DBG_RM_NOCLAIM (1 << 31)
3f1e109a 2605
8ac3e1bb
MK
2606#define CLAIM_ER _MMIO(VLV_DISPLAY_BASE + 0x2028)
2607#define CLAIM_ER_CLR (1 << 31)
2608#define CLAIM_ER_OVERFLOW (1 << 16)
2609#define CLAIM_ER_CTR_MASK 0xffff
2610
f0f59a00 2611#define DERRMR _MMIO(0x44050)
4e0bbc31 2612/* Note that HBLANK events are reserved on bdw+ */
5ee8ee86
PZ
2613#define DERRMR_PIPEA_SCANLINE (1 << 0)
2614#define DERRMR_PIPEA_PRI_FLIP_DONE (1 << 1)
2615#define DERRMR_PIPEA_SPR_FLIP_DONE (1 << 2)
2616#define DERRMR_PIPEA_VBLANK (1 << 3)
2617#define DERRMR_PIPEA_HBLANK (1 << 5)
af7187b7 2618#define DERRMR_PIPEB_SCANLINE (1 << 8)
5ee8ee86
PZ
2619#define DERRMR_PIPEB_PRI_FLIP_DONE (1 << 9)
2620#define DERRMR_PIPEB_SPR_FLIP_DONE (1 << 10)
2621#define DERRMR_PIPEB_VBLANK (1 << 11)
2622#define DERRMR_PIPEB_HBLANK (1 << 13)
ffe74d75 2623/* Note that PIPEC is not a simple translation of PIPEA/PIPEB */
5ee8ee86
PZ
2624#define DERRMR_PIPEC_SCANLINE (1 << 14)
2625#define DERRMR_PIPEC_PRI_FLIP_DONE (1 << 15)
2626#define DERRMR_PIPEC_SPR_FLIP_DONE (1 << 20)
2627#define DERRMR_PIPEC_VBLANK (1 << 21)
2628#define DERRMR_PIPEC_HBLANK (1 << 22)
ffe74d75 2629
0f3b6849 2630
de6e2eaf
EA
2631/* GM45+ chicken bits -- debug workaround bits that may be required
2632 * for various sorts of correct behavior. The top 16 bits of each are
2633 * the enables for writing to the corresponding low bit.
2634 */
f0f59a00 2635#define _3D_CHICKEN _MMIO(0x2084)
4283908e 2636#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
f0f59a00 2637#define _3D_CHICKEN2 _MMIO(0x208c)
b77422f8
KG
2638
2639#define FF_SLICE_CHICKEN _MMIO(0x2088)
2640#define FF_SLICE_CHICKEN_CL_PROVOKING_VERTEX_FIX (1 << 1)
2641
de6e2eaf
EA
2642/* Disables pipelining of read flushes past the SF-WIZ interface.
2643 * Required on all Ironlake steppings according to the B-Spec, but the
2644 * particular danger of not doing so is not specified.
2645 */
2646# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
f0f59a00 2647#define _3D_CHICKEN3 _MMIO(0x2090)
b77422f8 2648#define _3D_CHICKEN_SF_PROVOKING_VERTEX_FIX (1 << 12)
87f8020e 2649#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
1a25db65 2650#define _3D_CHICKEN3_AA_LINE_QUALITY_FIX_ENABLE (1 << 5)
26b6e44a 2651#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
5ee8ee86 2652#define _3D_CHICKEN_SDE_LIMIT_FIFO_POLY_DEPTH(x) ((x) << 1) /* gen8+ */
e927ecde 2653#define _3D_CHICKEN3_SF_DISABLE_PIPELINED_ATTR_FETCH (1 << 1) /* gen6 */
de6e2eaf 2654
f0f59a00 2655#define MI_MODE _MMIO(0x209c)
71cf39b1 2656# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 2657# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 2658# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
e9fea574 2659# define MODE_IDLE (1 << 9)
9991ae78 2660# define STOP_RING (1 << 8)
71cf39b1 2661
f0f59a00
VS
2662#define GEN6_GT_MODE _MMIO(0x20d0)
2663#define GEN7_GT_MODE _MMIO(0x7008)
8d85d272
VS
2664#define GEN6_WIZ_HASHING(hi, lo) (((hi) << 9) | ((lo) << 7))
2665#define GEN6_WIZ_HASHING_8x8 GEN6_WIZ_HASHING(0, 0)
2666#define GEN6_WIZ_HASHING_8x4 GEN6_WIZ_HASHING(0, 1)
2667#define GEN6_WIZ_HASHING_16x4 GEN6_WIZ_HASHING(1, 0)
98533251 2668#define GEN6_WIZ_HASHING_MASK GEN6_WIZ_HASHING(1, 1)
6547fbdb 2669#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
68d97538
VS
2670#define GEN9_IZ_HASHING_MASK(slice) (0x3 << ((slice) * 2))
2671#define GEN9_IZ_HASHING(slice, val) ((val) << ((slice) * 2))
f8f2ac9a 2672
a8ab5ed5
TG
2673/* chicken reg for WaConextSwitchWithConcurrentTLBInvalidate */
2674#define GEN9_CSFE_CHICKEN1_RCS _MMIO(0x20D4)
2675#define GEN9_PREEMPT_GPGPU_SYNC_SWITCH_DISABLE (1 << 2)
622b3f68 2676#define GEN11_ENABLE_32_PLANE_MODE (1 << 7)
a8ab5ed5 2677
b1e429fe
TG
2678/* WaClearTdlStateAckDirtyBits */
2679#define GEN8_STATE_ACK _MMIO(0x20F0)
2680#define GEN9_STATE_ACK_SLICE1 _MMIO(0x20F8)
2681#define GEN9_STATE_ACK_SLICE2 _MMIO(0x2100)
2682#define GEN9_STATE_ACK_TDL0 (1 << 12)
2683#define GEN9_STATE_ACK_TDL1 (1 << 13)
2684#define GEN9_STATE_ACK_TDL2 (1 << 14)
2685#define GEN9_STATE_ACK_TDL3 (1 << 15)
2686#define GEN9_SUBSLICE_TDL_ACK_BITS \
2687 (GEN9_STATE_ACK_TDL3 | GEN9_STATE_ACK_TDL2 | \
2688 GEN9_STATE_ACK_TDL1 | GEN9_STATE_ACK_TDL0)
2689
f0f59a00
VS
2690#define GFX_MODE _MMIO(0x2520)
2691#define GFX_MODE_GEN7 _MMIO(0x229c)
dbc65183 2692#define RING_MODE_GEN7(base) _MMIO((base) + 0x29c)
5ee8ee86
PZ
2693#define GFX_RUN_LIST_ENABLE (1 << 15)
2694#define GFX_INTERRUPT_STEERING (1 << 14)
2695#define GFX_TLB_INVALIDATE_EXPLICIT (1 << 13)
2696#define GFX_SURFACE_FAULT_ENABLE (1 << 12)
2697#define GFX_REPLAY_MODE (1 << 11)
2698#define GFX_PSMI_GRANULARITY (1 << 10)
2699#define GFX_PPGTT_ENABLE (1 << 9)
2700#define GEN8_GFX_PPGTT_48B (1 << 7)
2701
2702#define GFX_FORWARD_VBLANK_MASK (3 << 5)
2703#define GFX_FORWARD_VBLANK_NEVER (0 << 5)
2704#define GFX_FORWARD_VBLANK_ALWAYS (1 << 5)
2705#define GFX_FORWARD_VBLANK_COND (2 << 5)
2706
2707#define GEN11_GFX_DISABLE_LEGACY_MODE (1 << 3)
225701fc 2708
f0f59a00
VS
2709#define VLV_GU_CTL0 _MMIO(VLV_DISPLAY_BASE + 0x2030)
2710#define VLV_GU_CTL1 _MMIO(VLV_DISPLAY_BASE + 0x2034)
2711#define SCPD0 _MMIO(0x209c) /* 915+ only */
9d9523d8
PZ
2712#define GEN2_IER _MMIO(0x20a0)
2713#define GEN2_IIR _MMIO(0x20a4)
2714#define GEN2_IMR _MMIO(0x20a8)
2715#define GEN2_ISR _MMIO(0x20ac)
f0f59a00 2716#define VLV_GUNIT_CLOCK_GATE _MMIO(VLV_DISPLAY_BASE + 0x2060)
5ee8ee86
PZ
2717#define GINT_DIS (1 << 22)
2718#define GCFG_DIS (1 << 8)
f0f59a00
VS
2719#define VLV_GUNIT_CLOCK_GATE2 _MMIO(VLV_DISPLAY_BASE + 0x2064)
2720#define VLV_IIR_RW _MMIO(VLV_DISPLAY_BASE + 0x2084)
2721#define VLV_IER _MMIO(VLV_DISPLAY_BASE + 0x20a0)
2722#define VLV_IIR _MMIO(VLV_DISPLAY_BASE + 0x20a4)
2723#define VLV_IMR _MMIO(VLV_DISPLAY_BASE + 0x20a8)
2724#define VLV_ISR _MMIO(VLV_DISPLAY_BASE + 0x20ac)
2725#define VLV_PCBR _MMIO(VLV_DISPLAY_BASE + 0x2120)
38807746
D
2726#define VLV_PCBR_ADDR_SHIFT 12
2727
5ee8ee86 2728#define DISPLAY_PLANE_FLIP_PENDING(plane) (1 << (11 - (plane))) /* A and B only */
f0f59a00
VS
2729#define EIR _MMIO(0x20b0)
2730#define EMR _MMIO(0x20b4)
2731#define ESR _MMIO(0x20b8)
5ee8ee86
PZ
2732#define GM45_ERROR_PAGE_TABLE (1 << 5)
2733#define GM45_ERROR_MEM_PRIV (1 << 4)
2734#define I915_ERROR_PAGE_TABLE (1 << 4)
2735#define GM45_ERROR_CP_PRIV (1 << 3)
2736#define I915_ERROR_MEMORY_REFRESH (1 << 1)
2737#define I915_ERROR_INSTRUCTION (1 << 0)
f0f59a00 2738#define INSTPM _MMIO(0x20c0)
5ee8ee86
PZ
2739#define INSTPM_SELF_EN (1 << 12) /* 915GM only */
2740#define INSTPM_AGPBUSY_INT_EN (1 << 11) /* gen3: when disabled, pending interrupts
8692d00e
CW
2741 will not assert AGPBUSY# and will only
2742 be delivered when out of C3. */
5ee8ee86
PZ
2743#define INSTPM_FORCE_ORDERING (1 << 7) /* GEN6+ */
2744#define INSTPM_TLB_INVALIDATE (1 << 9)
2745#define INSTPM_SYNC_FLUSH (1 << 5)
baba6e57 2746#define ACTHD(base) _MMIO((base) + 0xc8)
f0f59a00 2747#define MEM_MODE _MMIO(0x20cc)
5ee8ee86
PZ
2748#define MEM_DISPLAY_B_TRICKLE_FEED_DISABLE (1 << 3) /* 830 only */
2749#define MEM_DISPLAY_A_TRICKLE_FEED_DISABLE (1 << 2) /* 830/845 only */
2750#define MEM_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2) /* 85x only */
f0f59a00
VS
2751#define FW_BLC _MMIO(0x20d8)
2752#define FW_BLC2 _MMIO(0x20dc)
2753#define FW_BLC_SELF _MMIO(0x20e0) /* 915+ only */
5ee8ee86
PZ
2754#define FW_BLC_SELF_EN_MASK (1 << 31)
2755#define FW_BLC_SELF_FIFO_MASK (1 << 16) /* 945 only */
2756#define FW_BLC_SELF_EN (1 << 15) /* 945 only */
7662c8bd
SL
2757#define MM_BURST_LENGTH 0x00700000
2758#define MM_FIFO_WATERMARK 0x0001F000
2759#define LM_BURST_LENGTH 0x00000700
2760#define LM_FIFO_WATERMARK 0x0000001F
f0f59a00 2761#define MI_ARB_STATE _MMIO(0x20e4) /* 915+ only */
45503ded 2762
78005497
MK
2763#define MBUS_ABOX_CTL _MMIO(0x45038)
2764#define MBUS_ABOX_BW_CREDIT_MASK (3 << 20)
2765#define MBUS_ABOX_BW_CREDIT(x) ((x) << 20)
2766#define MBUS_ABOX_B_CREDIT_MASK (0xF << 16)
2767#define MBUS_ABOX_B_CREDIT(x) ((x) << 16)
2768#define MBUS_ABOX_BT_CREDIT_POOL2_MASK (0x1F << 8)
2769#define MBUS_ABOX_BT_CREDIT_POOL2(x) ((x) << 8)
2770#define MBUS_ABOX_BT_CREDIT_POOL1_MASK (0x1F << 0)
2771#define MBUS_ABOX_BT_CREDIT_POOL1(x) ((x) << 0)
2772
2773#define _PIPEA_MBUS_DBOX_CTL 0x7003C
2774#define _PIPEB_MBUS_DBOX_CTL 0x7103C
2775#define PIPE_MBUS_DBOX_CTL(pipe) _MMIO_PIPE(pipe, _PIPEA_MBUS_DBOX_CTL, \
2776 _PIPEB_MBUS_DBOX_CTL)
2777#define MBUS_DBOX_BW_CREDIT_MASK (3 << 14)
2778#define MBUS_DBOX_BW_CREDIT(x) ((x) << 14)
2779#define MBUS_DBOX_B_CREDIT_MASK (0x1F << 8)
2780#define MBUS_DBOX_B_CREDIT(x) ((x) << 8)
2781#define MBUS_DBOX_A_CREDIT_MASK (0xF << 0)
2782#define MBUS_DBOX_A_CREDIT(x) ((x) << 0)
2783
2784#define MBUS_UBOX_CTL _MMIO(0x4503C)
2785#define MBUS_BBOX_CTL_S1 _MMIO(0x45040)
2786#define MBUS_BBOX_CTL_S2 _MMIO(0x45044)
2787
45503ded
KP
2788/* Make render/texture TLB fetches lower priorty than associated data
2789 * fetches. This is not turned on by default
2790 */
2791#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
2792
2793/* Isoch request wait on GTT enable (Display A/B/C streams).
2794 * Make isoch requests stall on the TLB update. May cause
2795 * display underruns (test mode only)
2796 */
2797#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
2798
2799/* Block grant count for isoch requests when block count is
2800 * set to a finite value.
2801 */
2802#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
2803#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
2804#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
2805#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
2806#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
2807
2808/* Enable render writes to complete in C2/C3/C4 power states.
2809 * If this isn't enabled, render writes are prevented in low
2810 * power states. That seems bad to me.
2811 */
2812#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
2813
2814/* This acknowledges an async flip immediately instead
2815 * of waiting for 2TLB fetches.
2816 */
2817#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
2818
2819/* Enables non-sequential data reads through arbiter
2820 */
0206e353 2821#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
2822
2823/* Disable FSB snooping of cacheable write cycles from binner/render
2824 * command stream
2825 */
2826#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
2827
2828/* Arbiter time slice for non-isoch streams */
2829#define MI_ARB_TIME_SLICE_MASK (7 << 5)
2830#define MI_ARB_TIME_SLICE_1 (0 << 5)
2831#define MI_ARB_TIME_SLICE_2 (1 << 5)
2832#define MI_ARB_TIME_SLICE_4 (2 << 5)
2833#define MI_ARB_TIME_SLICE_6 (3 << 5)
2834#define MI_ARB_TIME_SLICE_8 (4 << 5)
2835#define MI_ARB_TIME_SLICE_10 (5 << 5)
2836#define MI_ARB_TIME_SLICE_14 (6 << 5)
2837#define MI_ARB_TIME_SLICE_16 (7 << 5)
2838
2839/* Low priority grace period page size */
2840#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
2841#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
2842
2843/* Disable display A/B trickle feed */
2844#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
2845
2846/* Set display plane priority */
2847#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
2848#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
2849
f0f59a00 2850#define MI_STATE _MMIO(0x20e4) /* gen2 only */
54e472ae
VS
2851#define MI_AGPBUSY_INT_EN (1 << 1) /* 85x only */
2852#define MI_AGPBUSY_830_MODE (1 << 0) /* 85x only */
2853
f0f59a00 2854#define CACHE_MODE_0 _MMIO(0x2120) /* 915+ only */
5ee8ee86
PZ
2855#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1 << 8)
2856#define CM0_IZ_OPT_DISABLE (1 << 6)
2857#define CM0_ZR_OPT_DISABLE (1 << 5)
2858#define CM0_STC_EVICT_DISABLE_LRA_SNB (1 << 5)
2859#define CM0_DEPTH_EVICT_DISABLE (1 << 4)
2860#define CM0_COLOR_EVICT_DISABLE (1 << 3)
2861#define CM0_DEPTH_WRITE_DISABLE (1 << 1)
2862#define CM0_RC_OP_FLUSH_DISABLE (1 << 0)
f0f59a00
VS
2863#define GFX_FLSH_CNTL _MMIO(0x2170) /* 915+ only */
2864#define GFX_FLSH_CNTL_GEN6 _MMIO(0x101008)
5ee8ee86 2865#define GFX_FLSH_CNTL_EN (1 << 0)
f0f59a00 2866#define ECOSKPD _MMIO(0x21d0)
9ce9bdb0 2867#define ECO_CONSTANT_BUFFER_SR_DISABLE REG_BIT(4)
5ee8ee86
PZ
2868#define ECO_GATING_CX_ONLY (1 << 3)
2869#define ECO_FLIP_DONE (1 << 0)
585fb111 2870
f0f59a00 2871#define CACHE_MODE_0_GEN7 _MMIO(0x7000) /* IVB+ */
5ee8ee86
PZ
2872#define RC_OP_FLUSH_ENABLE (1 << 0)
2873#define HIZ_RAW_STALL_OPT_DISABLE (1 << 2)
f0f59a00 2874#define CACHE_MODE_1 _MMIO(0x7004) /* IVB+ */
5ee8ee86
PZ
2875#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1 << 6)
2876#define GEN8_4x4_STC_OPTIMIZATION_DISABLE (1 << 6)
2877#define GEN9_PARTIAL_RESOLVE_IN_VC_DISABLE (1 << 1)
fb046853 2878
f0f59a00 2879#define GEN6_BLITTER_ECOSKPD _MMIO(0x221d0)
4efe0708 2880#define GEN6_BLITTER_LOCK_SHIFT 16
5ee8ee86 2881#define GEN6_BLITTER_FBC_NOTIFY (1 << 3)
4efe0708 2882
f0f59a00 2883#define GEN6_RC_SLEEP_PSMI_CONTROL _MMIO(0x2050)
2c550183 2884#define GEN6_PSMI_SLEEP_MSG_DISABLE (1 << 0)
295e8bb7 2885#define GEN8_RC_SEMA_IDLE_MSG_DISABLE (1 << 12)
5ee8ee86 2886#define GEN8_FF_DOP_CLOCK_GATE_DISABLE (1 << 10)
295e8bb7 2887
19f81df2
RB
2888#define GEN6_RCS_PWR_FSM _MMIO(0x22ac)
2889#define GEN9_RCS_FE_FSM2 _MMIO(0x22a4)
2890
0b904c89
TN
2891#define GEN10_CACHE_MODE_SS _MMIO(0xe420)
2892#define FLOAT_BLEND_OPTIMIZATION_ENABLE (1 << 4)
2893
693d11c3 2894/* Fuse readout registers for GT */
b8ec759e
LL
2895#define HSW_PAVP_FUSE1 _MMIO(0x911C)
2896#define HSW_F1_EU_DIS_SHIFT 16
2897#define HSW_F1_EU_DIS_MASK (0x3 << HSW_F1_EU_DIS_SHIFT)
2898#define HSW_F1_EU_DIS_10EUS 0
2899#define HSW_F1_EU_DIS_8EUS 1
2900#define HSW_F1_EU_DIS_6EUS 2
2901
f0f59a00 2902#define CHV_FUSE_GT _MMIO(VLV_DISPLAY_BASE + 0x2168)
c93043ae
JM
2903#define CHV_FGT_DISABLE_SS0 (1 << 10)
2904#define CHV_FGT_DISABLE_SS1 (1 << 11)
693d11c3
D
2905#define CHV_FGT_EU_DIS_SS0_R0_SHIFT 16
2906#define CHV_FGT_EU_DIS_SS0_R0_MASK (0xf << CHV_FGT_EU_DIS_SS0_R0_SHIFT)
2907#define CHV_FGT_EU_DIS_SS0_R1_SHIFT 20
2908#define CHV_FGT_EU_DIS_SS0_R1_MASK (0xf << CHV_FGT_EU_DIS_SS0_R1_SHIFT)
2909#define CHV_FGT_EU_DIS_SS1_R0_SHIFT 24
2910#define CHV_FGT_EU_DIS_SS1_R0_MASK (0xf << CHV_FGT_EU_DIS_SS1_R0_SHIFT)
2911#define CHV_FGT_EU_DIS_SS1_R1_SHIFT 28
2912#define CHV_FGT_EU_DIS_SS1_R1_MASK (0xf << CHV_FGT_EU_DIS_SS1_R1_SHIFT)
2913
f0f59a00 2914#define GEN8_FUSE2 _MMIO(0x9120)
91bedd34
ŁD
2915#define GEN8_F2_SS_DIS_SHIFT 21
2916#define GEN8_F2_SS_DIS_MASK (0x7 << GEN8_F2_SS_DIS_SHIFT)
3873218f
JM
2917#define GEN8_F2_S_ENA_SHIFT 25
2918#define GEN8_F2_S_ENA_MASK (0x7 << GEN8_F2_S_ENA_SHIFT)
2919
2920#define GEN9_F2_SS_DIS_SHIFT 20
2921#define GEN9_F2_SS_DIS_MASK (0xf << GEN9_F2_SS_DIS_SHIFT)
2922
4e9767bc
BW
2923#define GEN10_F2_S_ENA_SHIFT 22
2924#define GEN10_F2_S_ENA_MASK (0x3f << GEN10_F2_S_ENA_SHIFT)
2925#define GEN10_F2_SS_DIS_SHIFT 18
2926#define GEN10_F2_SS_DIS_MASK (0xf << GEN10_F2_SS_DIS_SHIFT)
2927
fe864b76
YZ
2928#define GEN10_MIRROR_FUSE3 _MMIO(0x9118)
2929#define GEN10_L3BANK_PAIR_COUNT 4
2930#define GEN10_L3BANK_MASK 0x0F
2931
f0f59a00 2932#define GEN8_EU_DISABLE0 _MMIO(0x9134)
91bedd34
ŁD
2933#define GEN8_EU_DIS0_S0_MASK 0xffffff
2934#define GEN8_EU_DIS0_S1_SHIFT 24
2935#define GEN8_EU_DIS0_S1_MASK (0xff << GEN8_EU_DIS0_S1_SHIFT)
2936
f0f59a00 2937#define GEN8_EU_DISABLE1 _MMIO(0x9138)
91bedd34
ŁD
2938#define GEN8_EU_DIS1_S1_MASK 0xffff
2939#define GEN8_EU_DIS1_S2_SHIFT 16
2940#define GEN8_EU_DIS1_S2_MASK (0xffff << GEN8_EU_DIS1_S2_SHIFT)
2941
f0f59a00 2942#define GEN8_EU_DISABLE2 _MMIO(0x913c)
91bedd34
ŁD
2943#define GEN8_EU_DIS2_S2_MASK 0xff
2944
5ee8ee86 2945#define GEN9_EU_DISABLE(slice) _MMIO(0x9134 + (slice) * 0x4)
3873218f 2946
4e9767bc
BW
2947#define GEN10_EU_DISABLE3 _MMIO(0x9140)
2948#define GEN10_EU_DIS_SS_MASK 0xff
2949
26376a7e
OM
2950#define GEN11_GT_VEBOX_VDBOX_DISABLE _MMIO(0x9140)
2951#define GEN11_GT_VDBOX_DISABLE_MASK 0xff
2952#define GEN11_GT_VEBOX_DISABLE_SHIFT 16
547fcf9b 2953#define GEN11_GT_VEBOX_DISABLE_MASK (0x0f << GEN11_GT_VEBOX_DISABLE_SHIFT)
26376a7e 2954
8b5eb5e2
KG
2955#define GEN11_EU_DISABLE _MMIO(0x9134)
2956#define GEN11_EU_DIS_MASK 0xFF
2957
2958#define GEN11_GT_SLICE_ENABLE _MMIO(0x9138)
2959#define GEN11_GT_S_ENA_MASK 0xFF
2960
2961#define GEN11_GT_SUBSLICE_DISABLE _MMIO(0x913C)
2962
f0f59a00 2963#define GEN6_BSD_SLEEP_PSMI_CONTROL _MMIO(0x12050)
12f55818
CW
2964#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
2965#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
2966#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
2967#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 2968
cc609d5d
BW
2969/* On modern GEN architectures interrupt control consists of two sets
2970 * of registers. The first set pertains to the ring generating the
2971 * interrupt. The second control is for the functional block generating the
2972 * interrupt. These are PM, GT, DE, etc.
2973 *
2974 * Luckily *knocks on wood* all the ring interrupt bits match up with the
2975 * GT interrupt bits, so we don't need to duplicate the defines.
2976 *
2977 * These defines should cover us well from SNB->HSW with minor exceptions
2978 * it can also work on ILK.
2979 */
2980#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
2981#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
2982#define GT_BLT_USER_INTERRUPT (1 << 22)
2983#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
2984#define GT_BSD_USER_INTERRUPT (1 << 12)
35a85ac6 2985#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 (1 << 11) /* hsw+; rsvd on snb, ivb, vlv */
73d477f6 2986#define GT_CONTEXT_SWITCH_INTERRUPT (1 << 8)
cc609d5d
BW
2987#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
2988#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
2989#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
2990#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
2991#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
2992#define GT_RENDER_USER_INTERRUPT (1 << 0)
2993
12638c57
BW
2994#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
2995#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
2996
772c2a51 2997#define GT_PARITY_ERROR(dev_priv) \
35a85ac6 2998 (GT_RENDER_L3_PARITY_ERROR_INTERRUPT | \
772c2a51 2999 (IS_HASWELL(dev_priv) ? GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 : 0))
35a85ac6 3000
cc609d5d 3001/* These are all the "old" interrupts */
5ee8ee86
PZ
3002#define ILK_BSD_USER_INTERRUPT (1 << 5)
3003
3004#define I915_PM_INTERRUPT (1 << 31)
3005#define I915_ISP_INTERRUPT (1 << 22)
3006#define I915_LPE_PIPE_B_INTERRUPT (1 << 21)
3007#define I915_LPE_PIPE_A_INTERRUPT (1 << 20)
3008#define I915_MIPIC_INTERRUPT (1 << 19)
3009#define I915_MIPIA_INTERRUPT (1 << 18)
3010#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1 << 18)
3011#define I915_DISPLAY_PORT_INTERRUPT (1 << 17)
3012#define I915_DISPLAY_PIPE_C_HBLANK_INTERRUPT (1 << 16)
3013#define I915_MASTER_ERROR_INTERRUPT (1 << 15)
5ee8ee86
PZ
3014#define I915_DISPLAY_PIPE_B_HBLANK_INTERRUPT (1 << 14)
3015#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1 << 14) /* p-state */
3016#define I915_DISPLAY_PIPE_A_HBLANK_INTERRUPT (1 << 13)
3017#define I915_HWB_OOM_INTERRUPT (1 << 13)
3018#define I915_LPE_PIPE_C_INTERRUPT (1 << 12)
3019#define I915_SYNC_STATUS_INTERRUPT (1 << 12)
3020#define I915_MISC_INTERRUPT (1 << 11)
3021#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1 << 11)
3022#define I915_DISPLAY_PIPE_C_VBLANK_INTERRUPT (1 << 10)
3023#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1 << 10)
3024#define I915_DISPLAY_PIPE_C_EVENT_INTERRUPT (1 << 9)
3025#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1 << 9)
3026#define I915_DISPLAY_PIPE_C_DPBM_INTERRUPT (1 << 8)
3027#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1 << 8)
3028#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1 << 7)
3029#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1 << 6)
3030#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1 << 5)
3031#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1 << 4)
3032#define I915_DISPLAY_PIPE_A_DPBM_INTERRUPT (1 << 3)
3033#define I915_DISPLAY_PIPE_B_DPBM_INTERRUPT (1 << 2)
3034#define I915_DEBUG_INTERRUPT (1 << 2)
3035#define I915_WINVALID_INTERRUPT (1 << 1)
3036#define I915_USER_INTERRUPT (1 << 1)
3037#define I915_ASLE_INTERRUPT (1 << 0)
3038#define I915_BSD_USER_INTERRUPT (1 << 25)
881f47b6 3039
eef57324
JA
3040#define I915_HDMI_LPE_AUDIO_BASE (VLV_DISPLAY_BASE + 0x65000)
3041#define I915_HDMI_LPE_AUDIO_SIZE 0x1000
3042
d5d8c3a1 3043/* DisplayPort Audio w/ LPE */
9db13e5f
TI
3044#define VLV_AUD_CHICKEN_BIT_REG _MMIO(VLV_DISPLAY_BASE + 0x62F38)
3045#define VLV_CHICKEN_BIT_DBG_ENABLE (1 << 0)
3046
d5d8c3a1
PLB
3047#define _VLV_AUD_PORT_EN_B_DBG (VLV_DISPLAY_BASE + 0x62F20)
3048#define _VLV_AUD_PORT_EN_C_DBG (VLV_DISPLAY_BASE + 0x62F30)
3049#define _VLV_AUD_PORT_EN_D_DBG (VLV_DISPLAY_BASE + 0x62F34)
3050#define VLV_AUD_PORT_EN_DBG(port) _MMIO_PORT3((port) - PORT_B, \
3051 _VLV_AUD_PORT_EN_B_DBG, \
3052 _VLV_AUD_PORT_EN_C_DBG, \
3053 _VLV_AUD_PORT_EN_D_DBG)
3054#define VLV_AMP_MUTE (1 << 1)
3055
f0f59a00 3056#define GEN6_BSD_RNCID _MMIO(0x12198)
881f47b6 3057
f0f59a00 3058#define GEN7_FF_THREAD_MODE _MMIO(0x20a0)
a1e969e0 3059#define GEN7_FF_SCHED_MASK 0x0077070
ab57fff1 3060#define GEN8_FF_DS_REF_CNT_FFME (1 << 19)
5ee8ee86
PZ
3061#define GEN7_FF_TS_SCHED_HS1 (0x5 << 16)
3062#define GEN7_FF_TS_SCHED_HS0 (0x3 << 16)
3063#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1 << 16)
3064#define GEN7_FF_TS_SCHED_HW (0x0 << 16) /* Default */
41c0b3a8 3065#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
5ee8ee86
PZ
3066#define GEN7_FF_VS_SCHED_HS1 (0x5 << 12)
3067#define GEN7_FF_VS_SCHED_HS0 (0x3 << 12)
3068#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1 << 12) /* Default */
3069#define GEN7_FF_VS_SCHED_HW (0x0 << 12)
3070#define GEN7_FF_DS_SCHED_HS1 (0x5 << 4)
3071#define GEN7_FF_DS_SCHED_HS0 (0x3 << 4)
3072#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1 << 4) /* Default */
3073#define GEN7_FF_DS_SCHED_HW (0x0 << 4)
a1e969e0 3074
585fb111
JB
3075/*
3076 * Framebuffer compression (915+ only)
3077 */
3078
f0f59a00
VS
3079#define FBC_CFB_BASE _MMIO(0x3200) /* 4k page aligned */
3080#define FBC_LL_BASE _MMIO(0x3204) /* 4k page aligned */
3081#define FBC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3082#define FBC_CTL_EN (1 << 31)
3083#define FBC_CTL_PERIODIC (1 << 30)
585fb111 3084#define FBC_CTL_INTERVAL_SHIFT (16)
5ee8ee86
PZ
3085#define FBC_CTL_UNCOMPRESSIBLE (1 << 14)
3086#define FBC_CTL_C3_IDLE (1 << 13)
585fb111 3087#define FBC_CTL_STRIDE_SHIFT (5)
82f34496 3088#define FBC_CTL_FENCENO_SHIFT (0)
f0f59a00 3089#define FBC_COMMAND _MMIO(0x320c)
5ee8ee86 3090#define FBC_CMD_COMPRESS (1 << 0)
f0f59a00 3091#define FBC_STATUS _MMIO(0x3210)
5ee8ee86
PZ
3092#define FBC_STAT_COMPRESSING (1 << 31)
3093#define FBC_STAT_COMPRESSED (1 << 30)
3094#define FBC_STAT_MODIFIED (1 << 29)
82f34496 3095#define FBC_STAT_CURRENT_LINE_SHIFT (0)
f0f59a00 3096#define FBC_CONTROL2 _MMIO(0x3214)
5ee8ee86
PZ
3097#define FBC_CTL_FENCE_DBL (0 << 4)
3098#define FBC_CTL_IDLE_IMM (0 << 2)
3099#define FBC_CTL_IDLE_FULL (1 << 2)
3100#define FBC_CTL_IDLE_LINE (2 << 2)
3101#define FBC_CTL_IDLE_DEBUG (3 << 2)
3102#define FBC_CTL_CPU_FENCE (1 << 1)
3103#define FBC_CTL_PLANE(plane) ((plane) << 0)
f0f59a00
VS
3104#define FBC_FENCE_OFF _MMIO(0x3218) /* BSpec typo has 321Bh */
3105#define FBC_TAG(i) _MMIO(0x3300 + (i) * 4)
585fb111
JB
3106
3107#define FBC_LL_SIZE (1536)
3108
44fff99f 3109#define FBC_LLC_READ_CTRL _MMIO(0x9044)
5ee8ee86 3110#define FBC_LLC_FULLY_OPEN (1 << 30)
44fff99f 3111
74dff282 3112/* Framebuffer compression for GM45+ */
f0f59a00
VS
3113#define DPFC_CB_BASE _MMIO(0x3200)
3114#define DPFC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3115#define DPFC_CTL_EN (1 << 31)
3116#define DPFC_CTL_PLANE(plane) ((plane) << 30)
3117#define IVB_DPFC_CTL_PLANE(plane) ((plane) << 29)
3118#define DPFC_CTL_FENCE_EN (1 << 29)
3119#define IVB_DPFC_CTL_FENCE_EN (1 << 28)
3120#define DPFC_CTL_PERSISTENT_MODE (1 << 25)
3121#define DPFC_SR_EN (1 << 10)
3122#define DPFC_CTL_LIMIT_1X (0 << 6)
3123#define DPFC_CTL_LIMIT_2X (1 << 6)
3124#define DPFC_CTL_LIMIT_4X (2 << 6)
f0f59a00 3125#define DPFC_RECOMP_CTL _MMIO(0x320c)
5ee8ee86 3126#define DPFC_RECOMP_STALL_EN (1 << 27)
74dff282
JB
3127#define DPFC_RECOMP_STALL_WM_SHIFT (16)
3128#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
3129#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
3130#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
f0f59a00 3131#define DPFC_STATUS _MMIO(0x3210)
74dff282
JB
3132#define DPFC_INVAL_SEG_SHIFT (16)
3133#define DPFC_INVAL_SEG_MASK (0x07ff0000)
3134#define DPFC_COMP_SEG_SHIFT (0)
3fd5d1ec 3135#define DPFC_COMP_SEG_MASK (0x000007ff)
f0f59a00
VS
3136#define DPFC_STATUS2 _MMIO(0x3214)
3137#define DPFC_FENCE_YOFF _MMIO(0x3218)
3138#define DPFC_CHICKEN _MMIO(0x3224)
5ee8ee86 3139#define DPFC_HT_MODIFY (1 << 31)
74dff282 3140
b52eb4dc 3141/* Framebuffer compression for Ironlake */
f0f59a00
VS
3142#define ILK_DPFC_CB_BASE _MMIO(0x43200)
3143#define ILK_DPFC_CONTROL _MMIO(0x43208)
5ee8ee86 3144#define FBC_CTL_FALSE_COLOR (1 << 10)
b52eb4dc
ZY
3145/* The bit 28-8 is reserved */
3146#define DPFC_RESERVED (0x1FFFFF00)
f0f59a00
VS
3147#define ILK_DPFC_RECOMP_CTL _MMIO(0x4320c)
3148#define ILK_DPFC_STATUS _MMIO(0x43210)
3fd5d1ec
VS
3149#define ILK_DPFC_COMP_SEG_MASK 0x7ff
3150#define IVB_FBC_STATUS2 _MMIO(0x43214)
3151#define IVB_FBC_COMP_SEG_MASK 0x7ff
3152#define BDW_FBC_COMP_SEG_MASK 0xfff
f0f59a00
VS
3153#define ILK_DPFC_FENCE_YOFF _MMIO(0x43218)
3154#define ILK_DPFC_CHICKEN _MMIO(0x43224)
5ee8ee86 3155#define ILK_DPFC_DISABLE_DUMMY0 (1 << 8)
cc49abc2 3156#define ILK_DPFC_CHICKEN_COMP_DUMMY_PIXEL (1 << 14)
5ee8ee86 3157#define ILK_DPFC_NUKE_ON_ANY_MODIFICATION (1 << 23)
f0f59a00 3158#define ILK_FBC_RT_BASE _MMIO(0x2128)
5ee8ee86
PZ
3159#define ILK_FBC_RT_VALID (1 << 0)
3160#define SNB_FBC_FRONT_BUFFER (1 << 1)
b52eb4dc 3161
f0f59a00 3162#define ILK_DISPLAY_CHICKEN1 _MMIO(0x42000)
5ee8ee86
PZ
3163#define ILK_FBCQ_DIS (1 << 22)
3164#define ILK_PABSTRETCH_DIS (1 << 21)
1398261a 3165
b52eb4dc 3166
9c04f015
YL
3167/*
3168 * Framebuffer compression for Sandybridge
3169 *
3170 * The following two registers are of type GTTMMADR
3171 */
f0f59a00 3172#define SNB_DPFC_CTL_SA _MMIO(0x100100)
5ee8ee86 3173#define SNB_CPU_FENCE_ENABLE (1 << 29)
f0f59a00 3174#define DPFC_CPU_FENCE_OFFSET _MMIO(0x100104)
9c04f015 3175
abe959c7 3176/* Framebuffer compression for Ivybridge */
f0f59a00 3177#define IVB_FBC_RT_BASE _MMIO(0x7020)
abe959c7 3178
f0f59a00 3179#define IPS_CTL _MMIO(0x43408)
42db64ef 3180#define IPS_ENABLE (1 << 31)
9c04f015 3181
f0f59a00 3182#define MSG_FBC_REND_STATE _MMIO(0x50380)
5ee8ee86
PZ
3183#define FBC_REND_NUKE (1 << 2)
3184#define FBC_REND_CACHE_CLEAN (1 << 1)
fd3da6c9 3185
585fb111
JB
3186/*
3187 * GPIO regs
3188 */
dce88879
LDM
3189#define GPIO(gpio) _MMIO(dev_priv->gpio_mmio_base + 0x5010 + \
3190 4 * (gpio))
3191
585fb111
JB
3192# define GPIO_CLOCK_DIR_MASK (1 << 0)
3193# define GPIO_CLOCK_DIR_IN (0 << 1)
3194# define GPIO_CLOCK_DIR_OUT (1 << 1)
3195# define GPIO_CLOCK_VAL_MASK (1 << 2)
3196# define GPIO_CLOCK_VAL_OUT (1 << 3)
3197# define GPIO_CLOCK_VAL_IN (1 << 4)
3198# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
3199# define GPIO_DATA_DIR_MASK (1 << 8)
3200# define GPIO_DATA_DIR_IN (0 << 9)
3201# define GPIO_DATA_DIR_OUT (1 << 9)
3202# define GPIO_DATA_VAL_MASK (1 << 10)
3203# define GPIO_DATA_VAL_OUT (1 << 11)
3204# define GPIO_DATA_VAL_IN (1 << 12)
3205# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
3206
f0f59a00 3207#define GMBUS0 _MMIO(dev_priv->gpio_mmio_base + 0x5100) /* clock/port select */
5ee8ee86
PZ
3208#define GMBUS_AKSV_SELECT (1 << 11)
3209#define GMBUS_RATE_100KHZ (0 << 8)
3210#define GMBUS_RATE_50KHZ (1 << 8)
3211#define GMBUS_RATE_400KHZ (2 << 8) /* reserved on Pineview */
3212#define GMBUS_RATE_1MHZ (3 << 8) /* reserved on Pineview */
3213#define GMBUS_HOLD_EXT (1 << 7) /* 300ns hold time, rsvd on Pineview */
d5dc0f43 3214#define GMBUS_BYTE_CNT_OVERRIDE (1 << 6)
4e3f12d8 3215
f0f59a00 3216#define GMBUS1 _MMIO(dev_priv->gpio_mmio_base + 0x5104) /* command/status */
5ee8ee86
PZ
3217#define GMBUS_SW_CLR_INT (1 << 31)
3218#define GMBUS_SW_RDY (1 << 30)
3219#define GMBUS_ENT (1 << 29) /* enable timeout */
3220#define GMBUS_CYCLE_NONE (0 << 25)
3221#define GMBUS_CYCLE_WAIT (1 << 25)
3222#define GMBUS_CYCLE_INDEX (2 << 25)
3223#define GMBUS_CYCLE_STOP (4 << 25)
f899fc64 3224#define GMBUS_BYTE_COUNT_SHIFT 16
9535c475 3225#define GMBUS_BYTE_COUNT_MAX 256U
73675cf6 3226#define GEN9_GMBUS_BYTE_COUNT_MAX 511U
f899fc64
CW
3227#define GMBUS_SLAVE_INDEX_SHIFT 8
3228#define GMBUS_SLAVE_ADDR_SHIFT 1
5ee8ee86
PZ
3229#define GMBUS_SLAVE_READ (1 << 0)
3230#define GMBUS_SLAVE_WRITE (0 << 0)
f0f59a00 3231#define GMBUS2 _MMIO(dev_priv->gpio_mmio_base + 0x5108) /* status */
5ee8ee86
PZ
3232#define GMBUS_INUSE (1 << 15)
3233#define GMBUS_HW_WAIT_PHASE (1 << 14)
3234#define GMBUS_STALL_TIMEOUT (1 << 13)
3235#define GMBUS_INT (1 << 12)
3236#define GMBUS_HW_RDY (1 << 11)
3237#define GMBUS_SATOER (1 << 10)
3238#define GMBUS_ACTIVE (1 << 9)
f0f59a00
VS
3239#define GMBUS3 _MMIO(dev_priv->gpio_mmio_base + 0x510c) /* data buffer bytes 3-0 */
3240#define GMBUS4 _MMIO(dev_priv->gpio_mmio_base + 0x5110) /* interrupt mask (Pineview+) */
5ee8ee86
PZ
3241#define GMBUS_SLAVE_TIMEOUT_EN (1 << 4)
3242#define GMBUS_NAK_EN (1 << 3)
3243#define GMBUS_IDLE_EN (1 << 2)
3244#define GMBUS_HW_WAIT_EN (1 << 1)
3245#define GMBUS_HW_RDY_EN (1 << 0)
f0f59a00 3246#define GMBUS5 _MMIO(dev_priv->gpio_mmio_base + 0x5120) /* byte index */
5ee8ee86 3247#define GMBUS_2BYTE_INDEX_EN (1 << 31)
f0217c42 3248
585fb111
JB
3249/*
3250 * Clock control & power management
3251 */
ed5eb1b7
JN
3252#define _DPLL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x6014)
3253#define _DPLL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x6018)
3254#define _CHV_DPLL_C (DISPLAY_MMIO_BASE(dev_priv) + 0x6030)
f0f59a00 3255#define DPLL(pipe) _MMIO_PIPE3((pipe), _DPLL_A, _DPLL_B, _CHV_DPLL_C)
585fb111 3256
f0f59a00
VS
3257#define VGA0 _MMIO(0x6000)
3258#define VGA1 _MMIO(0x6004)
3259#define VGA_PD _MMIO(0x6010)
585fb111
JB
3260#define VGA0_PD_P2_DIV_4 (1 << 7)
3261#define VGA0_PD_P1_DIV_2 (1 << 5)
3262#define VGA0_PD_P1_SHIFT 0
3263#define VGA0_PD_P1_MASK (0x1f << 0)
3264#define VGA1_PD_P2_DIV_4 (1 << 15)
3265#define VGA1_PD_P1_DIV_2 (1 << 13)
3266#define VGA1_PD_P1_SHIFT 8
3267#define VGA1_PD_P1_MASK (0x1f << 8)
585fb111 3268#define DPLL_VCO_ENABLE (1 << 31)
4a33e48d
DV
3269#define DPLL_SDVO_HIGH_SPEED (1 << 30)
3270#define DPLL_DVO_2X_MODE (1 << 30)
25eb05fc 3271#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 3272#define DPLL_SYNCLOCK_ENABLE (1 << 29)
60bfe44f 3273#define DPLL_REF_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
3274#define DPLL_VGA_MODE_DIS (1 << 28)
3275#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
3276#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
3277#define DPLL_MODE_MASK (3 << 26)
3278#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
3279#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
3280#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
3281#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
3282#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
3283#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 3284#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
5ee8ee86
PZ
3285#define DPLL_LOCK_VLV (1 << 15)
3286#define DPLL_INTEGRATED_CRI_CLK_VLV (1 << 14)
3287#define DPLL_INTEGRATED_REF_CLK_VLV (1 << 13)
3288#define DPLL_SSC_REF_CLK_CHV (1 << 13)
598fac6b
DV
3289#define DPLL_PORTC_READY_MASK (0xf << 4)
3290#define DPLL_PORTB_READY_MASK (0xf)
585fb111 3291
585fb111 3292#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
00fc31b7
CML
3293
3294/* Additional CHV pll/phy registers */
f0f59a00 3295#define DPIO_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x6240)
00fc31b7 3296#define DPLL_PORTD_READY_MASK (0xf)
f0f59a00 3297#define DISPLAY_PHY_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x60100)
5ee8ee86 3298#define PHY_CH_POWER_DOWN_OVRD_EN(phy, ch) (1 << (2 * (phy) + (ch) + 27))
bc284542
VS
3299#define PHY_LDO_DELAY_0NS 0x0
3300#define PHY_LDO_DELAY_200NS 0x1
3301#define PHY_LDO_DELAY_600NS 0x2
5ee8ee86
PZ
3302#define PHY_LDO_SEQ_DELAY(delay, phy) ((delay) << (2 * (phy) + 23))
3303#define PHY_CH_POWER_DOWN_OVRD(mask, phy, ch) ((mask) << (8 * (phy) + 4 * (ch) + 11))
70722468
VS
3304#define PHY_CH_SU_PSR 0x1
3305#define PHY_CH_DEEP_PSR 0x7
5ee8ee86 3306#define PHY_CH_POWER_MODE(mode, phy, ch) ((mode) << (6 * (phy) + 3 * (ch) + 2))
70722468 3307#define PHY_COM_LANE_RESET_DEASSERT(phy) (1 << (phy))
f0f59a00 3308#define DISPLAY_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x60104)
5ee8ee86
PZ
3309#define PHY_POWERGOOD(phy) (((phy) == DPIO_PHY0) ? (1 << 31) : (1 << 30))
3310#define PHY_STATUS_CMN_LDO(phy, ch) (1 << (6 - (6 * (phy) + 3 * (ch))))
3311#define PHY_STATUS_SPLINE_LDO(phy, ch, spline) (1 << (8 - (6 * (phy) + 3 * (ch) + (spline))))
076ed3b2 3312
585fb111
JB
3313/*
3314 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
3315 * this field (only one bit may be set).
3316 */
3317#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
3318#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 3319#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
3320/* i830, required in DVO non-gang */
3321#define PLL_P2_DIVIDE_BY_4 (1 << 23)
3322#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
3323#define PLL_REF_INPUT_DREFCLK (0 << 13)
3324#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
3325#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
3326#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
3327#define PLL_REF_INPUT_MASK (3 << 13)
3328#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 3329/* Ironlake */
b9055052
ZW
3330# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
3331# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
5ee8ee86 3332# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x) - 1) << 9)
b9055052
ZW
3333# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
3334# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
3335
585fb111
JB
3336/*
3337 * Parallel to Serial Load Pulse phase selection.
3338 * Selects the phase for the 10X DPLL clock for the PCIe
3339 * digital display port. The range is 4 to 13; 10 or more
3340 * is just a flip delay. The default is 6
3341 */
3342#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
3343#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
3344/*
3345 * SDVO multiplier for 945G/GM. Not used on 965.
3346 */
3347#define SDVO_MULTIPLIER_MASK 0x000000ff
3348#define SDVO_MULTIPLIER_SHIFT_HIRES 4
3349#define SDVO_MULTIPLIER_SHIFT_VGA 0
a57c774a 3350
ed5eb1b7
JN
3351#define _DPLL_A_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x601c)
3352#define _DPLL_B_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x6020)
3353#define _CHV_DPLL_C_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x603c)
f0f59a00 3354#define DPLL_MD(pipe) _MMIO_PIPE3((pipe), _DPLL_A_MD, _DPLL_B_MD, _CHV_DPLL_C_MD)
a57c774a 3355
585fb111
JB
3356/*
3357 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
3358 *
3359 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
3360 */
3361#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
3362#define DPLL_MD_UDI_DIVIDER_SHIFT 24
3363/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
3364#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
3365#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
3366/*
3367 * SDVO/UDI pixel multiplier.
3368 *
3369 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
3370 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
3371 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
3372 * dummy bytes in the datastream at an increased clock rate, with both sides of
3373 * the link knowing how many bytes are fill.
3374 *
3375 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
3376 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
3377 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
3378 * through an SDVO command.
3379 *
3380 * This register field has values of multiplication factor minus 1, with
3381 * a maximum multiplier of 5 for SDVO.
3382 */
3383#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
3384#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
3385/*
3386 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
3387 * This best be set to the default value (3) or the CRT won't work. No,
3388 * I don't entirely understand what this does...
3389 */
3390#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
3391#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
25eb05fc 3392
19ab4ed3
VS
3393#define RAWCLK_FREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6024)
3394
f0f59a00
VS
3395#define _FPA0 0x6040
3396#define _FPA1 0x6044
3397#define _FPB0 0x6048
3398#define _FPB1 0x604c
3399#define FP0(pipe) _MMIO_PIPE(pipe, _FPA0, _FPB0)
3400#define FP1(pipe) _MMIO_PIPE(pipe, _FPA1, _FPB1)
585fb111 3401#define FP_N_DIV_MASK 0x003f0000
f2b115e6 3402#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
3403#define FP_N_DIV_SHIFT 16
3404#define FP_M1_DIV_MASK 0x00003f00
3405#define FP_M1_DIV_SHIFT 8
3406#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 3407#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111 3408#define FP_M2_DIV_SHIFT 0
f0f59a00 3409#define DPLL_TEST _MMIO(0x606c)
585fb111
JB
3410#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
3411#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
3412#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
3413#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
3414#define DPLLB_TEST_N_BYPASS (1 << 19)
3415#define DPLLB_TEST_M_BYPASS (1 << 18)
3416#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
3417#define DPLLA_TEST_N_BYPASS (1 << 3)
3418#define DPLLA_TEST_M_BYPASS (1 << 2)
3419#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
f0f59a00 3420#define D_STATE _MMIO(0x6104)
5ee8ee86
PZ
3421#define DSTATE_GFX_RESET_I830 (1 << 6)
3422#define DSTATE_PLL_D3_OFF (1 << 3)
3423#define DSTATE_GFX_CLOCK_GATING (1 << 1)
3424#define DSTATE_DOT_CLOCK_GATING (1 << 0)
ed5eb1b7 3425#define DSPCLK_GATE_D _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x6200)
652c393a
JB
3426# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
3427# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
3428# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
3429# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
3430# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
3431# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
3432# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
ad8059cf 3433# define PNV_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 24) /* pnv */
652c393a
JB
3434# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
3435# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
3436# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
3437# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
3438# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
3439# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
3440# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
3441# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
3442# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
3443# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
3444# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
3445# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
3446# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
3447# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
3448# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3449# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
3450# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
3451# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
3452# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
3453# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
3454# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
646b4269 3455/*
652c393a
JB
3456 * This bit must be set on the 830 to prevent hangs when turning off the
3457 * overlay scaler.
3458 */
3459# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
3460# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
3461# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
3462# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
3463# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
3464
f0f59a00 3465#define RENCLK_GATE_D1 _MMIO(0x6204)
652c393a
JB
3466# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
3467# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
3468# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
3469# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
3470# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
3471# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
3472# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
3473# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
3474# define MAG_CLOCK_GATE_DISABLE (1 << 5)
646b4269 3475/* This bit must be unset on 855,865 */
652c393a
JB
3476# define MECI_CLOCK_GATE_DISABLE (1 << 4)
3477# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
3478# define MEC_CLOCK_GATE_DISABLE (1 << 2)
3479# define MECO_CLOCK_GATE_DISABLE (1 << 1)
646b4269 3480/* This bit must be set on 855,865. */
652c393a
JB
3481# define SV_CLOCK_GATE_DISABLE (1 << 0)
3482# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
3483# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
3484# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
3485# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
3486# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
3487# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
3488# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
3489# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
3490# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
3491# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
3492# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
3493# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
3494# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
3495# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
3496# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
3497# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
3498# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
3499
3500# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
646b4269 3501/* This bit must always be set on 965G/965GM */
652c393a
JB
3502# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
3503# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
3504# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
3505# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
3506# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
3507# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
646b4269 3508/* This bit must always be set on 965G */
652c393a
JB
3509# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
3510# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
3511# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
3512# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
3513# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
3514# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
3515# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
3516# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
3517# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
3518# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
3519# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
3520# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
3521# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
3522# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
3523# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
3524# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
3525# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
3526# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
3527# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
3528
f0f59a00 3529#define RENCLK_GATE_D2 _MMIO(0x6208)
652c393a
JB
3530#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
3531#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
3532#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
fa4f53c4 3533
f0f59a00 3534#define VDECCLK_GATE_D _MMIO(0x620C) /* g4x only */
fa4f53c4
VS
3535#define VCP_UNIT_CLOCK_GATE_DISABLE (1 << 4)
3536
f0f59a00
VS
3537#define RAMCLK_GATE_D _MMIO(0x6210) /* CRL only */
3538#define DEUC _MMIO(0x6214) /* CRL only */
585fb111 3539
f0f59a00 3540#define FW_BLC_SELF_VLV _MMIO(VLV_DISPLAY_BASE + 0x6500)
5ee8ee86 3541#define FW_CSPWRDWNEN (1 << 15)
ceb04246 3542
f0f59a00 3543#define MI_ARB_VLV _MMIO(VLV_DISPLAY_BASE + 0x6504)
e0d8d59b 3544
f0f59a00 3545#define CZCLK_CDCLK_FREQ_RATIO _MMIO(VLV_DISPLAY_BASE + 0x6508)
24eb2d59
CML
3546#define CDCLK_FREQ_SHIFT 4
3547#define CDCLK_FREQ_MASK (0x1f << CDCLK_FREQ_SHIFT)
3548#define CZCLK_FREQ_MASK 0xf
1e69cd74 3549
f0f59a00 3550#define GCI_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x650C)
1e69cd74
VS
3551#define PFI_CREDIT_63 (9 << 28) /* chv only */
3552#define PFI_CREDIT_31 (8 << 28) /* chv only */
3553#define PFI_CREDIT(x) (((x) - 8) << 28) /* 8-15 */
3554#define PFI_CREDIT_RESEND (1 << 27)
3555#define VGA_FAST_MODE_DISABLE (1 << 14)
3556
f0f59a00 3557#define GMBUSFREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6510)
24eb2d59 3558
585fb111
JB
3559/*
3560 * Palette regs
3561 */
74c1e826
JN
3562#define _PALETTE_A 0xa000
3563#define _PALETTE_B 0xa800
3564#define _CHV_PALETTE_C 0xc000
ed5eb1b7 3565#define PALETTE(pipe, i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + \
74c1e826
JN
3566 _PICK((pipe), _PALETTE_A, \
3567 _PALETTE_B, _CHV_PALETTE_C) + \
3568 (i) * 4)
585fb111 3569
673a394b
EA
3570/* MCH MMIO space */
3571
3572/*
3573 * MCHBAR mirror.
3574 *
3575 * This mirrors the MCHBAR MMIO space whose location is determined by
3576 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
3577 * every way. It is not accessible from the CP register read instructions.
3578 *
515b2392
PZ
3579 * Starting from Haswell, you can't write registers using the MCHBAR mirror,
3580 * just read.
673a394b
EA
3581 */
3582#define MCHBAR_MIRROR_BASE 0x10000
3583
1398261a
YL
3584#define MCHBAR_MIRROR_BASE_SNB 0x140000
3585
f0f59a00
VS
3586#define CTG_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x34)
3587#define ELK_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x48)
7d316aec
VS
3588#define G4X_STOLEN_RESERVED_ADDR1_MASK (0xFFFF << 16)
3589#define G4X_STOLEN_RESERVED_ADDR2_MASK (0xFFF << 4)
db7fb605 3590#define G4X_STOLEN_RESERVED_ENABLE (1 << 0)
7d316aec 3591
3ebecd07 3592/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
f0f59a00 3593#define DCLK _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5e04)
3ebecd07 3594
646b4269 3595/* 915-945 and GM965 MCH register controlling DRAM channel access */
f0f59a00 3596#define DCC _MMIO(MCHBAR_MIRROR_BASE + 0x200)
673a394b
EA
3597#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
3598#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
3599#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
3600#define DCC_ADDRESSING_MODE_MASK (3 << 0)
3601#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 3602#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
f0f59a00 3603#define DCC2 _MMIO(MCHBAR_MIRROR_BASE + 0x204)
656bfa3a 3604#define DCC2_MODIFIED_ENHANCED_DISABLE (1 << 20)
673a394b 3605
646b4269 3606/* Pineview MCH register contains DDR3 setting */
f0f59a00 3607#define CSHRDDR3CTL _MMIO(MCHBAR_MIRROR_BASE + 0x1a8)
95534263
LP
3608#define CSHRDDR3CTL_DDR3 (1 << 2)
3609
646b4269 3610/* 965 MCH register controlling DRAM channel configuration */
f0f59a00
VS
3611#define C0DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x206)
3612#define C1DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x606)
673a394b 3613
646b4269 3614/* snb MCH registers for reading the DRAM channel configuration */
f0f59a00
VS
3615#define MAD_DIMM_C0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5004)
3616#define MAD_DIMM_C1 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5008)
3617#define MAD_DIMM_C2 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
f691e2f4
DV
3618#define MAD_DIMM_ECC_MASK (0x3 << 24)
3619#define MAD_DIMM_ECC_OFF (0x0 << 24)
3620#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
3621#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
3622#define MAD_DIMM_ECC_ON (0x3 << 24)
3623#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
3624#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
3625#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
3626#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
3627#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
3628#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
3629#define MAD_DIMM_A_SELECT (0x1 << 16)
3630/* DIMM sizes are in multiples of 256mb. */
3631#define MAD_DIMM_B_SIZE_SHIFT 8
3632#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
3633#define MAD_DIMM_A_SIZE_SHIFT 0
3634#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
3635
646b4269 3636/* snb MCH registers for priority tuning */
f0f59a00 3637#define MCH_SSKPD _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1d7aaa0c
DV
3638#define MCH_SSKPD_WM0_MASK 0x3f
3639#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 3640
f0f59a00 3641#define MCH_SECP_NRG_STTS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x592c)
ec013e7f 3642
b11248df 3643/* Clocking configuration register */
f0f59a00 3644#define CLKCFG _MMIO(MCHBAR_MIRROR_BASE + 0xc00)
7662c8bd 3645#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
3646#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
3647#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
3648#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
3649#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
6f38123e 3650#define CLKCFG_FSB_1067_ALT (0 << 0) /* hrawclk 266 */
b11248df 3651#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
6f38123e
VS
3652/*
3653 * Note that on at least on ELK the below value is reported for both
3654 * 333 and 400 MHz BIOS FSB setting, but given that the gmch datasheet
3655 * lists only 200/266/333 MHz FSB as supported let's decode it as 333 MHz.
3656 */
3657#define CLKCFG_FSB_1333_ALT (4 << 0) /* hrawclk 333 */
b11248df 3658#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
3659#define CLKCFG_MEM_533 (1 << 4)
3660#define CLKCFG_MEM_667 (2 << 4)
3661#define CLKCFG_MEM_800 (3 << 4)
3662#define CLKCFG_MEM_MASK (7 << 4)
3663
f0f59a00
VS
3664#define HPLLVCO _MMIO(MCHBAR_MIRROR_BASE + 0xc38)
3665#define HPLLVCO_MOBILE _MMIO(MCHBAR_MIRROR_BASE + 0xc0f)
34edce2f 3666
f0f59a00 3667#define TSC1 _MMIO(0x11001)
5ee8ee86 3668#define TSE (1 << 0)
f0f59a00
VS
3669#define TR1 _MMIO(0x11006)
3670#define TSFS _MMIO(0x11020)
7648fa99
JB
3671#define TSFS_SLOPE_MASK 0x0000ff00
3672#define TSFS_SLOPE_SHIFT 8
3673#define TSFS_INTR_MASK 0x000000ff
3674
f0f59a00
VS
3675#define CRSTANDVID _MMIO(0x11100)
3676#define PXVFREQ(fstart) _MMIO(0x11110 + (fstart) * 4) /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
f97108d1
JB
3677#define PXVFREQ_PX_MASK 0x7f000000
3678#define PXVFREQ_PX_SHIFT 24
f0f59a00
VS
3679#define VIDFREQ_BASE _MMIO(0x11110)
3680#define VIDFREQ1 _MMIO(0x11110) /* VIDFREQ1-4 (0x1111c) (Cantiga) */
3681#define VIDFREQ2 _MMIO(0x11114)
3682#define VIDFREQ3 _MMIO(0x11118)
3683#define VIDFREQ4 _MMIO(0x1111c)
f97108d1
JB
3684#define VIDFREQ_P0_MASK 0x1f000000
3685#define VIDFREQ_P0_SHIFT 24
3686#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
3687#define VIDFREQ_P0_CSCLK_SHIFT 20
3688#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
3689#define VIDFREQ_P0_CRCLK_SHIFT 16
3690#define VIDFREQ_P1_MASK 0x00001f00
3691#define VIDFREQ_P1_SHIFT 8
3692#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
3693#define VIDFREQ_P1_CSCLK_SHIFT 4
3694#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
f0f59a00
VS
3695#define INTTOEXT_BASE_ILK _MMIO(0x11300)
3696#define INTTOEXT_BASE _MMIO(0x11120) /* INTTOEXT1-8 (0x1113c) */
f97108d1
JB
3697#define INTTOEXT_MAP3_SHIFT 24
3698#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
3699#define INTTOEXT_MAP2_SHIFT 16
3700#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
3701#define INTTOEXT_MAP1_SHIFT 8
3702#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
3703#define INTTOEXT_MAP0_SHIFT 0
3704#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
f0f59a00 3705#define MEMSWCTL _MMIO(0x11170) /* Ironlake only */
f97108d1
JB
3706#define MEMCTL_CMD_MASK 0xe000
3707#define MEMCTL_CMD_SHIFT 13
3708#define MEMCTL_CMD_RCLK_OFF 0
3709#define MEMCTL_CMD_RCLK_ON 1
3710#define MEMCTL_CMD_CHFREQ 2
3711#define MEMCTL_CMD_CHVID 3
3712#define MEMCTL_CMD_VMMOFF 4
3713#define MEMCTL_CMD_VMMON 5
5ee8ee86 3714#define MEMCTL_CMD_STS (1 << 12) /* write 1 triggers command, clears
f97108d1
JB
3715 when command complete */
3716#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
3717#define MEMCTL_FREQ_SHIFT 8
5ee8ee86 3718#define MEMCTL_SFCAVM (1 << 7)
f97108d1 3719#define MEMCTL_TGT_VID_MASK 0x007f
f0f59a00
VS
3720#define MEMIHYST _MMIO(0x1117c)
3721#define MEMINTREN _MMIO(0x11180) /* 16 bits */
5ee8ee86
PZ
3722#define MEMINT_RSEXIT_EN (1 << 8)
3723#define MEMINT_CX_SUPR_EN (1 << 7)
3724#define MEMINT_CONT_BUSY_EN (1 << 6)
3725#define MEMINT_AVG_BUSY_EN (1 << 5)
3726#define MEMINT_EVAL_CHG_EN (1 << 4)
3727#define MEMINT_MON_IDLE_EN (1 << 3)
3728#define MEMINT_UP_EVAL_EN (1 << 2)
3729#define MEMINT_DOWN_EVAL_EN (1 << 1)
3730#define MEMINT_SW_CMD_EN (1 << 0)
f0f59a00 3731#define MEMINTRSTR _MMIO(0x11182) /* 16 bits */
f97108d1
JB
3732#define MEM_RSEXIT_MASK 0xc000
3733#define MEM_RSEXIT_SHIFT 14
3734#define MEM_CONT_BUSY_MASK 0x3000
3735#define MEM_CONT_BUSY_SHIFT 12
3736#define MEM_AVG_BUSY_MASK 0x0c00
3737#define MEM_AVG_BUSY_SHIFT 10
3738#define MEM_EVAL_CHG_MASK 0x0300
3739#define MEM_EVAL_BUSY_SHIFT 8
3740#define MEM_MON_IDLE_MASK 0x00c0
3741#define MEM_MON_IDLE_SHIFT 6
3742#define MEM_UP_EVAL_MASK 0x0030
3743#define MEM_UP_EVAL_SHIFT 4
3744#define MEM_DOWN_EVAL_MASK 0x000c
3745#define MEM_DOWN_EVAL_SHIFT 2
3746#define MEM_SW_CMD_MASK 0x0003
3747#define MEM_INT_STEER_GFX 0
3748#define MEM_INT_STEER_CMR 1
3749#define MEM_INT_STEER_SMI 2
3750#define MEM_INT_STEER_SCI 3
f0f59a00 3751#define MEMINTRSTS _MMIO(0x11184)
5ee8ee86
PZ
3752#define MEMINT_RSEXIT (1 << 7)
3753#define MEMINT_CONT_BUSY (1 << 6)
3754#define MEMINT_AVG_BUSY (1 << 5)
3755#define MEMINT_EVAL_CHG (1 << 4)
3756#define MEMINT_MON_IDLE (1 << 3)
3757#define MEMINT_UP_EVAL (1 << 2)
3758#define MEMINT_DOWN_EVAL (1 << 1)
3759#define MEMINT_SW_CMD (1 << 0)
f0f59a00 3760#define MEMMODECTL _MMIO(0x11190)
5ee8ee86 3761#define MEMMODE_BOOST_EN (1 << 31)
f97108d1
JB
3762#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
3763#define MEMMODE_BOOST_FREQ_SHIFT 24
3764#define MEMMODE_IDLE_MODE_MASK 0x00030000
3765#define MEMMODE_IDLE_MODE_SHIFT 16
3766#define MEMMODE_IDLE_MODE_EVAL 0
3767#define MEMMODE_IDLE_MODE_CONT 1
5ee8ee86
PZ
3768#define MEMMODE_HWIDLE_EN (1 << 15)
3769#define MEMMODE_SWMODE_EN (1 << 14)
3770#define MEMMODE_RCLK_GATE (1 << 13)
3771#define MEMMODE_HW_UPDATE (1 << 12)
f97108d1
JB
3772#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
3773#define MEMMODE_FSTART_SHIFT 8
3774#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
3775#define MEMMODE_FMAX_SHIFT 4
3776#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
f0f59a00
VS
3777#define RCBMAXAVG _MMIO(0x1119c)
3778#define MEMSWCTL2 _MMIO(0x1119e) /* Cantiga only */
f97108d1
JB
3779#define SWMEMCMD_RENDER_OFF (0 << 13)
3780#define SWMEMCMD_RENDER_ON (1 << 13)
3781#define SWMEMCMD_SWFREQ (2 << 13)
3782#define SWMEMCMD_TARVID (3 << 13)
3783#define SWMEMCMD_VRM_OFF (4 << 13)
3784#define SWMEMCMD_VRM_ON (5 << 13)
5ee8ee86
PZ
3785#define CMDSTS (1 << 12)
3786#define SFCAVM (1 << 11)
f97108d1
JB
3787#define SWFREQ_MASK 0x0380 /* P0-7 */
3788#define SWFREQ_SHIFT 7
3789#define TARVID_MASK 0x001f
f0f59a00
VS
3790#define MEMSTAT_CTG _MMIO(0x111a0)
3791#define RCBMINAVG _MMIO(0x111a0)
3792#define RCUPEI _MMIO(0x111b0)
3793#define RCDNEI _MMIO(0x111b4)
3794#define RSTDBYCTL _MMIO(0x111b8)
5ee8ee86
PZ
3795#define RS1EN (1 << 31)
3796#define RS2EN (1 << 30)
3797#define RS3EN (1 << 29)
3798#define D3RS3EN (1 << 28) /* Display D3 imlies RS3 */
3799#define SWPROMORSX (1 << 27) /* RSx promotion timers ignored */
3800#define RCWAKERW (1 << 26) /* Resetwarn from PCH causes wakeup */
3801#define DPRSLPVREN (1 << 25) /* Fast voltage ramp enable */
3802#define GFXTGHYST (1 << 24) /* Hysteresis to allow trunk gating */
3803#define RCX_SW_EXIT (1 << 23) /* Leave RSx and prevent re-entry */
3804#define RSX_STATUS_MASK (7 << 20)
3805#define RSX_STATUS_ON (0 << 20)
3806#define RSX_STATUS_RC1 (1 << 20)
3807#define RSX_STATUS_RC1E (2 << 20)
3808#define RSX_STATUS_RS1 (3 << 20)
3809#define RSX_STATUS_RS2 (4 << 20) /* aka rc6 */
3810#define RSX_STATUS_RSVD (5 << 20) /* deep rc6 unsupported on ilk */
3811#define RSX_STATUS_RS3 (6 << 20) /* rs3 unsupported on ilk */
3812#define RSX_STATUS_RSVD2 (7 << 20)
3813#define UWRCRSXE (1 << 19) /* wake counter limit prevents rsx */
3814#define RSCRP (1 << 18) /* rs requests control on rs1/2 reqs */
3815#define JRSC (1 << 17) /* rsx coupled to cpu c-state */
3816#define RS2INC0 (1 << 16) /* allow rs2 in cpu c0 */
3817#define RS1CONTSAV_MASK (3 << 14)
3818#define RS1CONTSAV_NO_RS1 (0 << 14) /* rs1 doesn't save/restore context */
3819#define RS1CONTSAV_RSVD (1 << 14)
3820#define RS1CONTSAV_SAVE_RS1 (2 << 14) /* rs1 saves context */
3821#define RS1CONTSAV_FULL_RS1 (3 << 14) /* rs1 saves and restores context */
3822#define NORMSLEXLAT_MASK (3 << 12)
3823#define SLOW_RS123 (0 << 12)
3824#define SLOW_RS23 (1 << 12)
3825#define SLOW_RS3 (2 << 12)
3826#define NORMAL_RS123 (3 << 12)
3827#define RCMODE_TIMEOUT (1 << 11) /* 0 is eval interval method */
3828#define IMPROMOEN (1 << 10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
3829#define RCENTSYNC (1 << 9) /* rs coupled to cpu c-state (3/6/7) */
3830#define STATELOCK (1 << 7) /* locked to rs_cstate if 0 */
3831#define RS_CSTATE_MASK (3 << 4)
3832#define RS_CSTATE_C367_RS1 (0 << 4)
3833#define RS_CSTATE_C36_RS1_C7_RS2 (1 << 4)
3834#define RS_CSTATE_RSVD (2 << 4)
3835#define RS_CSTATE_C367_RS2 (3 << 4)
3836#define REDSAVES (1 << 3) /* no context save if was idle during rs0 */
3837#define REDRESTORES (1 << 2) /* no restore if was idle during rs0 */
f0f59a00
VS
3838#define VIDCTL _MMIO(0x111c0)
3839#define VIDSTS _MMIO(0x111c8)
3840#define VIDSTART _MMIO(0x111cc) /* 8 bits */
3841#define MEMSTAT_ILK _MMIO(0x111f8)
f97108d1
JB
3842#define MEMSTAT_VID_MASK 0x7f00
3843#define MEMSTAT_VID_SHIFT 8
3844#define MEMSTAT_PSTATE_MASK 0x00f8
3845#define MEMSTAT_PSTATE_SHIFT 3
5ee8ee86 3846#define MEMSTAT_MON_ACTV (1 << 2)
f97108d1
JB
3847#define MEMSTAT_SRC_CTL_MASK 0x0003
3848#define MEMSTAT_SRC_CTL_CORE 0
3849#define MEMSTAT_SRC_CTL_TRB 1
3850#define MEMSTAT_SRC_CTL_THM 2
3851#define MEMSTAT_SRC_CTL_STDBY 3
f0f59a00
VS
3852#define RCPREVBSYTUPAVG _MMIO(0x113b8)
3853#define RCPREVBSYTDNAVG _MMIO(0x113bc)
3854#define PMMISC _MMIO(0x11214)
5ee8ee86 3855#define MCPPCE_EN (1 << 0) /* enable PM_MSG from PCH->MPC */
f0f59a00
VS
3856#define SDEW _MMIO(0x1124c)
3857#define CSIEW0 _MMIO(0x11250)
3858#define CSIEW1 _MMIO(0x11254)
3859#define CSIEW2 _MMIO(0x11258)
3860#define PEW(i) _MMIO(0x1125c + (i) * 4) /* 5 registers */
3861#define DEW(i) _MMIO(0x11270 + (i) * 4) /* 3 registers */
3862#define MCHAFE _MMIO(0x112c0)
3863#define CSIEC _MMIO(0x112e0)
3864#define DMIEC _MMIO(0x112e4)
3865#define DDREC _MMIO(0x112e8)
3866#define PEG0EC _MMIO(0x112ec)
3867#define PEG1EC _MMIO(0x112f0)
3868#define GFXEC _MMIO(0x112f4)
3869#define RPPREVBSYTUPAVG _MMIO(0x113b8)
3870#define RPPREVBSYTDNAVG _MMIO(0x113bc)
3871#define ECR _MMIO(0x11600)
5ee8ee86
PZ
3872#define ECR_GPFE (1 << 31)
3873#define ECR_IMONE (1 << 30)
7648fa99 3874#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
f0f59a00
VS
3875#define OGW0 _MMIO(0x11608)
3876#define OGW1 _MMIO(0x1160c)
3877#define EG0 _MMIO(0x11610)
3878#define EG1 _MMIO(0x11614)
3879#define EG2 _MMIO(0x11618)
3880#define EG3 _MMIO(0x1161c)
3881#define EG4 _MMIO(0x11620)
3882#define EG5 _MMIO(0x11624)
3883#define EG6 _MMIO(0x11628)
3884#define EG7 _MMIO(0x1162c)
3885#define PXW(i) _MMIO(0x11664 + (i) * 4) /* 4 registers */
3886#define PXWL(i) _MMIO(0x11680 + (i) * 8) /* 8 registers */
3887#define LCFUSE02 _MMIO(0x116c0)
7648fa99 3888#define LCFUSE_HIV_MASK 0x000000ff
f0f59a00
VS
3889#define CSIPLL0 _MMIO(0x12c10)
3890#define DDRMPLL1 _MMIO(0X12c20)
3891#define PEG_BAND_GAP_DATA _MMIO(0x14d68)
7d57382e 3892
f0f59a00 3893#define GEN6_GT_THREAD_STATUS_REG _MMIO(0x13805c)
c4de7b0f 3894#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
c4de7b0f 3895
f0f59a00
VS
3896#define GEN6_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5948)
3897#define BXT_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7070)
3898#define GEN6_RP_STATE_LIMITS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5994)
3899#define GEN6_RP_STATE_CAP _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5998)
3900#define BXT_RP_STATE_CAP _MMIO(0x138170)
3b8d8d91 3901
8a292d01
VS
3902/*
3903 * Make these a multiple of magic 25 to avoid SNB (eg. Dell XPS
3904 * 8300) freezing up around GPU hangs. Looks as if even
3905 * scheduling/timer interrupts start misbehaving if the RPS
3906 * EI/thresholds are "bad", leading to a very sluggish or even
3907 * frozen machine.
3908 */
3909#define INTERVAL_1_28_US(us) roundup(((us) * 100) >> 7, 25)
de43ae9d 3910#define INTERVAL_1_33_US(us) (((us) * 3) >> 2)
26148bd3 3911#define INTERVAL_0_833_US(us) (((us) * 6) / 5)
35ceabf3 3912#define GT_INTERVAL_FROM_US(dev_priv, us) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3913 (IS_GEN9_LP(dev_priv) ? \
26148bd3
AG
3914 INTERVAL_0_833_US(us) : \
3915 INTERVAL_1_33_US(us)) : \
de43ae9d
AG
3916 INTERVAL_1_28_US(us))
3917
52530cba
AG
3918#define INTERVAL_1_28_TO_US(interval) (((interval) << 7) / 100)
3919#define INTERVAL_1_33_TO_US(interval) (((interval) << 2) / 3)
3920#define INTERVAL_0_833_TO_US(interval) (((interval) * 5) / 6)
35ceabf3 3921#define GT_PM_INTERVAL_TO_US(dev_priv, interval) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3922 (IS_GEN9_LP(dev_priv) ? \
52530cba
AG
3923 INTERVAL_0_833_TO_US(interval) : \
3924 INTERVAL_1_33_TO_US(interval)) : \
3925 INTERVAL_1_28_TO_US(interval))
3926
aa40d6bb
ZN
3927/*
3928 * Logical Context regs
3929 */
baba6e57 3930#define CCID(base) _MMIO((base) + 0x180)
ec62ed3e
CW
3931#define CCID_EN BIT(0)
3932#define CCID_EXTENDED_STATE_RESTORE BIT(2)
3933#define CCID_EXTENDED_STATE_SAVE BIT(3)
e8016055
VS
3934/*
3935 * Notes on SNB/IVB/VLV context size:
3936 * - Power context is saved elsewhere (LLC or stolen)
3937 * - Ring/execlist context is saved on SNB, not on IVB
3938 * - Extended context size already includes render context size
3939 * - We always need to follow the extended context size.
3940 * SNB BSpec has comments indicating that we should use the
3941 * render context size instead if execlists are disabled, but
3942 * based on empirical testing that's just nonsense.
3943 * - Pipelined/VF state is saved on SNB/IVB respectively
3944 * - GT1 size just indicates how much of render context
3945 * doesn't need saving on GT1
3946 */
f0f59a00 3947#define CXT_SIZE _MMIO(0x21a0)
68d97538
VS
3948#define GEN6_CXT_POWER_SIZE(cxt_reg) (((cxt_reg) >> 24) & 0x3f)
3949#define GEN6_CXT_RING_SIZE(cxt_reg) (((cxt_reg) >> 18) & 0x3f)
3950#define GEN6_CXT_RENDER_SIZE(cxt_reg) (((cxt_reg) >> 12) & 0x3f)
3951#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) (((cxt_reg) >> 6) & 0x3f)
3952#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) (((cxt_reg) >> 0) & 0x3f)
e8016055 3953#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_RING_SIZE(cxt_reg) + \
fe1cc68f
BW
3954 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
3955 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
f0f59a00 3956#define GEN7_CXT_SIZE _MMIO(0x21a8)
68d97538
VS
3957#define GEN7_CXT_POWER_SIZE(ctx_reg) (((ctx_reg) >> 25) & 0x7f)
3958#define GEN7_CXT_RING_SIZE(ctx_reg) (((ctx_reg) >> 22) & 0x7)
3959#define GEN7_CXT_RENDER_SIZE(ctx_reg) (((ctx_reg) >> 16) & 0x3f)
3960#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) (((ctx_reg) >> 9) & 0x7f)
3961#define GEN7_CXT_GT1_SIZE(ctx_reg) (((ctx_reg) >> 6) & 0x7)
3962#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) (((ctx_reg) >> 0) & 0x3f)
e8016055 3963#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
4f91dd6f 3964 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
8897644a 3965
c01fc532
ZW
3966enum {
3967 INTEL_ADVANCED_CONTEXT = 0,
3968 INTEL_LEGACY_32B_CONTEXT,
3969 INTEL_ADVANCED_AD_CONTEXT,
3970 INTEL_LEGACY_64B_CONTEXT
3971};
3972
2355cf08
MK
3973enum {
3974 FAULT_AND_HANG = 0,
3975 FAULT_AND_HALT, /* Debug only */
3976 FAULT_AND_STREAM,
3977 FAULT_AND_CONTINUE /* Unsupported */
3978};
3979
5ee8ee86
PZ
3980#define GEN8_CTX_VALID (1 << 0)
3981#define GEN8_CTX_FORCE_PD_RESTORE (1 << 1)
3982#define GEN8_CTX_FORCE_RESTORE (1 << 2)
3983#define GEN8_CTX_L3LLC_COHERENT (1 << 5)
3984#define GEN8_CTX_PRIVILEGE (1 << 8)
c01fc532 3985#define GEN8_CTX_ADDRESSING_MODE_SHIFT 3
c01fc532 3986
2355cf08
MK
3987#define GEN8_CTX_ID_SHIFT 32
3988#define GEN8_CTX_ID_WIDTH 21
ac52da6a
DCS
3989#define GEN11_SW_CTX_ID_SHIFT 37
3990#define GEN11_SW_CTX_ID_WIDTH 11
3991#define GEN11_ENGINE_CLASS_SHIFT 61
3992#define GEN11_ENGINE_CLASS_WIDTH 3
3993#define GEN11_ENGINE_INSTANCE_SHIFT 48
3994#define GEN11_ENGINE_INSTANCE_WIDTH 6
c01fc532 3995
f0f59a00
VS
3996#define CHV_CLK_CTL1 _MMIO(0x101100)
3997#define VLV_CLK_CTL2 _MMIO(0x101104)
e454a05d
JB
3998#define CLK_CTL2_CZCOUNT_30NS_SHIFT 28
3999
585fb111
JB
4000/*
4001 * Overlay regs
4002 */
4003
f0f59a00
VS
4004#define OVADD _MMIO(0x30000)
4005#define DOVSTA _MMIO(0x30008)
5ee8ee86 4006#define OC_BUF (0x3 << 20)
f0f59a00
VS
4007#define OGAMC5 _MMIO(0x30010)
4008#define OGAMC4 _MMIO(0x30014)
4009#define OGAMC3 _MMIO(0x30018)
4010#define OGAMC2 _MMIO(0x3001c)
4011#define OGAMC1 _MMIO(0x30020)
4012#define OGAMC0 _MMIO(0x30024)
585fb111 4013
d965e7ac
ID
4014/*
4015 * GEN9 clock gating regs
4016 */
4017#define GEN9_CLKGATE_DIS_0 _MMIO(0x46530)
df49ec82 4018#define DARBF_GATING_DIS (1 << 27)
d965e7ac
ID
4019#define PWM2_GATING_DIS (1 << 14)
4020#define PWM1_GATING_DIS (1 << 13)
4021
6481d5ed
VS
4022#define GEN9_CLKGATE_DIS_4 _MMIO(0x4653C)
4023#define BXT_GMBUS_GATING_DIS (1 << 14)
4024
ed69cd40
ID
4025#define _CLKGATE_DIS_PSL_A 0x46520
4026#define _CLKGATE_DIS_PSL_B 0x46524
4027#define _CLKGATE_DIS_PSL_C 0x46528
c4a4efa9
VS
4028#define DUPS1_GATING_DIS (1 << 15)
4029#define DUPS2_GATING_DIS (1 << 19)
4030#define DUPS3_GATING_DIS (1 << 23)
ed69cd40
ID
4031#define DPF_GATING_DIS (1 << 10)
4032#define DPF_RAM_GATING_DIS (1 << 9)
4033#define DPFR_GATING_DIS (1 << 8)
4034
4035#define CLKGATE_DIS_PSL(pipe) \
4036 _MMIO_PIPE(pipe, _CLKGATE_DIS_PSL_A, _CLKGATE_DIS_PSL_B)
4037
90007bca
RV
4038/*
4039 * GEN10 clock gating regs
4040 */
4041#define SLICE_UNIT_LEVEL_CLKGATE _MMIO(0x94d4)
4042#define SARBUNIT_CLKGATE_DIS (1 << 5)
0a60797a 4043#define RCCUNIT_CLKGATE_DIS (1 << 7)
0a437d49 4044#define MSCUNIT_CLKGATE_DIS (1 << 10)
90007bca 4045
a4713c5a
RV
4046#define SUBSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9524)
4047#define GWUNIT_CLKGATE_DIS (1 << 16)
4048
01ab0f92
RA
4049#define UNSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9434)
4050#define VFUNIT_CLKGATE_DIS (1 << 20)
4051
5ba700c7
OM
4052#define INF_UNIT_LEVEL_CLKGATE _MMIO(0x9560)
4053#define CGPSF_CLKGATE_DIS (1 << 3)
4054
585fb111
JB
4055/*
4056 * Display engine regs
4057 */
4058
8bf1e9f1 4059/* Pipe A CRC regs */
a57c774a 4060#define _PIPE_CRC_CTL_A 0x60050
8bf1e9f1 4061#define PIPE_CRC_ENABLE (1 << 31)
207a815d
VS
4062/* skl+ source selection */
4063#define PIPE_CRC_SOURCE_PLANE_1_SKL (0 << 28)
4064#define PIPE_CRC_SOURCE_PLANE_2_SKL (2 << 28)
4065#define PIPE_CRC_SOURCE_DMUX_SKL (4 << 28)
4066#define PIPE_CRC_SOURCE_PLANE_3_SKL (6 << 28)
4067#define PIPE_CRC_SOURCE_PLANE_4_SKL (7 << 28)
4068#define PIPE_CRC_SOURCE_PLANE_5_SKL (5 << 28)
4069#define PIPE_CRC_SOURCE_PLANE_6_SKL (3 << 28)
4070#define PIPE_CRC_SOURCE_PLANE_7_SKL (1 << 28)
b4437a41 4071/* ivb+ source selection */
8bf1e9f1
SH
4072#define PIPE_CRC_SOURCE_PRIMARY_IVB (0 << 29)
4073#define PIPE_CRC_SOURCE_SPRITE_IVB (1 << 29)
4074#define PIPE_CRC_SOURCE_PF_IVB (2 << 29)
b4437a41 4075/* ilk+ source selection */
5a6b5c84
DV
4076#define PIPE_CRC_SOURCE_PRIMARY_ILK (0 << 28)
4077#define PIPE_CRC_SOURCE_SPRITE_ILK (1 << 28)
4078#define PIPE_CRC_SOURCE_PIPE_ILK (2 << 28)
4079/* embedded DP port on the north display block, reserved on ivb */
4080#define PIPE_CRC_SOURCE_PORT_A_ILK (4 << 28)
4081#define PIPE_CRC_SOURCE_FDI_ILK (5 << 28) /* reserved on ivb */
b4437a41
DV
4082/* vlv source selection */
4083#define PIPE_CRC_SOURCE_PIPE_VLV (0 << 27)
4084#define PIPE_CRC_SOURCE_HDMIB_VLV (1 << 27)
4085#define PIPE_CRC_SOURCE_HDMIC_VLV (2 << 27)
4086/* with DP port the pipe source is invalid */
4087#define PIPE_CRC_SOURCE_DP_D_VLV (3 << 27)
4088#define PIPE_CRC_SOURCE_DP_B_VLV (6 << 27)
4089#define PIPE_CRC_SOURCE_DP_C_VLV (7 << 27)
4090/* gen3+ source selection */
4091#define PIPE_CRC_SOURCE_PIPE_I9XX (0 << 28)
4092#define PIPE_CRC_SOURCE_SDVOB_I9XX (1 << 28)
4093#define PIPE_CRC_SOURCE_SDVOC_I9XX (2 << 28)
4094/* with DP/TV port the pipe source is invalid */
4095#define PIPE_CRC_SOURCE_DP_D_G4X (3 << 28)
4096#define PIPE_CRC_SOURCE_TV_PRE (4 << 28)
4097#define PIPE_CRC_SOURCE_TV_POST (5 << 28)
4098#define PIPE_CRC_SOURCE_DP_B_G4X (6 << 28)
4099#define PIPE_CRC_SOURCE_DP_C_G4X (7 << 28)
4100/* gen2 doesn't have source selection bits */
52f843f6 4101#define PIPE_CRC_INCLUDE_BORDER_I8XX (1 << 30)
b4437a41 4102
5a6b5c84
DV
4103#define _PIPE_CRC_RES_1_A_IVB 0x60064
4104#define _PIPE_CRC_RES_2_A_IVB 0x60068
4105#define _PIPE_CRC_RES_3_A_IVB 0x6006c
4106#define _PIPE_CRC_RES_4_A_IVB 0x60070
4107#define _PIPE_CRC_RES_5_A_IVB 0x60074
4108
a57c774a
AK
4109#define _PIPE_CRC_RES_RED_A 0x60060
4110#define _PIPE_CRC_RES_GREEN_A 0x60064
4111#define _PIPE_CRC_RES_BLUE_A 0x60068
4112#define _PIPE_CRC_RES_RES1_A_I915 0x6006c
4113#define _PIPE_CRC_RES_RES2_A_G4X 0x60080
8bf1e9f1
SH
4114
4115/* Pipe B CRC regs */
5a6b5c84
DV
4116#define _PIPE_CRC_RES_1_B_IVB 0x61064
4117#define _PIPE_CRC_RES_2_B_IVB 0x61068
4118#define _PIPE_CRC_RES_3_B_IVB 0x6106c
4119#define _PIPE_CRC_RES_4_B_IVB 0x61070
4120#define _PIPE_CRC_RES_5_B_IVB 0x61074
8bf1e9f1 4121
f0f59a00
VS
4122#define PIPE_CRC_CTL(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_CTL_A)
4123#define PIPE_CRC_RES_1_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_1_A_IVB)
4124#define PIPE_CRC_RES_2_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_2_A_IVB)
4125#define PIPE_CRC_RES_3_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_3_A_IVB)
4126#define PIPE_CRC_RES_4_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_4_A_IVB)
4127#define PIPE_CRC_RES_5_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_5_A_IVB)
4128
4129#define PIPE_CRC_RES_RED(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RED_A)
4130#define PIPE_CRC_RES_GREEN(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_GREEN_A)
4131#define PIPE_CRC_RES_BLUE(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_BLUE_A)
4132#define PIPE_CRC_RES_RES1_I915(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES1_A_I915)
4133#define PIPE_CRC_RES_RES2_G4X(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES2_A_G4X)
5a6b5c84 4134
585fb111 4135/* Pipe A timing regs */
a57c774a
AK
4136#define _HTOTAL_A 0x60000
4137#define _HBLANK_A 0x60004
4138#define _HSYNC_A 0x60008
4139#define _VTOTAL_A 0x6000c
4140#define _VBLANK_A 0x60010
4141#define _VSYNC_A 0x60014
4142#define _PIPEASRC 0x6001c
4143#define _BCLRPAT_A 0x60020
4144#define _VSYNCSHIFT_A 0x60028
ebb69c95 4145#define _PIPE_MULT_A 0x6002c
585fb111
JB
4146
4147/* Pipe B timing regs */
a57c774a
AK
4148#define _HTOTAL_B 0x61000
4149#define _HBLANK_B 0x61004
4150#define _HSYNC_B 0x61008
4151#define _VTOTAL_B 0x6100c
4152#define _VBLANK_B 0x61010
4153#define _VSYNC_B 0x61014
4154#define _PIPEBSRC 0x6101c
4155#define _BCLRPAT_B 0x61020
4156#define _VSYNCSHIFT_B 0x61028
ebb69c95 4157#define _PIPE_MULT_B 0x6102c
a57c774a 4158
7b56caf3
MC
4159/* DSI 0 timing regs */
4160#define _HTOTAL_DSI0 0x6b000
4161#define _HSYNC_DSI0 0x6b008
4162#define _VTOTAL_DSI0 0x6b00c
4163#define _VSYNC_DSI0 0x6b014
4164#define _VSYNCSHIFT_DSI0 0x6b028
4165
4166/* DSI 1 timing regs */
4167#define _HTOTAL_DSI1 0x6b800
4168#define _HSYNC_DSI1 0x6b808
4169#define _VTOTAL_DSI1 0x6b80c
4170#define _VSYNC_DSI1 0x6b814
4171#define _VSYNCSHIFT_DSI1 0x6b828
4172
a57c774a
AK
4173#define TRANSCODER_A_OFFSET 0x60000
4174#define TRANSCODER_B_OFFSET 0x61000
4175#define TRANSCODER_C_OFFSET 0x62000
84fd4f4e 4176#define CHV_TRANSCODER_C_OFFSET 0x63000
f1f1d4fa 4177#define TRANSCODER_D_OFFSET 0x63000
a57c774a 4178#define TRANSCODER_EDP_OFFSET 0x6f000
49edbd49
MC
4179#define TRANSCODER_DSI0_OFFSET 0x6b000
4180#define TRANSCODER_DSI1_OFFSET 0x6b800
a57c774a 4181
f0f59a00
VS
4182#define HTOTAL(trans) _MMIO_TRANS2(trans, _HTOTAL_A)
4183#define HBLANK(trans) _MMIO_TRANS2(trans, _HBLANK_A)
4184#define HSYNC(trans) _MMIO_TRANS2(trans, _HSYNC_A)
4185#define VTOTAL(trans) _MMIO_TRANS2(trans, _VTOTAL_A)
4186#define VBLANK(trans) _MMIO_TRANS2(trans, _VBLANK_A)
4187#define VSYNC(trans) _MMIO_TRANS2(trans, _VSYNC_A)
4188#define BCLRPAT(trans) _MMIO_TRANS2(trans, _BCLRPAT_A)
4189#define VSYNCSHIFT(trans) _MMIO_TRANS2(trans, _VSYNCSHIFT_A)
4190#define PIPESRC(trans) _MMIO_TRANS2(trans, _PIPEASRC)
4191#define PIPE_MULT(trans) _MMIO_TRANS2(trans, _PIPE_MULT_A)
5eddb70b 4192
ed8546ac 4193/* HSW+ eDP PSR registers */
443a389f
VS
4194#define HSW_EDP_PSR_BASE 0x64800
4195#define BDW_EDP_PSR_BASE 0x6f800
f0f59a00 4196#define EDP_PSR_CTL _MMIO(dev_priv->psr_mmio_base + 0)
5ee8ee86
PZ
4197#define EDP_PSR_ENABLE (1 << 31)
4198#define BDW_PSR_SINGLE_FRAME (1 << 30)
4199#define EDP_PSR_RESTORE_PSR_ACTIVE_CTX_MASK (1 << 29) /* SW can't modify */
4200#define EDP_PSR_LINK_STANDBY (1 << 27)
4201#define EDP_PSR_MIN_LINK_ENTRY_TIME_MASK (3 << 25)
4202#define EDP_PSR_MIN_LINK_ENTRY_TIME_8_LINES (0 << 25)
4203#define EDP_PSR_MIN_LINK_ENTRY_TIME_4_LINES (1 << 25)
4204#define EDP_PSR_MIN_LINK_ENTRY_TIME_2_LINES (2 << 25)
4205#define EDP_PSR_MIN_LINK_ENTRY_TIME_0_LINES (3 << 25)
2b28bb1b 4206#define EDP_PSR_MAX_SLEEP_TIME_SHIFT 20
5ee8ee86
PZ
4207#define EDP_PSR_SKIP_AUX_EXIT (1 << 12)
4208#define EDP_PSR_TP1_TP2_SEL (0 << 11)
4209#define EDP_PSR_TP1_TP3_SEL (1 << 11)
00c8f194 4210#define EDP_PSR_CRC_ENABLE (1 << 10) /* BDW+ */
5ee8ee86
PZ
4211#define EDP_PSR_TP2_TP3_TIME_500us (0 << 8)
4212#define EDP_PSR_TP2_TP3_TIME_100us (1 << 8)
4213#define EDP_PSR_TP2_TP3_TIME_2500us (2 << 8)
4214#define EDP_PSR_TP2_TP3_TIME_0us (3 << 8)
8a9a5608 4215#define EDP_PSR_TP4_TIME_0US (3 << 6) /* ICL+ */
5ee8ee86
PZ
4216#define EDP_PSR_TP1_TIME_500us (0 << 4)
4217#define EDP_PSR_TP1_TIME_100us (1 << 4)
4218#define EDP_PSR_TP1_TIME_2500us (2 << 4)
4219#define EDP_PSR_TP1_TIME_0us (3 << 4)
2b28bb1b
RV
4220#define EDP_PSR_IDLE_FRAME_SHIFT 0
4221
fc340442
DV
4222/* Bspec claims those aren't shifted but stay at 0x64800 */
4223#define EDP_PSR_IMR _MMIO(0x64834)
4224#define EDP_PSR_IIR _MMIO(0x64838)
c0871805
ID
4225#define EDP_PSR_ERROR(shift) (1 << ((shift) + 2))
4226#define EDP_PSR_POST_EXIT(shift) (1 << ((shift) + 1))
4227#define EDP_PSR_PRE_ENTRY(shift) (1 << (shift))
4228#define EDP_PSR_TRANSCODER_C_SHIFT 24
4229#define EDP_PSR_TRANSCODER_B_SHIFT 16
4230#define EDP_PSR_TRANSCODER_A_SHIFT 8
4231#define EDP_PSR_TRANSCODER_EDP_SHIFT 0
fc340442 4232
f0f59a00 4233#define EDP_PSR_AUX_CTL _MMIO(dev_priv->psr_mmio_base + 0x10)
d544e918
DP
4234#define EDP_PSR_AUX_CTL_TIME_OUT_MASK (3 << 26)
4235#define EDP_PSR_AUX_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
4236#define EDP_PSR_AUX_CTL_PRECHARGE_2US_MASK (0xf << 16)
4237#define EDP_PSR_AUX_CTL_ERROR_INTERRUPT (1 << 11)
4238#define EDP_PSR_AUX_CTL_BIT_CLOCK_2X_MASK (0x7ff)
4239
f0f59a00 4240#define EDP_PSR_AUX_DATA(i) _MMIO(dev_priv->psr_mmio_base + 0x14 + (i) * 4) /* 5 registers */
2b28bb1b 4241
861023e0 4242#define EDP_PSR_STATUS _MMIO(dev_priv->psr_mmio_base + 0x40)
5ee8ee86 4243#define EDP_PSR_STATUS_STATE_MASK (7 << 29)
00b06296 4244#define EDP_PSR_STATUS_STATE_SHIFT 29
5ee8ee86
PZ
4245#define EDP_PSR_STATUS_STATE_IDLE (0 << 29)
4246#define EDP_PSR_STATUS_STATE_SRDONACK (1 << 29)
4247#define EDP_PSR_STATUS_STATE_SRDENT (2 << 29)
4248#define EDP_PSR_STATUS_STATE_BUFOFF (3 << 29)
4249#define EDP_PSR_STATUS_STATE_BUFON (4 << 29)
4250#define EDP_PSR_STATUS_STATE_AUXACK (5 << 29)
4251#define EDP_PSR_STATUS_STATE_SRDOFFACK (6 << 29)
4252#define EDP_PSR_STATUS_LINK_MASK (3 << 26)
4253#define EDP_PSR_STATUS_LINK_FULL_OFF (0 << 26)
4254#define EDP_PSR_STATUS_LINK_FULL_ON (1 << 26)
4255#define EDP_PSR_STATUS_LINK_STANDBY (2 << 26)
e91fd8c6
RV
4256#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_SHIFT 20
4257#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_MASK 0x1f
4258#define EDP_PSR_STATUS_COUNT_SHIFT 16
4259#define EDP_PSR_STATUS_COUNT_MASK 0xf
5ee8ee86
PZ
4260#define EDP_PSR_STATUS_AUX_ERROR (1 << 15)
4261#define EDP_PSR_STATUS_AUX_SENDING (1 << 12)
4262#define EDP_PSR_STATUS_SENDING_IDLE (1 << 9)
4263#define EDP_PSR_STATUS_SENDING_TP2_TP3 (1 << 8)
4264#define EDP_PSR_STATUS_SENDING_TP1 (1 << 4)
e91fd8c6
RV
4265#define EDP_PSR_STATUS_IDLE_MASK 0xf
4266
f0f59a00 4267#define EDP_PSR_PERF_CNT _MMIO(dev_priv->psr_mmio_base + 0x44)
e91fd8c6 4268#define EDP_PSR_PERF_CNT_MASK 0xffffff
2b28bb1b 4269
62801bf6 4270#define EDP_PSR_DEBUG _MMIO(dev_priv->psr_mmio_base + 0x60) /* PSR_MASK on SKL+ */
5ee8ee86
PZ
4271#define EDP_PSR_DEBUG_MASK_MAX_SLEEP (1 << 28)
4272#define EDP_PSR_DEBUG_MASK_LPSP (1 << 27)
4273#define EDP_PSR_DEBUG_MASK_MEMUP (1 << 26)
4274#define EDP_PSR_DEBUG_MASK_HPD (1 << 25)
fc6ff9dc 4275#define EDP_PSR_DEBUG_MASK_DISP_REG_WRITE (1 << 16) /* Reserved in ICL+ */
5ee8ee86 4276#define EDP_PSR_DEBUG_EXIT_ON_PIXEL_UNDERRUN (1 << 15) /* SKL+ */
2b28bb1b 4277
f0f59a00 4278#define EDP_PSR2_CTL _MMIO(0x6f900)
5ee8ee86
PZ
4279#define EDP_PSR2_ENABLE (1 << 31)
4280#define EDP_SU_TRACK_ENABLE (1 << 30)
4281#define EDP_Y_COORDINATE_VALID (1 << 26) /* GLK and CNL+ */
4282#define EDP_Y_COORDINATE_ENABLE (1 << 25) /* GLK and CNL+ */
4283#define EDP_MAX_SU_DISABLE_TIME(t) ((t) << 20)
4284#define EDP_MAX_SU_DISABLE_TIME_MASK (0x1f << 20)
4285#define EDP_PSR2_TP2_TIME_500us (0 << 8)
4286#define EDP_PSR2_TP2_TIME_100us (1 << 8)
4287#define EDP_PSR2_TP2_TIME_2500us (2 << 8)
4288#define EDP_PSR2_TP2_TIME_50us (3 << 8)
4289#define EDP_PSR2_TP2_TIME_MASK (3 << 8)
474d1ec4 4290#define EDP_PSR2_FRAME_BEFORE_SU_SHIFT 4
5ee8ee86
PZ
4291#define EDP_PSR2_FRAME_BEFORE_SU_MASK (0xf << 4)
4292#define EDP_PSR2_FRAME_BEFORE_SU(a) ((a) << 4)
fe36181b
JRS
4293#define EDP_PSR2_IDLE_FRAME_MASK 0xf
4294#define EDP_PSR2_IDLE_FRAME_SHIFT 0
474d1ec4 4295
bc18b4df
JRS
4296#define _PSR_EVENT_TRANS_A 0x60848
4297#define _PSR_EVENT_TRANS_B 0x61848
4298#define _PSR_EVENT_TRANS_C 0x62848
4299#define _PSR_EVENT_TRANS_D 0x63848
4300#define _PSR_EVENT_TRANS_EDP 0x6F848
4301#define PSR_EVENT(trans) _MMIO_TRANS2(trans, _PSR_EVENT_TRANS_A)
4302#define PSR_EVENT_PSR2_WD_TIMER_EXPIRE (1 << 17)
4303#define PSR_EVENT_PSR2_DISABLED (1 << 16)
4304#define PSR_EVENT_SU_DIRTY_FIFO_UNDERRUN (1 << 15)
4305#define PSR_EVENT_SU_CRC_FIFO_UNDERRUN (1 << 14)
4306#define PSR_EVENT_GRAPHICS_RESET (1 << 12)
4307#define PSR_EVENT_PCH_INTERRUPT (1 << 11)
4308#define PSR_EVENT_MEMORY_UP (1 << 10)
4309#define PSR_EVENT_FRONT_BUFFER_MODIFY (1 << 9)
4310#define PSR_EVENT_WD_TIMER_EXPIRE (1 << 8)
4311#define PSR_EVENT_PIPE_REGISTERS_UPDATE (1 << 6)
fc6ff9dc 4312#define PSR_EVENT_REGISTER_UPDATE (1 << 5) /* Reserved in ICL+ */
bc18b4df
JRS
4313#define PSR_EVENT_HDCP_ENABLE (1 << 4)
4314#define PSR_EVENT_KVMR_SESSION_ENABLE (1 << 3)
4315#define PSR_EVENT_VBI_ENABLE (1 << 2)
4316#define PSR_EVENT_LPSP_MODE_EXIT (1 << 1)
4317#define PSR_EVENT_PSR_DISABLE (1 << 0)
4318
861023e0 4319#define EDP_PSR2_STATUS _MMIO(0x6f940)
5ee8ee86 4320#define EDP_PSR2_STATUS_STATE_MASK (0xf << 28)
6ba1f9e1 4321#define EDP_PSR2_STATUS_STATE_SHIFT 28
474d1ec4 4322
cc8853f5
JRS
4323#define _PSR2_SU_STATUS_0 0x6F914
4324#define _PSR2_SU_STATUS_1 0x6F918
4325#define _PSR2_SU_STATUS_2 0x6F91C
4326#define _PSR2_SU_STATUS(index) _MMIO(_PICK_EVEN((index), _PSR2_SU_STATUS_0, _PSR2_SU_STATUS_1))
4327#define PSR2_SU_STATUS(frame) (_PSR2_SU_STATUS((frame) / 3))
4328#define PSR2_SU_STATUS_SHIFT(frame) (((frame) % 3) * 10)
4329#define PSR2_SU_STATUS_MASK(frame) (0x3ff << PSR2_SU_STATUS_SHIFT(frame))
4330#define PSR2_SU_STATUS_FRAMES 8
4331
585fb111 4332/* VGA port control */
f0f59a00
VS
4333#define ADPA _MMIO(0x61100)
4334#define PCH_ADPA _MMIO(0xe1100)
4335#define VLV_ADPA _MMIO(VLV_DISPLAY_BASE + 0x61100)
ebc0fd88 4336
5ee8ee86 4337#define ADPA_DAC_ENABLE (1 << 31)
585fb111 4338#define ADPA_DAC_DISABLE 0
6102a8ee 4339#define ADPA_PIPE_SEL_SHIFT 30
5ee8ee86 4340#define ADPA_PIPE_SEL_MASK (1 << 30)
6102a8ee
VS
4341#define ADPA_PIPE_SEL(pipe) ((pipe) << 30)
4342#define ADPA_PIPE_SEL_SHIFT_CPT 29
5ee8ee86 4343#define ADPA_PIPE_SEL_MASK_CPT (3 << 29)
6102a8ee 4344#define ADPA_PIPE_SEL_CPT(pipe) ((pipe) << 29)
ebc0fd88 4345#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
5ee8ee86
PZ
4346#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0 << 24)
4347#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3 << 24)
4348#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3 << 24)
4349#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2 << 24)
4350#define ADPA_CRT_HOTPLUG_ENABLE (1 << 23)
4351#define ADPA_CRT_HOTPLUG_PERIOD_64 (0 << 22)
4352#define ADPA_CRT_HOTPLUG_PERIOD_128 (1 << 22)
4353#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0 << 21)
4354#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1 << 21)
4355#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0 << 20)
4356#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1 << 20)
4357#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0 << 18)
4358#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1 << 18)
4359#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2 << 18)
4360#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3 << 18)
4361#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0 << 17)
4362#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1 << 17)
4363#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1 << 16)
4364#define ADPA_USE_VGA_HVPOLARITY (1 << 15)
585fb111 4365#define ADPA_SETS_HVPOLARITY 0
5ee8ee86 4366#define ADPA_VSYNC_CNTL_DISABLE (1 << 10)
585fb111 4367#define ADPA_VSYNC_CNTL_ENABLE 0
5ee8ee86 4368#define ADPA_HSYNC_CNTL_DISABLE (1 << 11)
585fb111 4369#define ADPA_HSYNC_CNTL_ENABLE 0
5ee8ee86 4370#define ADPA_VSYNC_ACTIVE_HIGH (1 << 4)
585fb111 4371#define ADPA_VSYNC_ACTIVE_LOW 0
5ee8ee86 4372#define ADPA_HSYNC_ACTIVE_HIGH (1 << 3)
585fb111 4373#define ADPA_HSYNC_ACTIVE_LOW 0
5ee8ee86
PZ
4374#define ADPA_DPMS_MASK (~(3 << 10))
4375#define ADPA_DPMS_ON (0 << 10)
4376#define ADPA_DPMS_SUSPEND (1 << 10)
4377#define ADPA_DPMS_STANDBY (2 << 10)
4378#define ADPA_DPMS_OFF (3 << 10)
585fb111 4379
939fe4d7 4380
585fb111 4381/* Hotplug control (945+ only) */
ed5eb1b7 4382#define PORT_HOTPLUG_EN _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61110)
26739f12
DV
4383#define PORTB_HOTPLUG_INT_EN (1 << 29)
4384#define PORTC_HOTPLUG_INT_EN (1 << 28)
4385#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
4386#define SDVOB_HOTPLUG_INT_EN (1 << 26)
4387#define SDVOC_HOTPLUG_INT_EN (1 << 25)
4388#define TV_HOTPLUG_INT_EN (1 << 18)
4389#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
4390#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
4391 PORTC_HOTPLUG_INT_EN | \
4392 PORTD_HOTPLUG_INT_EN | \
4393 SDVOC_HOTPLUG_INT_EN | \
4394 SDVOB_HOTPLUG_INT_EN | \
4395 CRT_HOTPLUG_INT_EN)
585fb111 4396#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
4397#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
4398/* must use period 64 on GM45 according to docs */
4399#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
4400#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
4401#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
4402#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
4403#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
4404#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
4405#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
4406#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
4407#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
4408#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
4409#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
4410#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 4411
ed5eb1b7 4412#define PORT_HOTPLUG_STAT _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61114)
0ce99f74 4413/*
0780cd36 4414 * HDMI/DP bits are g4x+
0ce99f74
DV
4415 *
4416 * WARNING: Bspec for hpd status bits on gen4 seems to be completely confused.
4417 * Please check the detailed lore in the commit message for for experimental
4418 * evidence.
4419 */
0780cd36
VS
4420/* Bspec says GM45 should match G4X/VLV/CHV, but reality disagrees */
4421#define PORTD_HOTPLUG_LIVE_STATUS_GM45 (1 << 29)
4422#define PORTC_HOTPLUG_LIVE_STATUS_GM45 (1 << 28)
4423#define PORTB_HOTPLUG_LIVE_STATUS_GM45 (1 << 27)
4424/* G4X/VLV/CHV DP/HDMI bits again match Bspec */
4425#define PORTD_HOTPLUG_LIVE_STATUS_G4X (1 << 27)
232a6ee9 4426#define PORTC_HOTPLUG_LIVE_STATUS_G4X (1 << 28)
0780cd36 4427#define PORTB_HOTPLUG_LIVE_STATUS_G4X (1 << 29)
26739f12 4428#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
a211b497
DV
4429#define PORTD_HOTPLUG_INT_LONG_PULSE (2 << 21)
4430#define PORTD_HOTPLUG_INT_SHORT_PULSE (1 << 21)
26739f12 4431#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
a211b497
DV
4432#define PORTC_HOTPLUG_INT_LONG_PULSE (2 << 19)
4433#define PORTC_HOTPLUG_INT_SHORT_PULSE (1 << 19)
26739f12 4434#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
a211b497
DV
4435#define PORTB_HOTPLUG_INT_LONG_PULSE (2 << 17)
4436#define PORTB_HOTPLUG_INT_SHORT_PLUSE (1 << 17)
084b612e 4437/* CRT/TV common between gen3+ */
585fb111
JB
4438#define CRT_HOTPLUG_INT_STATUS (1 << 11)
4439#define TV_HOTPLUG_INT_STATUS (1 << 10)
4440#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
4441#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
4442#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
4443#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
4aeebd74
DV
4444#define DP_AUX_CHANNEL_D_INT_STATUS_G4X (1 << 6)
4445#define DP_AUX_CHANNEL_C_INT_STATUS_G4X (1 << 5)
4446#define DP_AUX_CHANNEL_B_INT_STATUS_G4X (1 << 4)
bfbdb420
ID
4447#define DP_AUX_CHANNEL_MASK_INT_STATUS_G4X (7 << 4)
4448
084b612e
CW
4449/* SDVO is different across gen3/4 */
4450#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
4451#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
4f7fd709
DV
4452/*
4453 * Bspec seems to be seriously misleaded about the SDVO hpd bits on i965g/gm,
4454 * since reality corrobates that they're the same as on gen3. But keep these
4455 * bits here (and the comment!) to help any other lost wanderers back onto the
4456 * right tracks.
4457 */
084b612e
CW
4458#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
4459#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
4460#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
4461#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
4462#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
4463 SDVOB_HOTPLUG_INT_STATUS_G4X | \
4464 SDVOC_HOTPLUG_INT_STATUS_G4X | \
4465 PORTB_HOTPLUG_INT_STATUS | \
4466 PORTC_HOTPLUG_INT_STATUS | \
4467 PORTD_HOTPLUG_INT_STATUS)
e5868a31
EE
4468
4469#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
4470 SDVOB_HOTPLUG_INT_STATUS_I915 | \
4471 SDVOC_HOTPLUG_INT_STATUS_I915 | \
4472 PORTB_HOTPLUG_INT_STATUS | \
4473 PORTC_HOTPLUG_INT_STATUS | \
4474 PORTD_HOTPLUG_INT_STATUS)
585fb111 4475
c20cd312
PZ
4476/* SDVO and HDMI port control.
4477 * The same register may be used for SDVO or HDMI */
f0f59a00
VS
4478#define _GEN3_SDVOB 0x61140
4479#define _GEN3_SDVOC 0x61160
4480#define GEN3_SDVOB _MMIO(_GEN3_SDVOB)
4481#define GEN3_SDVOC _MMIO(_GEN3_SDVOC)
c20cd312
PZ
4482#define GEN4_HDMIB GEN3_SDVOB
4483#define GEN4_HDMIC GEN3_SDVOC
f0f59a00
VS
4484#define VLV_HDMIB _MMIO(VLV_DISPLAY_BASE + 0x61140)
4485#define VLV_HDMIC _MMIO(VLV_DISPLAY_BASE + 0x61160)
4486#define CHV_HDMID _MMIO(VLV_DISPLAY_BASE + 0x6116C)
4487#define PCH_SDVOB _MMIO(0xe1140)
c20cd312 4488#define PCH_HDMIB PCH_SDVOB
f0f59a00
VS
4489#define PCH_HDMIC _MMIO(0xe1150)
4490#define PCH_HDMID _MMIO(0xe1160)
c20cd312 4491
f0f59a00 4492#define PORT_DFT_I9XX _MMIO(0x61150)
84093603 4493#define DC_BALANCE_RESET (1 << 25)
ed5eb1b7 4494#define PORT_DFT2_G4X _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61154)
84093603 4495#define DC_BALANCE_RESET_VLV (1 << 31)
eb736679
VS
4496#define PIPE_SCRAMBLE_RESET_MASK ((1 << 14) | (0x3 << 0))
4497#define PIPE_C_SCRAMBLE_RESET (1 << 14) /* chv */
84093603
DV
4498#define PIPE_B_SCRAMBLE_RESET (1 << 1)
4499#define PIPE_A_SCRAMBLE_RESET (1 << 0)
4500
c20cd312
PZ
4501/* Gen 3 SDVO bits: */
4502#define SDVO_ENABLE (1 << 31)
76203467 4503#define SDVO_PIPE_SEL_SHIFT 30
dc0fa718 4504#define SDVO_PIPE_SEL_MASK (1 << 30)
76203467 4505#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
c20cd312
PZ
4506#define SDVO_STALL_SELECT (1 << 29)
4507#define SDVO_INTERRUPT_ENABLE (1 << 26)
646b4269 4508/*
585fb111 4509 * 915G/GM SDVO pixel multiplier.
585fb111 4510 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
4511 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
4512 */
c20cd312 4513#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 4514#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
4515#define SDVO_PHASE_SELECT_MASK (15 << 19)
4516#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
4517#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
4518#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
4519#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
4520#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
4521#define SDVO_DETECTED (1 << 2)
585fb111 4522/* Bits to be preserved when writing */
c20cd312
PZ
4523#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
4524 SDVO_INTERRUPT_ENABLE)
4525#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
4526
4527/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 4528#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
18442d08 4529#define SDVO_COLOR_FORMAT_MASK (7 << 26)
c20cd312
PZ
4530#define SDVO_ENCODING_SDVO (0 << 10)
4531#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
4532#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
4533#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 4534#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
dd6090f8 4535#define HDMI_AUDIO_ENABLE (1 << 6) /* HDMI only */
c20cd312
PZ
4536/* VSYNC/HSYNC bits new with 965, default is to be set */
4537#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
4538#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
4539
4540/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 4541#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
4542#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
4543
4544/* Gen 6 (CPT) SDVO/HDMI bits: */
76203467 4545#define SDVO_PIPE_SEL_SHIFT_CPT 29
dc0fa718 4546#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
76203467 4547#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
c20cd312 4548
44f37d1f 4549/* CHV SDVO/HDMI bits: */
76203467 4550#define SDVO_PIPE_SEL_SHIFT_CHV 24
44f37d1f 4551#define SDVO_PIPE_SEL_MASK_CHV (3 << 24)
76203467 4552#define SDVO_PIPE_SEL_CHV(pipe) ((pipe) << 24)
44f37d1f 4553
585fb111
JB
4554
4555/* DVO port control */
f0f59a00
VS
4556#define _DVOA 0x61120
4557#define DVOA _MMIO(_DVOA)
4558#define _DVOB 0x61140
4559#define DVOB _MMIO(_DVOB)
4560#define _DVOC 0x61160
4561#define DVOC _MMIO(_DVOC)
585fb111 4562#define DVO_ENABLE (1 << 31)
b45a2588
VS
4563#define DVO_PIPE_SEL_SHIFT 30
4564#define DVO_PIPE_SEL_MASK (1 << 30)
4565#define DVO_PIPE_SEL(pipe) ((pipe) << 30)
585fb111
JB
4566#define DVO_PIPE_STALL_UNUSED (0 << 28)
4567#define DVO_PIPE_STALL (1 << 28)
4568#define DVO_PIPE_STALL_TV (2 << 28)
4569#define DVO_PIPE_STALL_MASK (3 << 28)
4570#define DVO_USE_VGA_SYNC (1 << 15)
4571#define DVO_DATA_ORDER_I740 (0 << 14)
4572#define DVO_DATA_ORDER_FP (1 << 14)
4573#define DVO_VSYNC_DISABLE (1 << 11)
4574#define DVO_HSYNC_DISABLE (1 << 10)
4575#define DVO_VSYNC_TRISTATE (1 << 9)
4576#define DVO_HSYNC_TRISTATE (1 << 8)
4577#define DVO_BORDER_ENABLE (1 << 7)
4578#define DVO_DATA_ORDER_GBRG (1 << 6)
4579#define DVO_DATA_ORDER_RGGB (0 << 6)
4580#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
4581#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
4582#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
4583#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
4584#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
4585#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
4586#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
5ee8ee86 4587#define DVO_PRESERVE_MASK (0x7 << 24)
f0f59a00
VS
4588#define DVOA_SRCDIM _MMIO(0x61124)
4589#define DVOB_SRCDIM _MMIO(0x61144)
4590#define DVOC_SRCDIM _MMIO(0x61164)
585fb111
JB
4591#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
4592#define DVO_SRCDIM_VERTICAL_SHIFT 0
4593
4594/* LVDS port control */
f0f59a00 4595#define LVDS _MMIO(0x61180)
585fb111
JB
4596/*
4597 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
4598 * the DPLL semantics change when the LVDS is assigned to that pipe.
4599 */
4600#define LVDS_PORT_EN (1 << 31)
4601/* Selects pipe B for LVDS data. Must be set on pre-965. */
a44628b9
VS
4602#define LVDS_PIPE_SEL_SHIFT 30
4603#define LVDS_PIPE_SEL_MASK (1 << 30)
4604#define LVDS_PIPE_SEL(pipe) ((pipe) << 30)
4605#define LVDS_PIPE_SEL_SHIFT_CPT 29
4606#define LVDS_PIPE_SEL_MASK_CPT (3 << 29)
4607#define LVDS_PIPE_SEL_CPT(pipe) ((pipe) << 29)
898822ce
ZY
4608/* LVDS dithering flag on 965/g4x platform */
4609#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
4610/* LVDS sync polarity flags. Set to invert (i.e. negative) */
4611#define LVDS_VSYNC_POLARITY (1 << 21)
4612#define LVDS_HSYNC_POLARITY (1 << 20)
4613
a3e17eb8
ZY
4614/* Enable border for unscaled (or aspect-scaled) display */
4615#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
4616/*
4617 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
4618 * pixel.
4619 */
4620#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
4621#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
4622#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
4623/*
4624 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
4625 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
4626 * on.
4627 */
4628#define LVDS_A3_POWER_MASK (3 << 6)
4629#define LVDS_A3_POWER_DOWN (0 << 6)
4630#define LVDS_A3_POWER_UP (3 << 6)
4631/*
4632 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
4633 * is set.
4634 */
4635#define LVDS_CLKB_POWER_MASK (3 << 4)
4636#define LVDS_CLKB_POWER_DOWN (0 << 4)
4637#define LVDS_CLKB_POWER_UP (3 << 4)
4638/*
4639 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
4640 * setting for whether we are in dual-channel mode. The B3 pair will
4641 * additionally only be powered up when LVDS_A3_POWER_UP is set.
4642 */
4643#define LVDS_B0B3_POWER_MASK (3 << 2)
4644#define LVDS_B0B3_POWER_DOWN (0 << 2)
4645#define LVDS_B0B3_POWER_UP (3 << 2)
4646
3c17fe4b 4647/* Video Data Island Packet control */
f0f59a00 4648#define VIDEO_DIP_DATA _MMIO(0x61178)
fd0753cf 4649/* Read the description of VIDEO_DIP_DATA (before Haswell) or VIDEO_DIP_ECC
adf00b26
PZ
4650 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
4651 * of the infoframe structure specified by CEA-861. */
4652#define VIDEO_DIP_DATA_SIZE 32
2b28bb1b 4653#define VIDEO_DIP_VSC_DATA_SIZE 36
4c614831 4654#define VIDEO_DIP_PPS_DATA_SIZE 132
f0f59a00 4655#define VIDEO_DIP_CTL _MMIO(0x61170)
2da8af54 4656/* Pre HSW: */
3c17fe4b 4657#define VIDEO_DIP_ENABLE (1 << 31)
822cdc52 4658#define VIDEO_DIP_PORT(port) ((port) << 29)
3e6e6395 4659#define VIDEO_DIP_PORT_MASK (3 << 29)
5cb3c1a1 4660#define VIDEO_DIP_ENABLE_GCP (1 << 25) /* ilk+ */
3c17fe4b
DH
4661#define VIDEO_DIP_ENABLE_AVI (1 << 21)
4662#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
5cb3c1a1 4663#define VIDEO_DIP_ENABLE_GAMUT (4 << 21) /* ilk+ */
3c17fe4b
DH
4664#define VIDEO_DIP_ENABLE_SPD (8 << 21)
4665#define VIDEO_DIP_SELECT_AVI (0 << 19)
4666#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
5cb3c1a1 4667#define VIDEO_DIP_SELECT_GAMUT (2 << 19)
3c17fe4b 4668#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 4669#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
4670#define VIDEO_DIP_FREQ_ONCE (0 << 16)
4671#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
4672#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 4673#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 4674/* HSW and later: */
44b42ebf 4675#define VIDEO_DIP_ENABLE_DRM_GLK (1 << 28)
a670be33
DP
4676#define PSR_VSC_BIT_7_SET (1 << 27)
4677#define VSC_SELECT_MASK (0x3 << 25)
4678#define VSC_SELECT_SHIFT 25
4679#define VSC_DIP_HW_HEA_DATA (0 << 25)
4680#define VSC_DIP_HW_HEA_SW_DATA (1 << 25)
4681#define VSC_DIP_HW_DATA_SW_HEA (2 << 25)
4682#define VSC_DIP_SW_HEA_DATA (3 << 25)
4683#define VDIP_ENABLE_PPS (1 << 24)
0dd87d20
PZ
4684#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
4685#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 4686#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
4687#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
4688#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 4689#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 4690
585fb111 4691/* Panel power sequencing */
44cb734c
ID
4692#define PPS_BASE 0x61200
4693#define VLV_PPS_BASE (VLV_DISPLAY_BASE + PPS_BASE)
4694#define PCH_PPS_BASE 0xC7200
4695
4696#define _MMIO_PPS(pps_idx, reg) _MMIO(dev_priv->pps_mmio_base - \
4697 PPS_BASE + (reg) + \
4698 (pps_idx) * 0x100)
4699
4700#define _PP_STATUS 0x61200
4701#define PP_STATUS(pps_idx) _MMIO_PPS(pps_idx, _PP_STATUS)
09b434d4 4702#define PP_ON REG_BIT(31)
f4ff2120
MC
4703
4704#define _PP_CONTROL_1 0xc7204
4705#define _PP_CONTROL_2 0xc7304
4706#define ICP_PP_CONTROL(x) _MMIO(((x) == 1) ? _PP_CONTROL_1 : \
4707 _PP_CONTROL_2)
09b434d4 4708#define POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4709#define VDD_OVERRIDE_FORCE REG_BIT(3)
4710#define BACKLIGHT_ENABLE REG_BIT(2)
4711#define PWR_DOWN_ON_RESET REG_BIT(1)
4712#define PWR_STATE_TARGET REG_BIT(0)
585fb111
JB
4713/*
4714 * Indicates that all dependencies of the panel are on:
4715 *
4716 * - PLL enabled
4717 * - pipe enabled
4718 * - LVDS/DVOB/DVOC on
4719 */
09b434d4
JN
4720#define PP_READY REG_BIT(30)
4721#define PP_SEQUENCE_MASK REG_GENMASK(29, 28)
baa09e7d
JN
4722#define PP_SEQUENCE_NONE REG_FIELD_PREP(PP_SEQUENCE_MASK, 0)
4723#define PP_SEQUENCE_POWER_UP REG_FIELD_PREP(PP_SEQUENCE_MASK, 1)
4724#define PP_SEQUENCE_POWER_DOWN REG_FIELD_PREP(PP_SEQUENCE_MASK, 2)
09b434d4
JN
4725#define PP_CYCLE_DELAY_ACTIVE REG_BIT(27)
4726#define PP_SEQUENCE_STATE_MASK REG_GENMASK(3, 0)
baa09e7d
JN
4727#define PP_SEQUENCE_STATE_OFF_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x0)
4728#define PP_SEQUENCE_STATE_OFF_S0_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x1)
4729#define PP_SEQUENCE_STATE_OFF_S0_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x2)
4730#define PP_SEQUENCE_STATE_OFF_S0_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x3)
4731#define PP_SEQUENCE_STATE_ON_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x8)
4732#define PP_SEQUENCE_STATE_ON_S1_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x9)
4733#define PP_SEQUENCE_STATE_ON_S1_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xa)
4734#define PP_SEQUENCE_STATE_ON_S1_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xb)
4735#define PP_SEQUENCE_STATE_RESET REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xf)
44cb734c
ID
4736
4737#define _PP_CONTROL 0x61204
4738#define PP_CONTROL(pps_idx) _MMIO_PPS(pps_idx, _PP_CONTROL)
09b434d4 4739#define PANEL_UNLOCK_MASK REG_GENMASK(31, 16)
baa09e7d 4740#define PANEL_UNLOCK_REGS REG_FIELD_PREP(PANEL_UNLOCK_MASK, 0xabcd)
09b434d4 4741#define BXT_POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4742#define EDP_FORCE_VDD REG_BIT(3)
4743#define EDP_BLC_ENABLE REG_BIT(2)
4744#define PANEL_POWER_RESET REG_BIT(1)
4745#define PANEL_POWER_ON REG_BIT(0)
44cb734c
ID
4746
4747#define _PP_ON_DELAYS 0x61208
4748#define PP_ON_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_ON_DELAYS)
09b434d4 4749#define PANEL_PORT_SELECT_MASK REG_GENMASK(31, 30)
baa09e7d
JN
4750#define PANEL_PORT_SELECT_LVDS REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 0)
4751#define PANEL_PORT_SELECT_DPA REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 1)
4752#define PANEL_PORT_SELECT_DPC REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 2)
4753#define PANEL_PORT_SELECT_DPD REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 3)
4754#define PANEL_PORT_SELECT_VLV(port) REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, port)
09b434d4 4755#define PANEL_POWER_UP_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4756#define PANEL_LIGHT_ON_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4757
4758#define _PP_OFF_DELAYS 0x6120C
4759#define PP_OFF_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_OFF_DELAYS)
09b434d4 4760#define PANEL_POWER_DOWN_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4761#define PANEL_LIGHT_OFF_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4762
4763#define _PP_DIVISOR 0x61210
4764#define PP_DIVISOR(pps_idx) _MMIO_PPS(pps_idx, _PP_DIVISOR)
09b434d4 4765#define PP_REFERENCE_DIVIDER_MASK REG_GENMASK(31, 8)
09b434d4 4766#define PANEL_POWER_CYCLE_DELAY_MASK REG_GENMASK(4, 0)
585fb111
JB
4767
4768/* Panel fitting */
ed5eb1b7 4769#define PFIT_CONTROL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61230)
585fb111
JB
4770#define PFIT_ENABLE (1 << 31)
4771#define PFIT_PIPE_MASK (3 << 29)
4772#define PFIT_PIPE_SHIFT 29
4773#define VERT_INTERP_DISABLE (0 << 10)
4774#define VERT_INTERP_BILINEAR (1 << 10)
4775#define VERT_INTERP_MASK (3 << 10)
4776#define VERT_AUTO_SCALE (1 << 9)
4777#define HORIZ_INTERP_DISABLE (0 << 6)
4778#define HORIZ_INTERP_BILINEAR (1 << 6)
4779#define HORIZ_INTERP_MASK (3 << 6)
4780#define HORIZ_AUTO_SCALE (1 << 5)
4781#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
4782#define PFIT_FILTER_FUZZY (0 << 24)
4783#define PFIT_SCALING_AUTO (0 << 26)
4784#define PFIT_SCALING_PROGRAMMED (1 << 26)
4785#define PFIT_SCALING_PILLAR (2 << 26)
4786#define PFIT_SCALING_LETTER (3 << 26)
ed5eb1b7 4787#define PFIT_PGM_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61234)
3fbe18d6
ZY
4788/* Pre-965 */
4789#define PFIT_VERT_SCALE_SHIFT 20
4790#define PFIT_VERT_SCALE_MASK 0xfff00000
4791#define PFIT_HORIZ_SCALE_SHIFT 4
4792#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
4793/* 965+ */
4794#define PFIT_VERT_SCALE_SHIFT_965 16
4795#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
4796#define PFIT_HORIZ_SCALE_SHIFT_965 0
4797#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
4798
ed5eb1b7 4799#define PFIT_AUTO_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61238)
585fb111 4800
ed5eb1b7
JN
4801#define _VLV_BLC_PWM_CTL2_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61250)
4802#define _VLV_BLC_PWM_CTL2_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61350)
f0f59a00
VS
4803#define VLV_BLC_PWM_CTL2(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL2_A, \
4804 _VLV_BLC_PWM_CTL2_B)
07bf139b 4805
ed5eb1b7
JN
4806#define _VLV_BLC_PWM_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
4807#define _VLV_BLC_PWM_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61354)
f0f59a00
VS
4808#define VLV_BLC_PWM_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL_A, \
4809 _VLV_BLC_PWM_CTL_B)
07bf139b 4810
ed5eb1b7
JN
4811#define _VLV_BLC_HIST_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
4812#define _VLV_BLC_HIST_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61360)
f0f59a00
VS
4813#define VLV_BLC_HIST_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_HIST_CTL_A, \
4814 _VLV_BLC_HIST_CTL_B)
07bf139b 4815
585fb111 4816/* Backlight control */
ed5eb1b7 4817#define BLC_PWM_CTL2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61250) /* 965+ only */
7cf41601
DV
4818#define BLM_PWM_ENABLE (1 << 31)
4819#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
4820#define BLM_PIPE_SELECT (1 << 29)
4821#define BLM_PIPE_SELECT_IVB (3 << 29)
4822#define BLM_PIPE_A (0 << 29)
4823#define BLM_PIPE_B (1 << 29)
4824#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
4825#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
4826#define BLM_TRANSCODER_B BLM_PIPE_B
4827#define BLM_TRANSCODER_C BLM_PIPE_C
4828#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
4829#define BLM_PIPE(pipe) ((pipe) << 29)
4830#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
4831#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
4832#define BLM_PHASE_IN_ENABLE (1 << 25)
4833#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
4834#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
4835#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
4836#define BLM_PHASE_IN_COUNT_SHIFT (8)
4837#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
4838#define BLM_PHASE_IN_INCR_SHIFT (0)
4839#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
ed5eb1b7 4840#define BLC_PWM_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
ba3820ad
TI
4841/*
4842 * This is the most significant 15 bits of the number of backlight cycles in a
4843 * complete cycle of the modulated backlight control.
4844 *
4845 * The actual value is this field multiplied by two.
4846 */
7cf41601
DV
4847#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
4848#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
4849#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
4850/*
4851 * This is the number of cycles out of the backlight modulation cycle for which
4852 * the backlight is on.
4853 *
4854 * This field must be no greater than the number of cycles in the complete
4855 * backlight modulation cycle.
4856 */
4857#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
4858#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
4859#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
4860#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 4861
ed5eb1b7 4862#define BLC_HIST_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
2059ac3b 4863#define BLM_HISTOGRAM_ENABLE (1 << 31)
0eb96d6e 4864
7cf41601
DV
4865/* New registers for PCH-split platforms. Safe where new bits show up, the
4866 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
f0f59a00
VS
4867#define BLC_PWM_CPU_CTL2 _MMIO(0x48250)
4868#define BLC_PWM_CPU_CTL _MMIO(0x48254)
7cf41601 4869
f0f59a00 4870#define HSW_BLC_PWM2_CTL _MMIO(0x48350)
be256dc7 4871
7cf41601
DV
4872/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
4873 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
f0f59a00 4874#define BLC_PWM_PCH_CTL1 _MMIO(0xc8250)
4b4147c3 4875#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
4876#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
4877#define BLM_PCH_POLARITY (1 << 29)
f0f59a00 4878#define BLC_PWM_PCH_CTL2 _MMIO(0xc8254)
7cf41601 4879
f0f59a00 4880#define UTIL_PIN_CTL _MMIO(0x48400)
be256dc7
PZ
4881#define UTIL_PIN_ENABLE (1 << 31)
4882
022e4e52
SK
4883#define UTIL_PIN_PIPE(x) ((x) << 29)
4884#define UTIL_PIN_PIPE_MASK (3 << 29)
4885#define UTIL_PIN_MODE_PWM (1 << 24)
4886#define UTIL_PIN_MODE_MASK (0xf << 24)
4887#define UTIL_PIN_POLARITY (1 << 22)
4888
0fb890c0 4889/* BXT backlight register definition. */
022e4e52 4890#define _BXT_BLC_PWM_CTL1 0xC8250
0fb890c0
VK
4891#define BXT_BLC_PWM_ENABLE (1 << 31)
4892#define BXT_BLC_PWM_POLARITY (1 << 29)
022e4e52
SK
4893#define _BXT_BLC_PWM_FREQ1 0xC8254
4894#define _BXT_BLC_PWM_DUTY1 0xC8258
4895
4896#define _BXT_BLC_PWM_CTL2 0xC8350
4897#define _BXT_BLC_PWM_FREQ2 0xC8354
4898#define _BXT_BLC_PWM_DUTY2 0xC8358
4899
f0f59a00 4900#define BXT_BLC_PWM_CTL(controller) _MMIO_PIPE(controller, \
022e4e52 4901 _BXT_BLC_PWM_CTL1, _BXT_BLC_PWM_CTL2)
f0f59a00 4902#define BXT_BLC_PWM_FREQ(controller) _MMIO_PIPE(controller, \
022e4e52 4903 _BXT_BLC_PWM_FREQ1, _BXT_BLC_PWM_FREQ2)
f0f59a00 4904#define BXT_BLC_PWM_DUTY(controller) _MMIO_PIPE(controller, \
022e4e52 4905 _BXT_BLC_PWM_DUTY1, _BXT_BLC_PWM_DUTY2)
0fb890c0 4906
f0f59a00 4907#define PCH_GTC_CTL _MMIO(0xe7000)
be256dc7
PZ
4908#define PCH_GTC_ENABLE (1 << 31)
4909
585fb111 4910/* TV port control */
f0f59a00 4911#define TV_CTL _MMIO(0x68000)
646b4269 4912/* Enables the TV encoder */
585fb111 4913# define TV_ENC_ENABLE (1 << 31)
646b4269 4914/* Sources the TV encoder input from pipe B instead of A. */
4add0f6b
VS
4915# define TV_ENC_PIPE_SEL_SHIFT 30
4916# define TV_ENC_PIPE_SEL_MASK (1 << 30)
4917# define TV_ENC_PIPE_SEL(pipe) ((pipe) << 30)
646b4269 4918/* Outputs composite video (DAC A only) */
585fb111 4919# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
646b4269 4920/* Outputs SVideo video (DAC B/C) */
585fb111 4921# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
646b4269 4922/* Outputs Component video (DAC A/B/C) */
585fb111 4923# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
646b4269 4924/* Outputs Composite and SVideo (DAC A/B/C) */
585fb111
JB
4925# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
4926# define TV_TRILEVEL_SYNC (1 << 21)
646b4269 4927/* Enables slow sync generation (945GM only) */
585fb111 4928# define TV_SLOW_SYNC (1 << 20)
646b4269 4929/* Selects 4x oversampling for 480i and 576p */
585fb111 4930# define TV_OVERSAMPLE_4X (0 << 18)
646b4269 4931/* Selects 2x oversampling for 720p and 1080i */
585fb111 4932# define TV_OVERSAMPLE_2X (1 << 18)
646b4269 4933/* Selects no oversampling for 1080p */
585fb111 4934# define TV_OVERSAMPLE_NONE (2 << 18)
646b4269 4935/* Selects 8x oversampling */
585fb111 4936# define TV_OVERSAMPLE_8X (3 << 18)
e3bb355c 4937# define TV_OVERSAMPLE_MASK (3 << 18)
646b4269 4938/* Selects progressive mode rather than interlaced */
585fb111 4939# define TV_PROGRESSIVE (1 << 17)
646b4269 4940/* Sets the colorburst to PAL mode. Required for non-M PAL modes. */
585fb111 4941# define TV_PAL_BURST (1 << 16)
646b4269 4942/* Field for setting delay of Y compared to C */
585fb111 4943# define TV_YC_SKEW_MASK (7 << 12)
646b4269 4944/* Enables a fix for 480p/576p standard definition modes on the 915GM only */
585fb111 4945# define TV_ENC_SDP_FIX (1 << 11)
646b4269 4946/*
585fb111
JB
4947 * Enables a fix for the 915GM only.
4948 *
4949 * Not sure what it does.
4950 */
4951# define TV_ENC_C0_FIX (1 << 10)
646b4269 4952/* Bits that must be preserved by software */
d2d9f232 4953# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111 4954# define TV_FUSE_STATE_MASK (3 << 4)
646b4269 4955/* Read-only state that reports all features enabled */
585fb111 4956# define TV_FUSE_STATE_ENABLED (0 << 4)
646b4269 4957/* Read-only state that reports that Macrovision is disabled in hardware*/
585fb111 4958# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
646b4269 4959/* Read-only state that reports that TV-out is disabled in hardware. */
585fb111 4960# define TV_FUSE_STATE_DISABLED (2 << 4)
646b4269 4961/* Normal operation */
585fb111 4962# define TV_TEST_MODE_NORMAL (0 << 0)
646b4269 4963/* Encoder test pattern 1 - combo pattern */
585fb111 4964# define TV_TEST_MODE_PATTERN_1 (1 << 0)
646b4269 4965/* Encoder test pattern 2 - full screen vertical 75% color bars */
585fb111 4966# define TV_TEST_MODE_PATTERN_2 (2 << 0)
646b4269 4967/* Encoder test pattern 3 - full screen horizontal 75% color bars */
585fb111 4968# define TV_TEST_MODE_PATTERN_3 (3 << 0)
646b4269 4969/* Encoder test pattern 4 - random noise */
585fb111 4970# define TV_TEST_MODE_PATTERN_4 (4 << 0)
646b4269 4971/* Encoder test pattern 5 - linear color ramps */
585fb111 4972# define TV_TEST_MODE_PATTERN_5 (5 << 0)
646b4269 4973/*
585fb111
JB
4974 * This test mode forces the DACs to 50% of full output.
4975 *
4976 * This is used for load detection in combination with TVDAC_SENSE_MASK
4977 */
4978# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
4979# define TV_TEST_MODE_MASK (7 << 0)
4980
f0f59a00 4981#define TV_DAC _MMIO(0x68004)
b8ed2a4f 4982# define TV_DAC_SAVE 0x00ffff00
646b4269 4983/*
585fb111
JB
4984 * Reports that DAC state change logic has reported change (RO).
4985 *
4986 * This gets cleared when TV_DAC_STATE_EN is cleared
4987*/
4988# define TVDAC_STATE_CHG (1 << 31)
4989# define TVDAC_SENSE_MASK (7 << 28)
646b4269 4990/* Reports that DAC A voltage is above the detect threshold */
585fb111 4991# define TVDAC_A_SENSE (1 << 30)
646b4269 4992/* Reports that DAC B voltage is above the detect threshold */
585fb111 4993# define TVDAC_B_SENSE (1 << 29)
646b4269 4994/* Reports that DAC C voltage is above the detect threshold */
585fb111 4995# define TVDAC_C_SENSE (1 << 28)
646b4269 4996/*
585fb111
JB
4997 * Enables DAC state detection logic, for load-based TV detection.
4998 *
4999 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
5000 * to off, for load detection to work.
5001 */
5002# define TVDAC_STATE_CHG_EN (1 << 27)
646b4269 5003/* Sets the DAC A sense value to high */
585fb111 5004# define TVDAC_A_SENSE_CTL (1 << 26)
646b4269 5005/* Sets the DAC B sense value to high */
585fb111 5006# define TVDAC_B_SENSE_CTL (1 << 25)
646b4269 5007/* Sets the DAC C sense value to high */
585fb111 5008# define TVDAC_C_SENSE_CTL (1 << 24)
646b4269 5009/* Overrides the ENC_ENABLE and DAC voltage levels */
585fb111 5010# define DAC_CTL_OVERRIDE (1 << 7)
646b4269 5011/* Sets the slew rate. Must be preserved in software */
585fb111
JB
5012# define ENC_TVDAC_SLEW_FAST (1 << 6)
5013# define DAC_A_1_3_V (0 << 4)
5014# define DAC_A_1_1_V (1 << 4)
5015# define DAC_A_0_7_V (2 << 4)
cb66c692 5016# define DAC_A_MASK (3 << 4)
585fb111
JB
5017# define DAC_B_1_3_V (0 << 2)
5018# define DAC_B_1_1_V (1 << 2)
5019# define DAC_B_0_7_V (2 << 2)
cb66c692 5020# define DAC_B_MASK (3 << 2)
585fb111
JB
5021# define DAC_C_1_3_V (0 << 0)
5022# define DAC_C_1_1_V (1 << 0)
5023# define DAC_C_0_7_V (2 << 0)
cb66c692 5024# define DAC_C_MASK (3 << 0)
585fb111 5025
646b4269 5026/*
585fb111
JB
5027 * CSC coefficients are stored in a floating point format with 9 bits of
5028 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
5029 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
5030 * -1 (0x3) being the only legal negative value.
5031 */
f0f59a00 5032#define TV_CSC_Y _MMIO(0x68010)
585fb111
JB
5033# define TV_RY_MASK 0x07ff0000
5034# define TV_RY_SHIFT 16
5035# define TV_GY_MASK 0x00000fff
5036# define TV_GY_SHIFT 0
5037
f0f59a00 5038#define TV_CSC_Y2 _MMIO(0x68014)
585fb111
JB
5039# define TV_BY_MASK 0x07ff0000
5040# define TV_BY_SHIFT 16
646b4269 5041/*
585fb111
JB
5042 * Y attenuation for component video.
5043 *
5044 * Stored in 1.9 fixed point.
5045 */
5046# define TV_AY_MASK 0x000003ff
5047# define TV_AY_SHIFT 0
5048
f0f59a00 5049#define TV_CSC_U _MMIO(0x68018)
585fb111
JB
5050# define TV_RU_MASK 0x07ff0000
5051# define TV_RU_SHIFT 16
5052# define TV_GU_MASK 0x000007ff
5053# define TV_GU_SHIFT 0
5054
f0f59a00 5055#define TV_CSC_U2 _MMIO(0x6801c)
585fb111
JB
5056# define TV_BU_MASK 0x07ff0000
5057# define TV_BU_SHIFT 16
646b4269 5058/*
585fb111
JB
5059 * U attenuation for component video.
5060 *
5061 * Stored in 1.9 fixed point.
5062 */
5063# define TV_AU_MASK 0x000003ff
5064# define TV_AU_SHIFT 0
5065
f0f59a00 5066#define TV_CSC_V _MMIO(0x68020)
585fb111
JB
5067# define TV_RV_MASK 0x0fff0000
5068# define TV_RV_SHIFT 16
5069# define TV_GV_MASK 0x000007ff
5070# define TV_GV_SHIFT 0
5071
f0f59a00 5072#define TV_CSC_V2 _MMIO(0x68024)
585fb111
JB
5073# define TV_BV_MASK 0x07ff0000
5074# define TV_BV_SHIFT 16
646b4269 5075/*
585fb111
JB
5076 * V attenuation for component video.
5077 *
5078 * Stored in 1.9 fixed point.
5079 */
5080# define TV_AV_MASK 0x000007ff
5081# define TV_AV_SHIFT 0
5082
f0f59a00 5083#define TV_CLR_KNOBS _MMIO(0x68028)
646b4269 5084/* 2s-complement brightness adjustment */
585fb111
JB
5085# define TV_BRIGHTNESS_MASK 0xff000000
5086# define TV_BRIGHTNESS_SHIFT 24
646b4269 5087/* Contrast adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5088# define TV_CONTRAST_MASK 0x00ff0000
5089# define TV_CONTRAST_SHIFT 16
646b4269 5090/* Saturation adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5091# define TV_SATURATION_MASK 0x0000ff00
5092# define TV_SATURATION_SHIFT 8
646b4269 5093/* Hue adjustment, as an integer phase angle in degrees */
585fb111
JB
5094# define TV_HUE_MASK 0x000000ff
5095# define TV_HUE_SHIFT 0
5096
f0f59a00 5097#define TV_CLR_LEVEL _MMIO(0x6802c)
646b4269 5098/* Controls the DAC level for black */
585fb111
JB
5099# define TV_BLACK_LEVEL_MASK 0x01ff0000
5100# define TV_BLACK_LEVEL_SHIFT 16
646b4269 5101/* Controls the DAC level for blanking */
585fb111
JB
5102# define TV_BLANK_LEVEL_MASK 0x000001ff
5103# define TV_BLANK_LEVEL_SHIFT 0
5104
f0f59a00 5105#define TV_H_CTL_1 _MMIO(0x68030)
646b4269 5106/* Number of pixels in the hsync. */
585fb111
JB
5107# define TV_HSYNC_END_MASK 0x1fff0000
5108# define TV_HSYNC_END_SHIFT 16
646b4269 5109/* Total number of pixels minus one in the line (display and blanking). */
585fb111
JB
5110# define TV_HTOTAL_MASK 0x00001fff
5111# define TV_HTOTAL_SHIFT 0
5112
f0f59a00 5113#define TV_H_CTL_2 _MMIO(0x68034)
646b4269 5114/* Enables the colorburst (needed for non-component color) */
585fb111 5115# define TV_BURST_ENA (1 << 31)
646b4269 5116/* Offset of the colorburst from the start of hsync, in pixels minus one. */
585fb111
JB
5117# define TV_HBURST_START_SHIFT 16
5118# define TV_HBURST_START_MASK 0x1fff0000
646b4269 5119/* Length of the colorburst */
585fb111
JB
5120# define TV_HBURST_LEN_SHIFT 0
5121# define TV_HBURST_LEN_MASK 0x0001fff
5122
f0f59a00 5123#define TV_H_CTL_3 _MMIO(0x68038)
646b4269 5124/* End of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5125# define TV_HBLANK_END_SHIFT 16
5126# define TV_HBLANK_END_MASK 0x1fff0000
646b4269 5127/* Start of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5128# define TV_HBLANK_START_SHIFT 0
5129# define TV_HBLANK_START_MASK 0x0001fff
5130
f0f59a00 5131#define TV_V_CTL_1 _MMIO(0x6803c)
646b4269 5132/* XXX */
585fb111
JB
5133# define TV_NBR_END_SHIFT 16
5134# define TV_NBR_END_MASK 0x07ff0000
646b4269 5135/* XXX */
585fb111
JB
5136# define TV_VI_END_F1_SHIFT 8
5137# define TV_VI_END_F1_MASK 0x00003f00
646b4269 5138/* XXX */
585fb111
JB
5139# define TV_VI_END_F2_SHIFT 0
5140# define TV_VI_END_F2_MASK 0x0000003f
5141
f0f59a00 5142#define TV_V_CTL_2 _MMIO(0x68040)
646b4269 5143/* Length of vsync, in half lines */
585fb111
JB
5144# define TV_VSYNC_LEN_MASK 0x07ff0000
5145# define TV_VSYNC_LEN_SHIFT 16
646b4269 5146/* Offset of the start of vsync in field 1, measured in one less than the
585fb111
JB
5147 * number of half lines.
5148 */
5149# define TV_VSYNC_START_F1_MASK 0x00007f00
5150# define TV_VSYNC_START_F1_SHIFT 8
646b4269 5151/*
585fb111
JB
5152 * Offset of the start of vsync in field 2, measured in one less than the
5153 * number of half lines.
5154 */
5155# define TV_VSYNC_START_F2_MASK 0x0000007f
5156# define TV_VSYNC_START_F2_SHIFT 0
5157
f0f59a00 5158#define TV_V_CTL_3 _MMIO(0x68044)
646b4269 5159/* Enables generation of the equalization signal */
585fb111 5160# define TV_EQUAL_ENA (1 << 31)
646b4269 5161/* Length of vsync, in half lines */
585fb111
JB
5162# define TV_VEQ_LEN_MASK 0x007f0000
5163# define TV_VEQ_LEN_SHIFT 16
646b4269 5164/* Offset of the start of equalization in field 1, measured in one less than
585fb111
JB
5165 * the number of half lines.
5166 */
5167# define TV_VEQ_START_F1_MASK 0x0007f00
5168# define TV_VEQ_START_F1_SHIFT 8
646b4269 5169/*
585fb111
JB
5170 * Offset of the start of equalization in field 2, measured in one less than
5171 * the number of half lines.
5172 */
5173# define TV_VEQ_START_F2_MASK 0x000007f
5174# define TV_VEQ_START_F2_SHIFT 0
5175
f0f59a00 5176#define TV_V_CTL_4 _MMIO(0x68048)
646b4269 5177/*
585fb111
JB
5178 * Offset to start of vertical colorburst, measured in one less than the
5179 * number of lines from vertical start.
5180 */
5181# define TV_VBURST_START_F1_MASK 0x003f0000
5182# define TV_VBURST_START_F1_SHIFT 16
646b4269 5183/*
585fb111
JB
5184 * Offset to the end of vertical colorburst, measured in one less than the
5185 * number of lines from the start of NBR.
5186 */
5187# define TV_VBURST_END_F1_MASK 0x000000ff
5188# define TV_VBURST_END_F1_SHIFT 0
5189
f0f59a00 5190#define TV_V_CTL_5 _MMIO(0x6804c)
646b4269 5191/*
585fb111
JB
5192 * Offset to start of vertical colorburst, measured in one less than the
5193 * number of lines from vertical start.
5194 */
5195# define TV_VBURST_START_F2_MASK 0x003f0000
5196# define TV_VBURST_START_F2_SHIFT 16
646b4269 5197/*
585fb111
JB
5198 * Offset to the end of vertical colorburst, measured in one less than the
5199 * number of lines from the start of NBR.
5200 */
5201# define TV_VBURST_END_F2_MASK 0x000000ff
5202# define TV_VBURST_END_F2_SHIFT 0
5203
f0f59a00 5204#define TV_V_CTL_6 _MMIO(0x68050)
646b4269 5205/*
585fb111
JB
5206 * Offset to start of vertical colorburst, measured in one less than the
5207 * number of lines from vertical start.
5208 */
5209# define TV_VBURST_START_F3_MASK 0x003f0000
5210# define TV_VBURST_START_F3_SHIFT 16
646b4269 5211/*
585fb111
JB
5212 * Offset to the end of vertical colorburst, measured in one less than the
5213 * number of lines from the start of NBR.
5214 */
5215# define TV_VBURST_END_F3_MASK 0x000000ff
5216# define TV_VBURST_END_F3_SHIFT 0
5217
f0f59a00 5218#define TV_V_CTL_7 _MMIO(0x68054)
646b4269 5219/*
585fb111
JB
5220 * Offset to start of vertical colorburst, measured in one less than the
5221 * number of lines from vertical start.
5222 */
5223# define TV_VBURST_START_F4_MASK 0x003f0000
5224# define TV_VBURST_START_F4_SHIFT 16
646b4269 5225/*
585fb111
JB
5226 * Offset to the end of vertical colorburst, measured in one less than the
5227 * number of lines from the start of NBR.
5228 */
5229# define TV_VBURST_END_F4_MASK 0x000000ff
5230# define TV_VBURST_END_F4_SHIFT 0
5231
f0f59a00 5232#define TV_SC_CTL_1 _MMIO(0x68060)
646b4269 5233/* Turns on the first subcarrier phase generation DDA */
585fb111 5234# define TV_SC_DDA1_EN (1 << 31)
646b4269 5235/* Turns on the first subcarrier phase generation DDA */
585fb111 5236# define TV_SC_DDA2_EN (1 << 30)
646b4269 5237/* Turns on the first subcarrier phase generation DDA */
585fb111 5238# define TV_SC_DDA3_EN (1 << 29)
646b4269 5239/* Sets the subcarrier DDA to reset frequency every other field */
585fb111 5240# define TV_SC_RESET_EVERY_2 (0 << 24)
646b4269 5241/* Sets the subcarrier DDA to reset frequency every fourth field */
585fb111 5242# define TV_SC_RESET_EVERY_4 (1 << 24)
646b4269 5243/* Sets the subcarrier DDA to reset frequency every eighth field */
585fb111 5244# define TV_SC_RESET_EVERY_8 (2 << 24)
646b4269 5245/* Sets the subcarrier DDA to never reset the frequency */
585fb111 5246# define TV_SC_RESET_NEVER (3 << 24)
646b4269 5247/* Sets the peak amplitude of the colorburst.*/
585fb111
JB
5248# define TV_BURST_LEVEL_MASK 0x00ff0000
5249# define TV_BURST_LEVEL_SHIFT 16
646b4269 5250/* Sets the increment of the first subcarrier phase generation DDA */
585fb111
JB
5251# define TV_SCDDA1_INC_MASK 0x00000fff
5252# define TV_SCDDA1_INC_SHIFT 0
5253
f0f59a00 5254#define TV_SC_CTL_2 _MMIO(0x68064)
646b4269 5255/* Sets the rollover for the second subcarrier phase generation DDA */
585fb111
JB
5256# define TV_SCDDA2_SIZE_MASK 0x7fff0000
5257# define TV_SCDDA2_SIZE_SHIFT 16
646b4269 5258/* Sets the increent of the second subcarrier phase generation DDA */
585fb111
JB
5259# define TV_SCDDA2_INC_MASK 0x00007fff
5260# define TV_SCDDA2_INC_SHIFT 0
5261
f0f59a00 5262#define TV_SC_CTL_3 _MMIO(0x68068)
646b4269 5263/* Sets the rollover for the third subcarrier phase generation DDA */
585fb111
JB
5264# define TV_SCDDA3_SIZE_MASK 0x7fff0000
5265# define TV_SCDDA3_SIZE_SHIFT 16
646b4269 5266/* Sets the increent of the third subcarrier phase generation DDA */
585fb111
JB
5267# define TV_SCDDA3_INC_MASK 0x00007fff
5268# define TV_SCDDA3_INC_SHIFT 0
5269
f0f59a00 5270#define TV_WIN_POS _MMIO(0x68070)
646b4269 5271/* X coordinate of the display from the start of horizontal active */
585fb111
JB
5272# define TV_XPOS_MASK 0x1fff0000
5273# define TV_XPOS_SHIFT 16
646b4269 5274/* Y coordinate of the display from the start of vertical active (NBR) */
585fb111
JB
5275# define TV_YPOS_MASK 0x00000fff
5276# define TV_YPOS_SHIFT 0
5277
f0f59a00 5278#define TV_WIN_SIZE _MMIO(0x68074)
646b4269 5279/* Horizontal size of the display window, measured in pixels*/
585fb111
JB
5280# define TV_XSIZE_MASK 0x1fff0000
5281# define TV_XSIZE_SHIFT 16
646b4269 5282/*
585fb111
JB
5283 * Vertical size of the display window, measured in pixels.
5284 *
5285 * Must be even for interlaced modes.
5286 */
5287# define TV_YSIZE_MASK 0x00000fff
5288# define TV_YSIZE_SHIFT 0
5289
f0f59a00 5290#define TV_FILTER_CTL_1 _MMIO(0x68080)
646b4269 5291/*
585fb111
JB
5292 * Enables automatic scaling calculation.
5293 *
5294 * If set, the rest of the registers are ignored, and the calculated values can
5295 * be read back from the register.
5296 */
5297# define TV_AUTO_SCALE (1 << 31)
646b4269 5298/*
585fb111
JB
5299 * Disables the vertical filter.
5300 *
5301 * This is required on modes more than 1024 pixels wide */
5302# define TV_V_FILTER_BYPASS (1 << 29)
646b4269 5303/* Enables adaptive vertical filtering */
585fb111
JB
5304# define TV_VADAPT (1 << 28)
5305# define TV_VADAPT_MODE_MASK (3 << 26)
646b4269 5306/* Selects the least adaptive vertical filtering mode */
585fb111 5307# define TV_VADAPT_MODE_LEAST (0 << 26)
646b4269 5308/* Selects the moderately adaptive vertical filtering mode */
585fb111 5309# define TV_VADAPT_MODE_MODERATE (1 << 26)
646b4269 5310/* Selects the most adaptive vertical filtering mode */
585fb111 5311# define TV_VADAPT_MODE_MOST (3 << 26)
646b4269 5312/*
585fb111
JB
5313 * Sets the horizontal scaling factor.
5314 *
5315 * This should be the fractional part of the horizontal scaling factor divided
5316 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
5317 *
5318 * (src width - 1) / ((oversample * dest width) - 1)
5319 */
5320# define TV_HSCALE_FRAC_MASK 0x00003fff
5321# define TV_HSCALE_FRAC_SHIFT 0
5322
f0f59a00 5323#define TV_FILTER_CTL_2 _MMIO(0x68084)
646b4269 5324/*
585fb111
JB
5325 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5326 *
5327 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
5328 */
5329# define TV_VSCALE_INT_MASK 0x00038000
5330# define TV_VSCALE_INT_SHIFT 15
646b4269 5331/*
585fb111
JB
5332 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5333 *
5334 * \sa TV_VSCALE_INT_MASK
5335 */
5336# define TV_VSCALE_FRAC_MASK 0x00007fff
5337# define TV_VSCALE_FRAC_SHIFT 0
5338
f0f59a00 5339#define TV_FILTER_CTL_3 _MMIO(0x68088)
646b4269 5340/*
585fb111
JB
5341 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5342 *
5343 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
5344 *
5345 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5346 */
5347# define TV_VSCALE_IP_INT_MASK 0x00038000
5348# define TV_VSCALE_IP_INT_SHIFT 15
646b4269 5349/*
585fb111
JB
5350 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5351 *
5352 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5353 *
5354 * \sa TV_VSCALE_IP_INT_MASK
5355 */
5356# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
5357# define TV_VSCALE_IP_FRAC_SHIFT 0
5358
f0f59a00 5359#define TV_CC_CONTROL _MMIO(0x68090)
585fb111 5360# define TV_CC_ENABLE (1 << 31)
646b4269 5361/*
585fb111
JB
5362 * Specifies which field to send the CC data in.
5363 *
5364 * CC data is usually sent in field 0.
5365 */
5366# define TV_CC_FID_MASK (1 << 27)
5367# define TV_CC_FID_SHIFT 27
646b4269 5368/* Sets the horizontal position of the CC data. Usually 135. */
585fb111
JB
5369# define TV_CC_HOFF_MASK 0x03ff0000
5370# define TV_CC_HOFF_SHIFT 16
646b4269 5371/* Sets the vertical position of the CC data. Usually 21 */
585fb111
JB
5372# define TV_CC_LINE_MASK 0x0000003f
5373# define TV_CC_LINE_SHIFT 0
5374
f0f59a00 5375#define TV_CC_DATA _MMIO(0x68094)
585fb111 5376# define TV_CC_RDY (1 << 31)
646b4269 5377/* Second word of CC data to be transmitted. */
585fb111
JB
5378# define TV_CC_DATA_2_MASK 0x007f0000
5379# define TV_CC_DATA_2_SHIFT 16
646b4269 5380/* First word of CC data to be transmitted. */
585fb111
JB
5381# define TV_CC_DATA_1_MASK 0x0000007f
5382# define TV_CC_DATA_1_SHIFT 0
5383
f0f59a00
VS
5384#define TV_H_LUMA(i) _MMIO(0x68100 + (i) * 4) /* 60 registers */
5385#define TV_H_CHROMA(i) _MMIO(0x68200 + (i) * 4) /* 60 registers */
5386#define TV_V_LUMA(i) _MMIO(0x68300 + (i) * 4) /* 43 registers */
5387#define TV_V_CHROMA(i) _MMIO(0x68400 + (i) * 4) /* 43 registers */
585fb111 5388
040d87f1 5389/* Display Port */
f0f59a00
VS
5390#define DP_A _MMIO(0x64000) /* eDP */
5391#define DP_B _MMIO(0x64100)
5392#define DP_C _MMIO(0x64200)
5393#define DP_D _MMIO(0x64300)
040d87f1 5394
f0f59a00
VS
5395#define VLV_DP_B _MMIO(VLV_DISPLAY_BASE + 0x64100)
5396#define VLV_DP_C _MMIO(VLV_DISPLAY_BASE + 0x64200)
5397#define CHV_DP_D _MMIO(VLV_DISPLAY_BASE + 0x64300)
e66eb81d 5398
040d87f1 5399#define DP_PORT_EN (1 << 31)
59b74c49
VS
5400#define DP_PIPE_SEL_SHIFT 30
5401#define DP_PIPE_SEL_MASK (1 << 30)
5402#define DP_PIPE_SEL(pipe) ((pipe) << 30)
5403#define DP_PIPE_SEL_SHIFT_IVB 29
5404#define DP_PIPE_SEL_MASK_IVB (3 << 29)
5405#define DP_PIPE_SEL_IVB(pipe) ((pipe) << 29)
5406#define DP_PIPE_SEL_SHIFT_CHV 16
5407#define DP_PIPE_SEL_MASK_CHV (3 << 16)
5408#define DP_PIPE_SEL_CHV(pipe) ((pipe) << 16)
47a05eca 5409
040d87f1
KP
5410/* Link training mode - select a suitable mode for each stage */
5411#define DP_LINK_TRAIN_PAT_1 (0 << 28)
5412#define DP_LINK_TRAIN_PAT_2 (1 << 28)
5413#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
5414#define DP_LINK_TRAIN_OFF (3 << 28)
5415#define DP_LINK_TRAIN_MASK (3 << 28)
5416#define DP_LINK_TRAIN_SHIFT 28
5417
8db9d77b
ZW
5418/* CPT Link training mode */
5419#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
5420#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
5421#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
5422#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
5423#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
5424#define DP_LINK_TRAIN_SHIFT_CPT 8
5425
040d87f1
KP
5426/* Signal voltages. These are mostly controlled by the other end */
5427#define DP_VOLTAGE_0_4 (0 << 25)
5428#define DP_VOLTAGE_0_6 (1 << 25)
5429#define DP_VOLTAGE_0_8 (2 << 25)
5430#define DP_VOLTAGE_1_2 (3 << 25)
5431#define DP_VOLTAGE_MASK (7 << 25)
5432#define DP_VOLTAGE_SHIFT 25
5433
5434/* Signal pre-emphasis levels, like voltages, the other end tells us what
5435 * they want
5436 */
5437#define DP_PRE_EMPHASIS_0 (0 << 22)
5438#define DP_PRE_EMPHASIS_3_5 (1 << 22)
5439#define DP_PRE_EMPHASIS_6 (2 << 22)
5440#define DP_PRE_EMPHASIS_9_5 (3 << 22)
5441#define DP_PRE_EMPHASIS_MASK (7 << 22)
5442#define DP_PRE_EMPHASIS_SHIFT 22
5443
5444/* How many wires to use. I guess 3 was too hard */
17aa6be9 5445#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1 5446#define DP_PORT_WIDTH_MASK (7 << 19)
90a6b7b0 5447#define DP_PORT_WIDTH_SHIFT 19
040d87f1
KP
5448
5449/* Mystic DPCD version 1.1 special mode */
5450#define DP_ENHANCED_FRAMING (1 << 18)
5451
32f9d658
ZW
5452/* eDP */
5453#define DP_PLL_FREQ_270MHZ (0 << 16)
b377e0df 5454#define DP_PLL_FREQ_162MHZ (1 << 16)
32f9d658
ZW
5455#define DP_PLL_FREQ_MASK (3 << 16)
5456
646b4269 5457/* locked once port is enabled */
040d87f1
KP
5458#define DP_PORT_REVERSAL (1 << 15)
5459
32f9d658
ZW
5460/* eDP */
5461#define DP_PLL_ENABLE (1 << 14)
5462
646b4269 5463/* sends the clock on lane 15 of the PEG for debug */
040d87f1
KP
5464#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
5465
5466#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 5467#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1 5468
646b4269 5469/* limit RGB values to avoid confusing TVs */
040d87f1
KP
5470#define DP_COLOR_RANGE_16_235 (1 << 8)
5471
646b4269 5472/* Turn on the audio link */
040d87f1
KP
5473#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
5474
646b4269 5475/* vs and hs sync polarity */
040d87f1
KP
5476#define DP_SYNC_VS_HIGH (1 << 4)
5477#define DP_SYNC_HS_HIGH (1 << 3)
5478
646b4269 5479/* A fantasy */
040d87f1
KP
5480#define DP_DETECTED (1 << 2)
5481
646b4269 5482/* The aux channel provides a way to talk to the
040d87f1
KP
5483 * signal sink for DDC etc. Max packet size supported
5484 * is 20 bytes in each direction, hence the 5 fixed
5485 * data registers
5486 */
ed5eb1b7
JN
5487#define _DPA_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64010)
5488#define _DPA_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64014)
5489#define _DPA_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64018)
5490#define _DPA_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6401c)
5491#define _DPA_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64020)
5492#define _DPA_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64024)
5493
5494#define _DPB_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64110)
5495#define _DPB_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64114)
5496#define _DPB_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64118)
5497#define _DPB_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6411c)
5498#define _DPB_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64120)
5499#define _DPB_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64124)
5500
5501#define _DPC_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64210)
5502#define _DPC_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64214)
5503#define _DPC_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64218)
5504#define _DPC_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6421c)
5505#define _DPC_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64220)
5506#define _DPC_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64224)
5507
5508#define _DPD_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64310)
5509#define _DPD_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64314)
5510#define _DPD_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64318)
5511#define _DPD_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6431c)
5512#define _DPD_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64320)
5513#define _DPD_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64324)
5514
5515#define _DPE_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64410)
5516#define _DPE_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64414)
5517#define _DPE_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64418)
5518#define _DPE_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6441c)
5519#define _DPE_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64420)
5520#define _DPE_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64424)
5521
5522#define _DPF_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64510)
5523#define _DPF_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64514)
5524#define _DPF_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64518)
5525#define _DPF_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6451c)
5526#define _DPF_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64520)
5527#define _DPF_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64524)
a324fcac 5528
bdabdb63
VS
5529#define DP_AUX_CH_CTL(aux_ch) _MMIO_PORT(aux_ch, _DPA_AUX_CH_CTL, _DPB_AUX_CH_CTL)
5530#define DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT(aux_ch, _DPA_AUX_CH_DATA1, _DPB_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
040d87f1
KP
5531
5532#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
5533#define DP_AUX_CH_CTL_DONE (1 << 30)
5534#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
5535#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
5536#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
5537#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
5538#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
6fa228ba 5539#define DP_AUX_CH_CTL_TIME_OUT_MAX (3 << 26) /* Varies per platform */
040d87f1
KP
5540#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
5541#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
5542#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
5543#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
5544#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
5545#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
5546#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
5547#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
5548#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
5549#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
5550#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
5551#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
5552#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
e3d99845
SJ
5553#define DP_AUX_CH_CTL_PSR_DATA_AUX_REG_SKL (1 << 14)
5554#define DP_AUX_CH_CTL_FS_DATA_AUX_REG_SKL (1 << 13)
5555#define DP_AUX_CH_CTL_GTC_DATA_AUX_REG_SKL (1 << 12)
6f211ed4 5556#define DP_AUX_CH_CTL_TBT_IO (1 << 11)
395b2913 5557#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL_MASK (0x1f << 5)
e3d99845 5558#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL(c) (((c) - 1) << 5)
b9ca5fad 5559#define DP_AUX_CH_CTL_SYNC_PULSE_SKL(c) ((c) - 1)
040d87f1
KP
5560
5561/*
5562 * Computing GMCH M and N values for the Display Port link
5563 *
5564 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
5565 *
5566 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
5567 *
5568 * The GMCH value is used internally
5569 *
5570 * bytes_per_pixel is the number of bytes coming out of the plane,
5571 * which is after the LUTs, so we want the bytes for our color format.
5572 * For our current usage, this is always 3, one byte for R, G and B.
5573 */
e3b95f1e
DV
5574#define _PIPEA_DATA_M_G4X 0x70050
5575#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
5576
5577/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
5ee8ee86 5578#define TU_SIZE(x) (((x) - 1) << 25) /* default size 64 */
72419203 5579#define TU_SIZE_SHIFT 25
a65851af 5580#define TU_SIZE_MASK (0x3f << 25)
040d87f1 5581
a65851af
VS
5582#define DATA_LINK_M_N_MASK (0xffffff)
5583#define DATA_LINK_N_MAX (0x800000)
040d87f1 5584
e3b95f1e
DV
5585#define _PIPEA_DATA_N_G4X 0x70054
5586#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
5587#define PIPE_GMCH_DATA_N_MASK (0xffffff)
5588
5589/*
5590 * Computing Link M and N values for the Display Port link
5591 *
5592 * Link M / N = pixel_clock / ls_clk
5593 *
5594 * (the DP spec calls pixel_clock the 'strm_clk')
5595 *
5596 * The Link value is transmitted in the Main Stream
5597 * Attributes and VB-ID.
5598 */
5599
e3b95f1e
DV
5600#define _PIPEA_LINK_M_G4X 0x70060
5601#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
5602#define PIPEA_DP_LINK_M_MASK (0xffffff)
5603
e3b95f1e
DV
5604#define _PIPEA_LINK_N_G4X 0x70064
5605#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
5606#define PIPEA_DP_LINK_N_MASK (0xffffff)
5607
f0f59a00
VS
5608#define PIPE_DATA_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
5609#define PIPE_DATA_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
5610#define PIPE_LINK_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
5611#define PIPE_LINK_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 5612
585fb111
JB
5613/* Display & cursor control */
5614
5615/* Pipe A */
a57c774a 5616#define _PIPEADSL 0x70000
837ba00f
PZ
5617#define DSL_LINEMASK_GEN2 0x00000fff
5618#define DSL_LINEMASK_GEN3 0x00001fff
a57c774a 5619#define _PIPEACONF 0x70008
5ee8ee86 5620#define PIPECONF_ENABLE (1 << 31)
5eddb70b 5621#define PIPECONF_DISABLE 0
5ee8ee86
PZ
5622#define PIPECONF_DOUBLE_WIDE (1 << 30)
5623#define I965_PIPECONF_ACTIVE (1 << 30)
5624#define PIPECONF_DSI_PLL_LOCKED (1 << 29) /* vlv & pipe A only */
5625#define PIPECONF_FRAME_START_DELAY_MASK (3 << 27)
5eddb70b
CW
5626#define PIPECONF_SINGLE_WIDE 0
5627#define PIPECONF_PIPE_UNLOCKED 0
5ee8ee86 5628#define PIPECONF_PIPE_LOCKED (1 << 25)
5ee8ee86 5629#define PIPECONF_FORCE_BORDER (1 << 25)
9d5441de
VS
5630#define PIPECONF_GAMMA_MODE_MASK_I9XX (1 << 24) /* gmch */
5631#define PIPECONF_GAMMA_MODE_MASK_ILK (3 << 24) /* ilk-ivb */
5632#define PIPECONF_GAMMA_MODE_8BIT (0 << 24) /* gmch,ilk-ivb */
5633#define PIPECONF_GAMMA_MODE_10BIT (1 << 24) /* gmch,ilk-ivb */
5634#define PIPECONF_GAMMA_MODE_12BIT (2 << 24) /* ilk-ivb */
5635#define PIPECONF_GAMMA_MODE_SPLIT (3 << 24) /* ivb */
5636#define PIPECONF_GAMMA_MODE(x) ((x) << 24) /* pass in GAMMA_MODE_MODE_* */
5637#define PIPECONF_GAMMA_MODE_SHIFT 24
59df7b17 5638#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 5639#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
5640/* Note that pre-gen3 does not support interlaced display directly. Panel
5641 * fitting must be disabled on pre-ilk for interlaced. */
5642#define PIPECONF_PROGRESSIVE (0 << 21)
5643#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
5644#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
5645#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
5646#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
5647/* Ironlake and later have a complete new set of values for interlaced. PFIT
5648 * means panel fitter required, PF means progressive fetch, DBL means power
5649 * saving pixel doubling. */
5650#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
5651#define PIPECONF_INTERLACED_ILK (3 << 21)
5652#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
5653#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 5654#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
439d7ac0 5655#define PIPECONF_EDP_RR_MODE_SWITCH (1 << 20)
5ee8ee86 5656#define PIPECONF_CXSR_DOWNCLOCK (1 << 16)
6fa7aec1 5657#define PIPECONF_EDP_RR_MODE_SWITCH_VLV (1 << 14)
3685a8f3 5658#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72 5659#define PIPECONF_BPC_MASK (0x7 << 5)
5ee8ee86
PZ
5660#define PIPECONF_8BPC (0 << 5)
5661#define PIPECONF_10BPC (1 << 5)
5662#define PIPECONF_6BPC (2 << 5)
5663#define PIPECONF_12BPC (3 << 5)
5664#define PIPECONF_DITHER_EN (1 << 4)
4f0d1aff 5665#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
5ee8ee86
PZ
5666#define PIPECONF_DITHER_TYPE_SP (0 << 2)
5667#define PIPECONF_DITHER_TYPE_ST1 (1 << 2)
5668#define PIPECONF_DITHER_TYPE_ST2 (2 << 2)
5669#define PIPECONF_DITHER_TYPE_TEMP (3 << 2)
a57c774a 5670#define _PIPEASTAT 0x70024
5ee8ee86
PZ
5671#define PIPE_FIFO_UNDERRUN_STATUS (1UL << 31)
5672#define SPRITE1_FLIP_DONE_INT_EN_VLV (1UL << 30)
5673#define PIPE_CRC_ERROR_ENABLE (1UL << 29)
5674#define PIPE_CRC_DONE_ENABLE (1UL << 28)
5675#define PERF_COUNTER2_INTERRUPT_EN (1UL << 27)
5676#define PIPE_GMBUS_EVENT_ENABLE (1UL << 27)
5677#define PLANE_FLIP_DONE_INT_EN_VLV (1UL << 26)
5678#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL << 26)
5679#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL << 25)
5680#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL << 24)
5681#define PIPE_DPST_EVENT_ENABLE (1UL << 23)
5682#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL << 22)
5683#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL << 22)
5684#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL << 21)
5685#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL << 20)
5686#define PIPE_B_PSR_INTERRUPT_ENABLE_VLV (1UL << 19)
5687#define PERF_COUNTER_INTERRUPT_EN (1UL << 19)
5688#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL << 18) /* pre-965 */
5689#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL << 18) /* 965 or later */
5690#define PIPE_FRAMESTART_INTERRUPT_ENABLE (1UL << 17)
5691#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL << 17)
5692#define PIPEA_HBLANK_INT_EN_VLV (1UL << 16)
5693#define PIPE_OVERLAY_UPDATED_ENABLE (1UL << 16)
5694#define SPRITE1_FLIP_DONE_INT_STATUS_VLV (1UL << 15)
5695#define SPRITE0_FLIP_DONE_INT_STATUS_VLV (1UL << 14)
5696#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL << 13)
5697#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL << 12)
5698#define PERF_COUNTER2_INTERRUPT_STATUS (1UL << 11)
5699#define PIPE_GMBUS_INTERRUPT_STATUS (1UL << 11)
5700#define PLANE_FLIP_DONE_INT_STATUS_VLV (1UL << 10)
5701#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL << 10)
5702#define PIPE_VSYNC_INTERRUPT_STATUS (1UL << 9)
5703#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL << 8)
5704#define PIPE_DPST_EVENT_STATUS (1UL << 7)
5705#define PIPE_A_PSR_STATUS_VLV (1UL << 6)
5706#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL << 6)
5707#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL << 5)
5708#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL << 4)
5709#define PIPE_B_PSR_STATUS_VLV (1UL << 3)
5710#define PERF_COUNTER_INTERRUPT_STATUS (1UL << 3)
5711#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL << 2) /* pre-965 */
5712#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL << 2) /* 965 or later */
5713#define PIPE_FRAMESTART_INTERRUPT_STATUS (1UL << 1)
5714#define PIPE_VBLANK_INTERRUPT_STATUS (1UL << 1)
5715#define PIPE_HBLANK_INT_STATUS (1UL << 0)
5716#define PIPE_OVERLAY_UPDATED_STATUS (1UL << 0)
585fb111 5717
755e9019
ID
5718#define PIPESTAT_INT_ENABLE_MASK 0x7fff0000
5719#define PIPESTAT_INT_STATUS_MASK 0x0000ffff
5720
84fd4f4e
RB
5721#define PIPE_A_OFFSET 0x70000
5722#define PIPE_B_OFFSET 0x71000
5723#define PIPE_C_OFFSET 0x72000
f1f1d4fa 5724#define PIPE_D_OFFSET 0x73000
84fd4f4e 5725#define CHV_PIPE_C_OFFSET 0x74000
a57c774a
AK
5726/*
5727 * There's actually no pipe EDP. Some pipe registers have
5728 * simply shifted from the pipe to the transcoder, while
5729 * keeping their original offset. Thus we need PIPE_EDP_OFFSET
5730 * to access such registers in transcoder EDP.
5731 */
5732#define PIPE_EDP_OFFSET 0x7f000
5733
372610f3
MC
5734/* ICL DSI 0 and 1 */
5735#define PIPE_DSI0_OFFSET 0x7b000
5736#define PIPE_DSI1_OFFSET 0x7b800
5737
f0f59a00
VS
5738#define PIPECONF(pipe) _MMIO_PIPE2(pipe, _PIPEACONF)
5739#define PIPEDSL(pipe) _MMIO_PIPE2(pipe, _PIPEADSL)
5740#define PIPEFRAME(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEHIGH)
5741#define PIPEFRAMEPIXEL(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEPIXEL)
5742#define PIPESTAT(pipe) _MMIO_PIPE2(pipe, _PIPEASTAT)
5eddb70b 5743
e262568e
VS
5744#define _PIPEAGCMAX 0x70010
5745#define _PIPEBGCMAX 0x71010
5746#define PIPEGCMAX(pipe, i) _MMIO_PIPE2(pipe, _PIPEAGCMAX + (i) * 4)
5747
756f85cf
PZ
5748#define _PIPE_MISC_A 0x70030
5749#define _PIPE_MISC_B 0x71030
5ee8ee86
PZ
5750#define PIPEMISC_YUV420_ENABLE (1 << 27)
5751#define PIPEMISC_YUV420_MODE_FULL_BLEND (1 << 26)
09b25812 5752#define PIPEMISC_HDR_MODE_PRECISION (1 << 23) /* icl+ */
5ee8ee86
PZ
5753#define PIPEMISC_OUTPUT_COLORSPACE_YUV (1 << 11)
5754#define PIPEMISC_DITHER_BPC_MASK (7 << 5)
5755#define PIPEMISC_DITHER_8_BPC (0 << 5)
5756#define PIPEMISC_DITHER_10_BPC (1 << 5)
5757#define PIPEMISC_DITHER_6_BPC (2 << 5)
5758#define PIPEMISC_DITHER_12_BPC (3 << 5)
5759#define PIPEMISC_DITHER_ENABLE (1 << 4)
5760#define PIPEMISC_DITHER_TYPE_MASK (3 << 2)
5761#define PIPEMISC_DITHER_TYPE_SP (0 << 2)
f0f59a00 5762#define PIPEMISC(pipe) _MMIO_PIPE2(pipe, _PIPE_MISC_A)
756f85cf 5763
c0550305
MR
5764/* Skylake+ pipe bottom (background) color */
5765#define _SKL_BOTTOM_COLOR_A 0x70034
5766#define SKL_BOTTOM_COLOR_GAMMA_ENABLE (1 << 31)
5767#define SKL_BOTTOM_COLOR_CSC_ENABLE (1 << 30)
5768#define SKL_BOTTOM_COLOR(pipe) _MMIO_PIPE2(pipe, _SKL_BOTTOM_COLOR_A)
5769
f0f59a00 5770#define VLV_DPFLIPSTAT _MMIO(VLV_DISPLAY_BASE + 0x70028)
5ee8ee86
PZ
5771#define PIPEB_LINE_COMPARE_INT_EN (1 << 29)
5772#define PIPEB_HLINE_INT_EN (1 << 28)
5773#define PIPEB_VBLANK_INT_EN (1 << 27)
5774#define SPRITED_FLIP_DONE_INT_EN (1 << 26)
5775#define SPRITEC_FLIP_DONE_INT_EN (1 << 25)
5776#define PLANEB_FLIP_DONE_INT_EN (1 << 24)
5777#define PIPE_PSR_INT_EN (1 << 22)
5778#define PIPEA_LINE_COMPARE_INT_EN (1 << 21)
5779#define PIPEA_HLINE_INT_EN (1 << 20)
5780#define PIPEA_VBLANK_INT_EN (1 << 19)
5781#define SPRITEB_FLIP_DONE_INT_EN (1 << 18)
5782#define SPRITEA_FLIP_DONE_INT_EN (1 << 17)
5783#define PLANEA_FLIPDONE_INT_EN (1 << 16)
5784#define PIPEC_LINE_COMPARE_INT_EN (1 << 13)
5785#define PIPEC_HLINE_INT_EN (1 << 12)
5786#define PIPEC_VBLANK_INT_EN (1 << 11)
5787#define SPRITEF_FLIPDONE_INT_EN (1 << 10)
5788#define SPRITEE_FLIPDONE_INT_EN (1 << 9)
5789#define PLANEC_FLIPDONE_INT_EN (1 << 8)
c46ce4d7 5790
f0f59a00 5791#define DPINVGTT _MMIO(VLV_DISPLAY_BASE + 0x7002c) /* VLV/CHV only */
5ee8ee86
PZ
5792#define SPRITEF_INVALID_GTT_INT_EN (1 << 27)
5793#define SPRITEE_INVALID_GTT_INT_EN (1 << 26)
5794#define PLANEC_INVALID_GTT_INT_EN (1 << 25)
5795#define CURSORC_INVALID_GTT_INT_EN (1 << 24)
5796#define CURSORB_INVALID_GTT_INT_EN (1 << 23)
5797#define CURSORA_INVALID_GTT_INT_EN (1 << 22)
5798#define SPRITED_INVALID_GTT_INT_EN (1 << 21)
5799#define SPRITEC_INVALID_GTT_INT_EN (1 << 20)
5800#define PLANEB_INVALID_GTT_INT_EN (1 << 19)
5801#define SPRITEB_INVALID_GTT_INT_EN (1 << 18)
5802#define SPRITEA_INVALID_GTT_INT_EN (1 << 17)
5803#define PLANEA_INVALID_GTT_INT_EN (1 << 16)
c46ce4d7 5804#define DPINVGTT_EN_MASK 0xff0000
bf67a6fd 5805#define DPINVGTT_EN_MASK_CHV 0xfff0000
5ee8ee86
PZ
5806#define SPRITEF_INVALID_GTT_STATUS (1 << 11)
5807#define SPRITEE_INVALID_GTT_STATUS (1 << 10)
5808#define PLANEC_INVALID_GTT_STATUS (1 << 9)
5809#define CURSORC_INVALID_GTT_STATUS (1 << 8)
5810#define CURSORB_INVALID_GTT_STATUS (1 << 7)
5811#define CURSORA_INVALID_GTT_STATUS (1 << 6)
5812#define SPRITED_INVALID_GTT_STATUS (1 << 5)
5813#define SPRITEC_INVALID_GTT_STATUS (1 << 4)
5814#define PLANEB_INVALID_GTT_STATUS (1 << 3)
5815#define SPRITEB_INVALID_GTT_STATUS (1 << 2)
5816#define SPRITEA_INVALID_GTT_STATUS (1 << 1)
5817#define PLANEA_INVALID_GTT_STATUS (1 << 0)
c46ce4d7 5818#define DPINVGTT_STATUS_MASK 0xff
bf67a6fd 5819#define DPINVGTT_STATUS_MASK_CHV 0xfff
c46ce4d7 5820
ed5eb1b7 5821#define DSPARB _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70030)
585fb111
JB
5822#define DSPARB_CSTART_MASK (0x7f << 7)
5823#define DSPARB_CSTART_SHIFT 7
5824#define DSPARB_BSTART_MASK (0x7f)
5825#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
5826#define DSPARB_BEND_SHIFT 9 /* on 855 */
5827#define DSPARB_AEND_SHIFT 0
54f1b6e1
VS
5828#define DSPARB_SPRITEA_SHIFT_VLV 0
5829#define DSPARB_SPRITEA_MASK_VLV (0xff << 0)
5830#define DSPARB_SPRITEB_SHIFT_VLV 8
5831#define DSPARB_SPRITEB_MASK_VLV (0xff << 8)
5832#define DSPARB_SPRITEC_SHIFT_VLV 16
5833#define DSPARB_SPRITEC_MASK_VLV (0xff << 16)
5834#define DSPARB_SPRITED_SHIFT_VLV 24
5835#define DSPARB_SPRITED_MASK_VLV (0xff << 24)
f0f59a00 5836#define DSPARB2 _MMIO(VLV_DISPLAY_BASE + 0x70060) /* vlv/chv */
54f1b6e1
VS
5837#define DSPARB_SPRITEA_HI_SHIFT_VLV 0
5838#define DSPARB_SPRITEA_HI_MASK_VLV (0x1 << 0)
5839#define DSPARB_SPRITEB_HI_SHIFT_VLV 4
5840#define DSPARB_SPRITEB_HI_MASK_VLV (0x1 << 4)
5841#define DSPARB_SPRITEC_HI_SHIFT_VLV 8
5842#define DSPARB_SPRITEC_HI_MASK_VLV (0x1 << 8)
5843#define DSPARB_SPRITED_HI_SHIFT_VLV 12
5844#define DSPARB_SPRITED_HI_MASK_VLV (0x1 << 12)
5845#define DSPARB_SPRITEE_HI_SHIFT_VLV 16
5846#define DSPARB_SPRITEE_HI_MASK_VLV (0x1 << 16)
5847#define DSPARB_SPRITEF_HI_SHIFT_VLV 20
5848#define DSPARB_SPRITEF_HI_MASK_VLV (0x1 << 20)
f0f59a00 5849#define DSPARB3 _MMIO(VLV_DISPLAY_BASE + 0x7006c) /* chv */
54f1b6e1
VS
5850#define DSPARB_SPRITEE_SHIFT_VLV 0
5851#define DSPARB_SPRITEE_MASK_VLV (0xff << 0)
5852#define DSPARB_SPRITEF_SHIFT_VLV 8
5853#define DSPARB_SPRITEF_MASK_VLV (0xff << 8)
b5004720 5854
0a560674 5855/* pnv/gen4/g4x/vlv/chv */
ed5eb1b7 5856#define DSPFW1 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70034)
0a560674 5857#define DSPFW_SR_SHIFT 23
5ee8ee86 5858#define DSPFW_SR_MASK (0x1ff << 23)
0a560674 5859#define DSPFW_CURSORB_SHIFT 16
5ee8ee86 5860#define DSPFW_CURSORB_MASK (0x3f << 16)
0a560674 5861#define DSPFW_PLANEB_SHIFT 8
5ee8ee86
PZ
5862#define DSPFW_PLANEB_MASK (0x7f << 8)
5863#define DSPFW_PLANEB_MASK_VLV (0xff << 8) /* vlv/chv */
0a560674 5864#define DSPFW_PLANEA_SHIFT 0
5ee8ee86
PZ
5865#define DSPFW_PLANEA_MASK (0x7f << 0)
5866#define DSPFW_PLANEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5867#define DSPFW2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70038)
5ee8ee86 5868#define DSPFW_FBC_SR_EN (1 << 31) /* g4x */
0a560674 5869#define DSPFW_FBC_SR_SHIFT 28
5ee8ee86 5870#define DSPFW_FBC_SR_MASK (0x7 << 28) /* g4x */
0a560674 5871#define DSPFW_FBC_HPLL_SR_SHIFT 24
5ee8ee86 5872#define DSPFW_FBC_HPLL_SR_MASK (0xf << 24) /* g4x */
0a560674 5873#define DSPFW_SPRITEB_SHIFT (16)
5ee8ee86
PZ
5874#define DSPFW_SPRITEB_MASK (0x7f << 16) /* g4x */
5875#define DSPFW_SPRITEB_MASK_VLV (0xff << 16) /* vlv/chv */
0a560674 5876#define DSPFW_CURSORA_SHIFT 8
5ee8ee86 5877#define DSPFW_CURSORA_MASK (0x3f << 8)
f4998963 5878#define DSPFW_PLANEC_OLD_SHIFT 0
5ee8ee86 5879#define DSPFW_PLANEC_OLD_MASK (0x7f << 0) /* pre-gen4 sprite C */
0a560674 5880#define DSPFW_SPRITEA_SHIFT 0
5ee8ee86
PZ
5881#define DSPFW_SPRITEA_MASK (0x7f << 0) /* g4x */
5882#define DSPFW_SPRITEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5883#define DSPFW3 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x7003c)
5ee8ee86
PZ
5884#define DSPFW_HPLL_SR_EN (1 << 31)
5885#define PINEVIEW_SELF_REFRESH_EN (1 << 30)
0a560674 5886#define DSPFW_CURSOR_SR_SHIFT 24
5ee8ee86 5887#define DSPFW_CURSOR_SR_MASK (0x3f << 24)
d4294342 5888#define DSPFW_HPLL_CURSOR_SHIFT 16
5ee8ee86 5889#define DSPFW_HPLL_CURSOR_MASK (0x3f << 16)
0a560674 5890#define DSPFW_HPLL_SR_SHIFT 0
5ee8ee86 5891#define DSPFW_HPLL_SR_MASK (0x1ff << 0)
0a560674
VS
5892
5893/* vlv/chv */
f0f59a00 5894#define DSPFW4 _MMIO(VLV_DISPLAY_BASE + 0x70070)
0a560674 5895#define DSPFW_SPRITEB_WM1_SHIFT 16
5ee8ee86 5896#define DSPFW_SPRITEB_WM1_MASK (0xff << 16)
0a560674 5897#define DSPFW_CURSORA_WM1_SHIFT 8
5ee8ee86 5898#define DSPFW_CURSORA_WM1_MASK (0x3f << 8)
0a560674 5899#define DSPFW_SPRITEA_WM1_SHIFT 0
5ee8ee86 5900#define DSPFW_SPRITEA_WM1_MASK (0xff << 0)
f0f59a00 5901#define DSPFW5 _MMIO(VLV_DISPLAY_BASE + 0x70074)
0a560674 5902#define DSPFW_PLANEB_WM1_SHIFT 24
5ee8ee86 5903#define DSPFW_PLANEB_WM1_MASK (0xff << 24)
0a560674 5904#define DSPFW_PLANEA_WM1_SHIFT 16
5ee8ee86 5905#define DSPFW_PLANEA_WM1_MASK (0xff << 16)
0a560674 5906#define DSPFW_CURSORB_WM1_SHIFT 8
5ee8ee86 5907#define DSPFW_CURSORB_WM1_MASK (0x3f << 8)
0a560674 5908#define DSPFW_CURSOR_SR_WM1_SHIFT 0
5ee8ee86 5909#define DSPFW_CURSOR_SR_WM1_MASK (0x3f << 0)
f0f59a00 5910#define DSPFW6 _MMIO(VLV_DISPLAY_BASE + 0x70078)
0a560674 5911#define DSPFW_SR_WM1_SHIFT 0
5ee8ee86 5912#define DSPFW_SR_WM1_MASK (0x1ff << 0)
f0f59a00
VS
5913#define DSPFW7 _MMIO(VLV_DISPLAY_BASE + 0x7007c)
5914#define DSPFW7_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b4) /* wtf #1? */
0a560674 5915#define DSPFW_SPRITED_WM1_SHIFT 24
5ee8ee86 5916#define DSPFW_SPRITED_WM1_MASK (0xff << 24)
0a560674 5917#define DSPFW_SPRITED_SHIFT 16
5ee8ee86 5918#define DSPFW_SPRITED_MASK_VLV (0xff << 16)
0a560674 5919#define DSPFW_SPRITEC_WM1_SHIFT 8
5ee8ee86 5920#define DSPFW_SPRITEC_WM1_MASK (0xff << 8)
0a560674 5921#define DSPFW_SPRITEC_SHIFT 0
5ee8ee86 5922#define DSPFW_SPRITEC_MASK_VLV (0xff << 0)
f0f59a00 5923#define DSPFW8_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b8)
0a560674 5924#define DSPFW_SPRITEF_WM1_SHIFT 24
5ee8ee86 5925#define DSPFW_SPRITEF_WM1_MASK (0xff << 24)
0a560674 5926#define DSPFW_SPRITEF_SHIFT 16
5ee8ee86 5927#define DSPFW_SPRITEF_MASK_VLV (0xff << 16)
0a560674 5928#define DSPFW_SPRITEE_WM1_SHIFT 8
5ee8ee86 5929#define DSPFW_SPRITEE_WM1_MASK (0xff << 8)
0a560674 5930#define DSPFW_SPRITEE_SHIFT 0
5ee8ee86 5931#define DSPFW_SPRITEE_MASK_VLV (0xff << 0)
f0f59a00 5932#define DSPFW9_CHV _MMIO(VLV_DISPLAY_BASE + 0x7007c) /* wtf #2? */
0a560674 5933#define DSPFW_PLANEC_WM1_SHIFT 24
5ee8ee86 5934#define DSPFW_PLANEC_WM1_MASK (0xff << 24)
0a560674 5935#define DSPFW_PLANEC_SHIFT 16
5ee8ee86 5936#define DSPFW_PLANEC_MASK_VLV (0xff << 16)
0a560674 5937#define DSPFW_CURSORC_WM1_SHIFT 8
5ee8ee86 5938#define DSPFW_CURSORC_WM1_MASK (0x3f << 16)
0a560674 5939#define DSPFW_CURSORC_SHIFT 0
5ee8ee86 5940#define DSPFW_CURSORC_MASK (0x3f << 0)
0a560674
VS
5941
5942/* vlv/chv high order bits */
f0f59a00 5943#define DSPHOWM _MMIO(VLV_DISPLAY_BASE + 0x70064)
0a560674 5944#define DSPFW_SR_HI_SHIFT 24
5ee8ee86 5945#define DSPFW_SR_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5946#define DSPFW_SPRITEF_HI_SHIFT 23
5ee8ee86 5947#define DSPFW_SPRITEF_HI_MASK (1 << 23)
0a560674 5948#define DSPFW_SPRITEE_HI_SHIFT 22
5ee8ee86 5949#define DSPFW_SPRITEE_HI_MASK (1 << 22)
0a560674 5950#define DSPFW_PLANEC_HI_SHIFT 21
5ee8ee86 5951#define DSPFW_PLANEC_HI_MASK (1 << 21)
0a560674 5952#define DSPFW_SPRITED_HI_SHIFT 20
5ee8ee86 5953#define DSPFW_SPRITED_HI_MASK (1 << 20)
0a560674 5954#define DSPFW_SPRITEC_HI_SHIFT 16
5ee8ee86 5955#define DSPFW_SPRITEC_HI_MASK (1 << 16)
0a560674 5956#define DSPFW_PLANEB_HI_SHIFT 12
5ee8ee86 5957#define DSPFW_PLANEB_HI_MASK (1 << 12)
0a560674 5958#define DSPFW_SPRITEB_HI_SHIFT 8
5ee8ee86 5959#define DSPFW_SPRITEB_HI_MASK (1 << 8)
0a560674 5960#define DSPFW_SPRITEA_HI_SHIFT 4
5ee8ee86 5961#define DSPFW_SPRITEA_HI_MASK (1 << 4)
0a560674 5962#define DSPFW_PLANEA_HI_SHIFT 0
5ee8ee86 5963#define DSPFW_PLANEA_HI_MASK (1 << 0)
f0f59a00 5964#define DSPHOWM1 _MMIO(VLV_DISPLAY_BASE + 0x70068)
0a560674 5965#define DSPFW_SR_WM1_HI_SHIFT 24
5ee8ee86 5966#define DSPFW_SR_WM1_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5967#define DSPFW_SPRITEF_WM1_HI_SHIFT 23
5ee8ee86 5968#define DSPFW_SPRITEF_WM1_HI_MASK (1 << 23)
0a560674 5969#define DSPFW_SPRITEE_WM1_HI_SHIFT 22
5ee8ee86 5970#define DSPFW_SPRITEE_WM1_HI_MASK (1 << 22)
0a560674 5971#define DSPFW_PLANEC_WM1_HI_SHIFT 21
5ee8ee86 5972#define DSPFW_PLANEC_WM1_HI_MASK (1 << 21)
0a560674 5973#define DSPFW_SPRITED_WM1_HI_SHIFT 20
5ee8ee86 5974#define DSPFW_SPRITED_WM1_HI_MASK (1 << 20)
0a560674 5975#define DSPFW_SPRITEC_WM1_HI_SHIFT 16
5ee8ee86 5976#define DSPFW_SPRITEC_WM1_HI_MASK (1 << 16)
0a560674 5977#define DSPFW_PLANEB_WM1_HI_SHIFT 12
5ee8ee86 5978#define DSPFW_PLANEB_WM1_HI_MASK (1 << 12)
0a560674 5979#define DSPFW_SPRITEB_WM1_HI_SHIFT 8
5ee8ee86 5980#define DSPFW_SPRITEB_WM1_HI_MASK (1 << 8)
0a560674 5981#define DSPFW_SPRITEA_WM1_HI_SHIFT 4
5ee8ee86 5982#define DSPFW_SPRITEA_WM1_HI_MASK (1 << 4)
0a560674 5983#define DSPFW_PLANEA_WM1_HI_SHIFT 0
5ee8ee86 5984#define DSPFW_PLANEA_WM1_HI_MASK (1 << 0)
7662c8bd 5985
12a3c055 5986/* drain latency register values*/
f0f59a00 5987#define VLV_DDL(pipe) _MMIO(VLV_DISPLAY_BASE + 0x70050 + 4 * (pipe))
1abc4dc7 5988#define DDL_CURSOR_SHIFT 24
5ee8ee86 5989#define DDL_SPRITE_SHIFT(sprite) (8 + 8 * (sprite))
1abc4dc7 5990#define DDL_PLANE_SHIFT 0
5ee8ee86
PZ
5991#define DDL_PRECISION_HIGH (1 << 7)
5992#define DDL_PRECISION_LOW (0 << 7)
0948c265 5993#define DRAIN_LATENCY_MASK 0x7f
12a3c055 5994
f0f59a00 5995#define CBR1_VLV _MMIO(VLV_DISPLAY_BASE + 0x70400)
5ee8ee86
PZ
5996#define CBR_PND_DEADLINE_DISABLE (1 << 31)
5997#define CBR_PWM_CLOCK_MUX_SELECT (1 << 30)
c6beb13e 5998
c231775c 5999#define CBR4_VLV _MMIO(VLV_DISPLAY_BASE + 0x70450)
5ee8ee86 6000#define CBR_DPLLBMD_PIPE(pipe) (1 << (7 + (pipe) * 11)) /* pipes B and C */
c231775c 6001
7662c8bd 6002/* FIFO watermark sizes etc */
0e442c60 6003#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
6004#define I915_FIFO_LINE_SIZE 64
6005#define I830_FIFO_LINE_SIZE 32
0e442c60 6006
ceb04246 6007#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 6008#define G4X_FIFO_SIZE 127
1b07e04e
ZY
6009#define I965_FIFO_SIZE 512
6010#define I945_FIFO_SIZE 127
7662c8bd 6011#define I915_FIFO_SIZE 95
dff33cfc 6012#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 6013#define I830_FIFO_SIZE 95
0e442c60 6014
ceb04246 6015#define VALLEYVIEW_MAX_WM 0xff
0e442c60 6016#define G4X_MAX_WM 0x3f
7662c8bd
SL
6017#define I915_MAX_WM 0x3f
6018
f2b115e6
AJ
6019#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
6020#define PINEVIEW_FIFO_LINE_SIZE 64
6021#define PINEVIEW_MAX_WM 0x1ff
6022#define PINEVIEW_DFT_WM 0x3f
6023#define PINEVIEW_DFT_HPLLOFF_WM 0
6024#define PINEVIEW_GUARD_WM 10
6025#define PINEVIEW_CURSOR_FIFO 64
6026#define PINEVIEW_CURSOR_MAX_WM 0x3f
6027#define PINEVIEW_CURSOR_DFT_WM 0
6028#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 6029
ceb04246 6030#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
6031#define I965_CURSOR_FIFO 64
6032#define I965_CURSOR_MAX_WM 32
6033#define I965_CURSOR_DFT_WM 8
7f8a8569 6034
fae1267d 6035/* Watermark register definitions for SKL */
086f8e84
VS
6036#define _CUR_WM_A_0 0x70140
6037#define _CUR_WM_B_0 0x71140
6038#define _PLANE_WM_1_A_0 0x70240
6039#define _PLANE_WM_1_B_0 0x71240
6040#define _PLANE_WM_2_A_0 0x70340
6041#define _PLANE_WM_2_B_0 0x71340
6042#define _PLANE_WM_TRANS_1_A_0 0x70268
6043#define _PLANE_WM_TRANS_1_B_0 0x71268
6044#define _PLANE_WM_TRANS_2_A_0 0x70368
6045#define _PLANE_WM_TRANS_2_B_0 0x71368
6046#define _CUR_WM_TRANS_A_0 0x70168
6047#define _CUR_WM_TRANS_B_0 0x71168
fae1267d 6048#define PLANE_WM_EN (1 << 31)
2ed8e1f5 6049#define PLANE_WM_IGNORE_LINES (1 << 30)
fae1267d
PB
6050#define PLANE_WM_LINES_SHIFT 14
6051#define PLANE_WM_LINES_MASK 0x1f
c7e716b8 6052#define PLANE_WM_BLOCKS_MASK 0x7ff /* skl+: 10 bits, icl+ 11 bits */
fae1267d 6053
086f8e84 6054#define _CUR_WM_0(pipe) _PIPE(pipe, _CUR_WM_A_0, _CUR_WM_B_0)
f0f59a00
VS
6055#define CUR_WM(pipe, level) _MMIO(_CUR_WM_0(pipe) + ((4) * (level)))
6056#define CUR_WM_TRANS(pipe) _MMIO_PIPE(pipe, _CUR_WM_TRANS_A_0, _CUR_WM_TRANS_B_0)
fae1267d 6057
086f8e84
VS
6058#define _PLANE_WM_1(pipe) _PIPE(pipe, _PLANE_WM_1_A_0, _PLANE_WM_1_B_0)
6059#define _PLANE_WM_2(pipe) _PIPE(pipe, _PLANE_WM_2_A_0, _PLANE_WM_2_B_0)
fae1267d
PB
6060#define _PLANE_WM_BASE(pipe, plane) \
6061 _PLANE(plane, _PLANE_WM_1(pipe), _PLANE_WM_2(pipe))
6062#define PLANE_WM(pipe, plane, level) \
f0f59a00 6063 _MMIO(_PLANE_WM_BASE(pipe, plane) + ((4) * (level)))
fae1267d 6064#define _PLANE_WM_TRANS_1(pipe) \
086f8e84 6065 _PIPE(pipe, _PLANE_WM_TRANS_1_A_0, _PLANE_WM_TRANS_1_B_0)
fae1267d 6066#define _PLANE_WM_TRANS_2(pipe) \
086f8e84 6067 _PIPE(pipe, _PLANE_WM_TRANS_2_A_0, _PLANE_WM_TRANS_2_B_0)
fae1267d 6068#define PLANE_WM_TRANS(pipe, plane) \
f0f59a00 6069 _MMIO(_PLANE(plane, _PLANE_WM_TRANS_1(pipe), _PLANE_WM_TRANS_2(pipe)))
fae1267d 6070
7f8a8569 6071/* define the Watermark register on Ironlake */
f0f59a00 6072#define WM0_PIPEA_ILK _MMIO(0x45100)
5ee8ee86 6073#define WM0_PIPE_PLANE_MASK (0xffff << 16)
7f8a8569 6074#define WM0_PIPE_PLANE_SHIFT 16
5ee8ee86 6075#define WM0_PIPE_SPRITE_MASK (0xff << 8)
7f8a8569 6076#define WM0_PIPE_SPRITE_SHIFT 8
1996d624 6077#define WM0_PIPE_CURSOR_MASK (0xff)
7f8a8569 6078
f0f59a00
VS
6079#define WM0_PIPEB_ILK _MMIO(0x45104)
6080#define WM0_PIPEC_IVB _MMIO(0x45200)
6081#define WM1_LP_ILK _MMIO(0x45108)
5ee8ee86 6082#define WM1_LP_SR_EN (1 << 31)
7f8a8569 6083#define WM1_LP_LATENCY_SHIFT 24
5ee8ee86
PZ
6084#define WM1_LP_LATENCY_MASK (0x7f << 24)
6085#define WM1_LP_FBC_MASK (0xf << 20)
4ed765f9 6086#define WM1_LP_FBC_SHIFT 20
416f4727 6087#define WM1_LP_FBC_SHIFT_BDW 19
5ee8ee86 6088#define WM1_LP_SR_MASK (0x7ff << 8)
7f8a8569 6089#define WM1_LP_SR_SHIFT 8
1996d624 6090#define WM1_LP_CURSOR_MASK (0xff)
f0f59a00 6091#define WM2_LP_ILK _MMIO(0x4510c)
5ee8ee86 6092#define WM2_LP_EN (1 << 31)
f0f59a00 6093#define WM3_LP_ILK _MMIO(0x45110)
5ee8ee86 6094#define WM3_LP_EN (1 << 31)
f0f59a00
VS
6095#define WM1S_LP_ILK _MMIO(0x45120)
6096#define WM2S_LP_IVB _MMIO(0x45124)
6097#define WM3S_LP_IVB _MMIO(0x45128)
5ee8ee86 6098#define WM1S_LP_EN (1 << 31)
7f8a8569 6099
cca32e9a
PZ
6100#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
6101 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
6102 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
6103
7f8a8569 6104/* Memory latency timer register */
f0f59a00 6105#define MLTR_ILK _MMIO(0x11222)
b79d4990
JB
6106#define MLTR_WM1_SHIFT 0
6107#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
6108/* the unit of memory self-refresh latency time is 0.5us */
6109#define ILK_SRLT_MASK 0x3f
6110
1398261a
YL
6111
6112/* the address where we get all kinds of latency value */
f0f59a00 6113#define SSKPD _MMIO(0x5d10)
1398261a
YL
6114#define SSKPD_WM_MASK 0x3f
6115#define SSKPD_WM0_SHIFT 0
6116#define SSKPD_WM1_SHIFT 8
6117#define SSKPD_WM2_SHIFT 16
6118#define SSKPD_WM3_SHIFT 24
6119
585fb111
JB
6120/*
6121 * The two pipe frame counter registers are not synchronized, so
6122 * reading a stable value is somewhat tricky. The following code
6123 * should work:
6124 *
6125 * do {
6126 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6127 * PIPE_FRAME_HIGH_SHIFT;
6128 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
6129 * PIPE_FRAME_LOW_SHIFT);
6130 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6131 * PIPE_FRAME_HIGH_SHIFT);
6132 * } while (high1 != high2);
6133 * frame = (high1 << 8) | low1;
6134 */
25a2e2d0 6135#define _PIPEAFRAMEHIGH 0x70040
585fb111
JB
6136#define PIPE_FRAME_HIGH_MASK 0x0000ffff
6137#define PIPE_FRAME_HIGH_SHIFT 0
25a2e2d0 6138#define _PIPEAFRAMEPIXEL 0x70044
585fb111
JB
6139#define PIPE_FRAME_LOW_MASK 0xff000000
6140#define PIPE_FRAME_LOW_SHIFT 24
6141#define PIPE_PIXEL_MASK 0x00ffffff
6142#define PIPE_PIXEL_SHIFT 0
9880b7a5 6143/* GM45+ just has to be different */
fd8f507c
VS
6144#define _PIPEA_FRMCOUNT_G4X 0x70040
6145#define _PIPEA_FLIPCOUNT_G4X 0x70044
f0f59a00
VS
6146#define PIPE_FRMCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FRMCOUNT_G4X)
6147#define PIPE_FLIPCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FLIPCOUNT_G4X)
585fb111
JB
6148
6149/* Cursor A & B regs */
5efb3e28 6150#define _CURACNTR 0x70080
14b60391
JB
6151/* Old style CUR*CNTR flags (desktop 8xx) */
6152#define CURSOR_ENABLE 0x80000000
6153#define CURSOR_GAMMA_ENABLE 0x40000000
dc41c154 6154#define CURSOR_STRIDE_SHIFT 28
5ee8ee86 6155#define CURSOR_STRIDE(x) ((ffs(x) - 9) << CURSOR_STRIDE_SHIFT) /* 256,512,1k,2k */
14b60391
JB
6156#define CURSOR_FORMAT_SHIFT 24
6157#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
6158#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
6159#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
6160#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
6161#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
6162#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
6163/* New style CUR*CNTR flags */
b99b9ec1
VS
6164#define MCURSOR_MODE 0x27
6165#define MCURSOR_MODE_DISABLE 0x00
6166#define MCURSOR_MODE_128_32B_AX 0x02
6167#define MCURSOR_MODE_256_32B_AX 0x03
6168#define MCURSOR_MODE_64_32B_AX 0x07
6169#define MCURSOR_MODE_128_ARGB_AX ((1 << 5) | MCURSOR_MODE_128_32B_AX)
6170#define MCURSOR_MODE_256_ARGB_AX ((1 << 5) | MCURSOR_MODE_256_32B_AX)
6171#define MCURSOR_MODE_64_ARGB_AX ((1 << 5) | MCURSOR_MODE_64_32B_AX)
eade6c89
VS
6172#define MCURSOR_PIPE_SELECT_MASK (0x3 << 28)
6173#define MCURSOR_PIPE_SELECT_SHIFT 28
d509e28b 6174#define MCURSOR_PIPE_SELECT(pipe) ((pipe) << 28)
585fb111 6175#define MCURSOR_GAMMA_ENABLE (1 << 26)
8271b2ef 6176#define MCURSOR_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
5ee8ee86 6177#define MCURSOR_ROTATE_180 (1 << 15)
b99b9ec1 6178#define MCURSOR_TRICKLE_FEED_DISABLE (1 << 14)
5efb3e28
VS
6179#define _CURABASE 0x70084
6180#define _CURAPOS 0x70088
585fb111
JB
6181#define CURSOR_POS_MASK 0x007FF
6182#define CURSOR_POS_SIGN 0x8000
6183#define CURSOR_X_SHIFT 0
6184#define CURSOR_Y_SHIFT 16
024faac7
VS
6185#define CURSIZE _MMIO(0x700a0) /* 845/865 */
6186#define _CUR_FBC_CTL_A 0x700a0 /* ivb+ */
6187#define CUR_FBC_CTL_EN (1 << 31)
a8ada068 6188#define _CURASURFLIVE 0x700ac /* g4x+ */
5efb3e28
VS
6189#define _CURBCNTR 0x700c0
6190#define _CURBBASE 0x700c4
6191#define _CURBPOS 0x700c8
585fb111 6192
65a21cd6
JB
6193#define _CURBCNTR_IVB 0x71080
6194#define _CURBBASE_IVB 0x71084
6195#define _CURBPOS_IVB 0x71088
6196
5efb3e28
VS
6197#define CURCNTR(pipe) _CURSOR2(pipe, _CURACNTR)
6198#define CURBASE(pipe) _CURSOR2(pipe, _CURABASE)
6199#define CURPOS(pipe) _CURSOR2(pipe, _CURAPOS)
024faac7 6200#define CUR_FBC_CTL(pipe) _CURSOR2(pipe, _CUR_FBC_CTL_A)
a8ada068 6201#define CURSURFLIVE(pipe) _CURSOR2(pipe, _CURASURFLIVE)
c4a1d9e4 6202
5efb3e28
VS
6203#define CURSOR_A_OFFSET 0x70080
6204#define CURSOR_B_OFFSET 0x700c0
6205#define CHV_CURSOR_C_OFFSET 0x700e0
6206#define IVB_CURSOR_B_OFFSET 0x71080
6207#define IVB_CURSOR_C_OFFSET 0x72080
65a21cd6 6208
585fb111 6209/* Display A control */
a57c774a 6210#define _DSPACNTR 0x70180
5ee8ee86 6211#define DISPLAY_PLANE_ENABLE (1 << 31)
585fb111 6212#define DISPLAY_PLANE_DISABLE 0
5ee8ee86 6213#define DISPPLANE_GAMMA_ENABLE (1 << 30)
585fb111 6214#define DISPPLANE_GAMMA_DISABLE 0
5ee8ee86
PZ
6215#define DISPPLANE_PIXFORMAT_MASK (0xf << 26)
6216#define DISPPLANE_YUV422 (0x0 << 26)
6217#define DISPPLANE_8BPP (0x2 << 26)
6218#define DISPPLANE_BGRA555 (0x3 << 26)
6219#define DISPPLANE_BGRX555 (0x4 << 26)
6220#define DISPPLANE_BGRX565 (0x5 << 26)
6221#define DISPPLANE_BGRX888 (0x6 << 26)
6222#define DISPPLANE_BGRA888 (0x7 << 26)
6223#define DISPPLANE_RGBX101010 (0x8 << 26)
6224#define DISPPLANE_RGBA101010 (0x9 << 26)
6225#define DISPPLANE_BGRX101010 (0xa << 26)
6226#define DISPPLANE_RGBX161616 (0xc << 26)
6227#define DISPPLANE_RGBX888 (0xe << 26)
6228#define DISPPLANE_RGBA888 (0xf << 26)
6229#define DISPPLANE_STEREO_ENABLE (1 << 25)
585fb111 6230#define DISPPLANE_STEREO_DISABLE 0
8271b2ef 6231#define DISPPLANE_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
b24e7179 6232#define DISPPLANE_SEL_PIPE_SHIFT 24
5ee8ee86
PZ
6233#define DISPPLANE_SEL_PIPE_MASK (3 << DISPPLANE_SEL_PIPE_SHIFT)
6234#define DISPPLANE_SEL_PIPE(pipe) ((pipe) << DISPPLANE_SEL_PIPE_SHIFT)
6235#define DISPPLANE_SRC_KEY_ENABLE (1 << 22)
585fb111 6236#define DISPPLANE_SRC_KEY_DISABLE 0
5ee8ee86 6237#define DISPPLANE_LINE_DOUBLE (1 << 20)
585fb111
JB
6238#define DISPPLANE_NO_LINE_DOUBLE 0
6239#define DISPPLANE_STEREO_POLARITY_FIRST 0
5ee8ee86
PZ
6240#define DISPPLANE_STEREO_POLARITY_SECOND (1 << 18)
6241#define DISPPLANE_ALPHA_PREMULTIPLY (1 << 16) /* CHV pipe B */
6242#define DISPPLANE_ROTATE_180 (1 << 15)
6243#define DISPPLANE_TRICKLE_FEED_DISABLE (1 << 14) /* Ironlake */
6244#define DISPPLANE_TILED (1 << 10)
6245#define DISPPLANE_MIRROR (1 << 8) /* CHV pipe B */
a57c774a
AK
6246#define _DSPAADDR 0x70184
6247#define _DSPASTRIDE 0x70188
6248#define _DSPAPOS 0x7018C /* reserved */
6249#define _DSPASIZE 0x70190
6250#define _DSPASURF 0x7019C /* 965+ only */
6251#define _DSPATILEOFF 0x701A4 /* 965+ only */
6252#define _DSPAOFFSET 0x701A4 /* HSW */
6253#define _DSPASURFLIVE 0x701AC
94e15723 6254#define _DSPAGAMC 0x701E0
a57c774a 6255
f0f59a00
VS
6256#define DSPCNTR(plane) _MMIO_PIPE2(plane, _DSPACNTR)
6257#define DSPADDR(plane) _MMIO_PIPE2(plane, _DSPAADDR)
6258#define DSPSTRIDE(plane) _MMIO_PIPE2(plane, _DSPASTRIDE)
6259#define DSPPOS(plane) _MMIO_PIPE2(plane, _DSPAPOS)
6260#define DSPSIZE(plane) _MMIO_PIPE2(plane, _DSPASIZE)
6261#define DSPSURF(plane) _MMIO_PIPE2(plane, _DSPASURF)
6262#define DSPTILEOFF(plane) _MMIO_PIPE2(plane, _DSPATILEOFF)
6263#define DSPLINOFF(plane) DSPADDR(plane)
6264#define DSPOFFSET(plane) _MMIO_PIPE2(plane, _DSPAOFFSET)
6265#define DSPSURFLIVE(plane) _MMIO_PIPE2(plane, _DSPASURFLIVE)
94e15723 6266#define DSPGAMC(plane, i) _MMIO(_PIPE2(plane, _DSPAGAMC) + (5 - (i)) * 4) /* plane C only, 6 x u0.8 */
5eddb70b 6267
c14b0485
VS
6268/* CHV pipe B blender and primary plane */
6269#define _CHV_BLEND_A 0x60a00
5ee8ee86
PZ
6270#define CHV_BLEND_LEGACY (0 << 30)
6271#define CHV_BLEND_ANDROID (1 << 30)
6272#define CHV_BLEND_MPO (2 << 30)
6273#define CHV_BLEND_MASK (3 << 30)
c14b0485
VS
6274#define _CHV_CANVAS_A 0x60a04
6275#define _PRIMPOS_A 0x60a08
6276#define _PRIMSIZE_A 0x60a0c
6277#define _PRIMCNSTALPHA_A 0x60a10
5ee8ee86 6278#define PRIM_CONST_ALPHA_ENABLE (1 << 31)
c14b0485 6279
f0f59a00
VS
6280#define CHV_BLEND(pipe) _MMIO_TRANS2(pipe, _CHV_BLEND_A)
6281#define CHV_CANVAS(pipe) _MMIO_TRANS2(pipe, _CHV_CANVAS_A)
6282#define PRIMPOS(plane) _MMIO_TRANS2(plane, _PRIMPOS_A)
6283#define PRIMSIZE(plane) _MMIO_TRANS2(plane, _PRIMSIZE_A)
6284#define PRIMCNSTALPHA(plane) _MMIO_TRANS2(plane, _PRIMCNSTALPHA_A)
c14b0485 6285
446f2545
AR
6286/* Display/Sprite base address macros */
6287#define DISP_BASEADDR_MASK (0xfffff000)
9e8789ec
PZ
6288#define I915_LO_DISPBASE(val) ((val) & ~DISP_BASEADDR_MASK)
6289#define I915_HI_DISPBASE(val) ((val) & DISP_BASEADDR_MASK)
446f2545 6290
85fa792b
VS
6291/*
6292 * VBIOS flags
6293 * gen2:
6294 * [00:06] alm,mgm
6295 * [10:16] all
6296 * [30:32] alm,mgm
6297 * gen3+:
6298 * [00:0f] all
6299 * [10:1f] all
6300 * [30:32] all
6301 */
ed5eb1b7
JN
6302#define SWF0(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70410 + (i) * 4)
6303#define SWF1(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x71410 + (i) * 4)
6304#define SWF3(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x72414 + (i) * 4)
f0f59a00 6305#define SWF_ILK(i) _MMIO(0x4F000 + (i) * 4)
585fb111
JB
6306
6307/* Pipe B */
ed5eb1b7
JN
6308#define _PIPEBDSL (DISPLAY_MMIO_BASE(dev_priv) + 0x71000)
6309#define _PIPEBCONF (DISPLAY_MMIO_BASE(dev_priv) + 0x71008)
6310#define _PIPEBSTAT (DISPLAY_MMIO_BASE(dev_priv) + 0x71024)
25a2e2d0
VS
6311#define _PIPEBFRAMEHIGH 0x71040
6312#define _PIPEBFRAMEPIXEL 0x71044
ed5eb1b7
JN
6313#define _PIPEB_FRMCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71040)
6314#define _PIPEB_FLIPCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71044)
9880b7a5 6315
585fb111
JB
6316
6317/* Display B control */
ed5eb1b7 6318#define _DSPBCNTR (DISPLAY_MMIO_BASE(dev_priv) + 0x71180)
5ee8ee86 6319#define DISPPLANE_ALPHA_TRANS_ENABLE (1 << 15)
585fb111
JB
6320#define DISPPLANE_ALPHA_TRANS_DISABLE 0
6321#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
6322#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
ed5eb1b7
JN
6323#define _DSPBADDR (DISPLAY_MMIO_BASE(dev_priv) + 0x71184)
6324#define _DSPBSTRIDE (DISPLAY_MMIO_BASE(dev_priv) + 0x71188)
6325#define _DSPBPOS (DISPLAY_MMIO_BASE(dev_priv) + 0x7118C)
6326#define _DSPBSIZE (DISPLAY_MMIO_BASE(dev_priv) + 0x71190)
6327#define _DSPBSURF (DISPLAY_MMIO_BASE(dev_priv) + 0x7119C)
6328#define _DSPBTILEOFF (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6329#define _DSPBOFFSET (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6330#define _DSPBSURFLIVE (DISPLAY_MMIO_BASE(dev_priv) + 0x711AC)
585fb111 6331
372610f3
MC
6332/* ICL DSI 0 and 1 */
6333#define _PIPEDSI0CONF 0x7b008
6334#define _PIPEDSI1CONF 0x7b808
6335
b840d907
JB
6336/* Sprite A control */
6337#define _DVSACNTR 0x72180
5ee8ee86
PZ
6338#define DVS_ENABLE (1 << 31)
6339#define DVS_GAMMA_ENABLE (1 << 30)
6340#define DVS_YUV_RANGE_CORRECTION_DISABLE (1 << 27)
6341#define DVS_PIXFORMAT_MASK (3 << 25)
6342#define DVS_FORMAT_YUV422 (0 << 25)
6343#define DVS_FORMAT_RGBX101010 (1 << 25)
6344#define DVS_FORMAT_RGBX888 (2 << 25)
6345#define DVS_FORMAT_RGBX161616 (3 << 25)
6346#define DVS_PIPE_CSC_ENABLE (1 << 24)
6347#define DVS_SOURCE_KEY (1 << 22)
6348#define DVS_RGB_ORDER_XBGR (1 << 20)
6349#define DVS_YUV_FORMAT_BT709 (1 << 18)
6350#define DVS_YUV_BYTE_ORDER_MASK (3 << 16)
6351#define DVS_YUV_ORDER_YUYV (0 << 16)
6352#define DVS_YUV_ORDER_UYVY (1 << 16)
6353#define DVS_YUV_ORDER_YVYU (2 << 16)
6354#define DVS_YUV_ORDER_VYUY (3 << 16)
6355#define DVS_ROTATE_180 (1 << 15)
6356#define DVS_DEST_KEY (1 << 2)
6357#define DVS_TRICKLE_FEED_DISABLE (1 << 14)
6358#define DVS_TILED (1 << 10)
b840d907
JB
6359#define _DVSALINOFF 0x72184
6360#define _DVSASTRIDE 0x72188
6361#define _DVSAPOS 0x7218c
6362#define _DVSASIZE 0x72190
6363#define _DVSAKEYVAL 0x72194
6364#define _DVSAKEYMSK 0x72198
6365#define _DVSASURF 0x7219c
6366#define _DVSAKEYMAXVAL 0x721a0
6367#define _DVSATILEOFF 0x721a4
6368#define _DVSASURFLIVE 0x721ac
94e15723 6369#define _DVSAGAMC_G4X 0x721e0 /* g4x */
b840d907 6370#define _DVSASCALE 0x72204
5ee8ee86
PZ
6371#define DVS_SCALE_ENABLE (1 << 31)
6372#define DVS_FILTER_MASK (3 << 29)
6373#define DVS_FILTER_MEDIUM (0 << 29)
6374#define DVS_FILTER_ENHANCING (1 << 29)
6375#define DVS_FILTER_SOFTENING (2 << 29)
6376#define DVS_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6377#define DVS_VERTICAL_OFFSET_ENABLE (1 << 27)
94e15723
VS
6378#define _DVSAGAMC_ILK 0x72300 /* ilk/snb */
6379#define _DVSAGAMCMAX_ILK 0x72340 /* ilk/snb */
b840d907
JB
6380
6381#define _DVSBCNTR 0x73180
6382#define _DVSBLINOFF 0x73184
6383#define _DVSBSTRIDE 0x73188
6384#define _DVSBPOS 0x7318c
6385#define _DVSBSIZE 0x73190
6386#define _DVSBKEYVAL 0x73194
6387#define _DVSBKEYMSK 0x73198
6388#define _DVSBSURF 0x7319c
6389#define _DVSBKEYMAXVAL 0x731a0
6390#define _DVSBTILEOFF 0x731a4
6391#define _DVSBSURFLIVE 0x731ac
94e15723 6392#define _DVSBGAMC_G4X 0x731e0 /* g4x */
b840d907 6393#define _DVSBSCALE 0x73204
94e15723
VS
6394#define _DVSBGAMC_ILK 0x73300 /* ilk/snb */
6395#define _DVSBGAMCMAX_ILK 0x73340 /* ilk/snb */
b840d907 6396
f0f59a00
VS
6397#define DVSCNTR(pipe) _MMIO_PIPE(pipe, _DVSACNTR, _DVSBCNTR)
6398#define DVSLINOFF(pipe) _MMIO_PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
6399#define DVSSTRIDE(pipe) _MMIO_PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
6400#define DVSPOS(pipe) _MMIO_PIPE(pipe, _DVSAPOS, _DVSBPOS)
6401#define DVSSURF(pipe) _MMIO_PIPE(pipe, _DVSASURF, _DVSBSURF)
6402#define DVSKEYMAX(pipe) _MMIO_PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
6403#define DVSSIZE(pipe) _MMIO_PIPE(pipe, _DVSASIZE, _DVSBSIZE)
6404#define DVSSCALE(pipe) _MMIO_PIPE(pipe, _DVSASCALE, _DVSBSCALE)
6405#define DVSTILEOFF(pipe) _MMIO_PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
6406#define DVSKEYVAL(pipe) _MMIO_PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
6407#define DVSKEYMSK(pipe) _MMIO_PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
6408#define DVSSURFLIVE(pipe) _MMIO_PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
94e15723
VS
6409#define DVSGAMC_G4X(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMC_G4X, _DVSBGAMC_G4X) + (5 - (i)) * 4) /* 6 x u0.8 */
6410#define DVSGAMC_ILK(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMC_ILK, _DVSBGAMC_ILK) + (i) * 4) /* 16 x u0.10 */
6411#define DVSGAMCMAX_ILK(pipe, i) _MMIO(_PIPE(pipe, _DVSAGAMCMAX_ILK, _DVSBGAMCMAX_ILK) + (i) * 4) /* 3 x u1.10 */
b840d907
JB
6412
6413#define _SPRA_CTL 0x70280
5ee8ee86
PZ
6414#define SPRITE_ENABLE (1 << 31)
6415#define SPRITE_GAMMA_ENABLE (1 << 30)
6416#define SPRITE_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6417#define SPRITE_PIXFORMAT_MASK (7 << 25)
6418#define SPRITE_FORMAT_YUV422 (0 << 25)
6419#define SPRITE_FORMAT_RGBX101010 (1 << 25)
6420#define SPRITE_FORMAT_RGBX888 (2 << 25)
6421#define SPRITE_FORMAT_RGBX161616 (3 << 25)
6422#define SPRITE_FORMAT_YUV444 (4 << 25)
6423#define SPRITE_FORMAT_XR_BGR101010 (5 << 25) /* Extended range */
6424#define SPRITE_PIPE_CSC_ENABLE (1 << 24)
6425#define SPRITE_SOURCE_KEY (1 << 22)
6426#define SPRITE_RGB_ORDER_RGBX (1 << 20) /* only for 888 and 161616 */
6427#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1 << 19)
6428#define SPRITE_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18) /* 0 is BT601 */
6429#define SPRITE_YUV_BYTE_ORDER_MASK (3 << 16)
6430#define SPRITE_YUV_ORDER_YUYV (0 << 16)
6431#define SPRITE_YUV_ORDER_UYVY (1 << 16)
6432#define SPRITE_YUV_ORDER_YVYU (2 << 16)
6433#define SPRITE_YUV_ORDER_VYUY (3 << 16)
6434#define SPRITE_ROTATE_180 (1 << 15)
6435#define SPRITE_TRICKLE_FEED_DISABLE (1 << 14)
423ee8e9 6436#define SPRITE_INT_GAMMA_DISABLE (1 << 13)
5ee8ee86
PZ
6437#define SPRITE_TILED (1 << 10)
6438#define SPRITE_DEST_KEY (1 << 2)
b840d907
JB
6439#define _SPRA_LINOFF 0x70284
6440#define _SPRA_STRIDE 0x70288
6441#define _SPRA_POS 0x7028c
6442#define _SPRA_SIZE 0x70290
6443#define _SPRA_KEYVAL 0x70294
6444#define _SPRA_KEYMSK 0x70298
6445#define _SPRA_SURF 0x7029c
6446#define _SPRA_KEYMAX 0x702a0
6447#define _SPRA_TILEOFF 0x702a4
c54173a8 6448#define _SPRA_OFFSET 0x702a4
32ae46bf 6449#define _SPRA_SURFLIVE 0x702ac
b840d907 6450#define _SPRA_SCALE 0x70304
5ee8ee86
PZ
6451#define SPRITE_SCALE_ENABLE (1 << 31)
6452#define SPRITE_FILTER_MASK (3 << 29)
6453#define SPRITE_FILTER_MEDIUM (0 << 29)
6454#define SPRITE_FILTER_ENHANCING (1 << 29)
6455#define SPRITE_FILTER_SOFTENING (2 << 29)
6456#define SPRITE_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6457#define SPRITE_VERTICAL_OFFSET_ENABLE (1 << 27)
b840d907 6458#define _SPRA_GAMC 0x70400
94e15723
VS
6459#define _SPRA_GAMC16 0x70440
6460#define _SPRA_GAMC17 0x7044c
b840d907
JB
6461
6462#define _SPRB_CTL 0x71280
6463#define _SPRB_LINOFF 0x71284
6464#define _SPRB_STRIDE 0x71288
6465#define _SPRB_POS 0x7128c
6466#define _SPRB_SIZE 0x71290
6467#define _SPRB_KEYVAL 0x71294
6468#define _SPRB_KEYMSK 0x71298
6469#define _SPRB_SURF 0x7129c
6470#define _SPRB_KEYMAX 0x712a0
6471#define _SPRB_TILEOFF 0x712a4
c54173a8 6472#define _SPRB_OFFSET 0x712a4
32ae46bf 6473#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
6474#define _SPRB_SCALE 0x71304
6475#define _SPRB_GAMC 0x71400
94e15723
VS
6476#define _SPRB_GAMC16 0x71440
6477#define _SPRB_GAMC17 0x7144c
b840d907 6478
f0f59a00
VS
6479#define SPRCTL(pipe) _MMIO_PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
6480#define SPRLINOFF(pipe) _MMIO_PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
6481#define SPRSTRIDE(pipe) _MMIO_PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
6482#define SPRPOS(pipe) _MMIO_PIPE(pipe, _SPRA_POS, _SPRB_POS)
6483#define SPRSIZE(pipe) _MMIO_PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
6484#define SPRKEYVAL(pipe) _MMIO_PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
6485#define SPRKEYMSK(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
6486#define SPRSURF(pipe) _MMIO_PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
6487#define SPRKEYMAX(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
6488#define SPRTILEOFF(pipe) _MMIO_PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
6489#define SPROFFSET(pipe) _MMIO_PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
6490#define SPRSCALE(pipe) _MMIO_PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
94e15723
VS
6491#define SPRGAMC(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC) + (i) * 4) /* 16 x u0.10 */
6492#define SPRGAMC16(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC16, _SPRB_GAMC16) + (i) * 4) /* 3 x u1.10 */
6493#define SPRGAMC17(pipe, i) _MMIO(_PIPE(pipe, _SPRA_GAMC17, _SPRB_GAMC17) + (i) * 4) /* 3 x u2.10 */
f0f59a00 6494#define SPRSURFLIVE(pipe) _MMIO_PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 6495
921c3b67 6496#define _SPACNTR (VLV_DISPLAY_BASE + 0x72180)
5ee8ee86
PZ
6497#define SP_ENABLE (1 << 31)
6498#define SP_GAMMA_ENABLE (1 << 30)
6499#define SP_PIXFORMAT_MASK (0xf << 26)
6500#define SP_FORMAT_YUV422 (0 << 26)
6501#define SP_FORMAT_BGR565 (5 << 26)
6502#define SP_FORMAT_BGRX8888 (6 << 26)
6503#define SP_FORMAT_BGRA8888 (7 << 26)
6504#define SP_FORMAT_RGBX1010102 (8 << 26)
6505#define SP_FORMAT_RGBA1010102 (9 << 26)
6506#define SP_FORMAT_RGBX8888 (0xe << 26)
6507#define SP_FORMAT_RGBA8888 (0xf << 26)
6508#define SP_ALPHA_PREMULTIPLY (1 << 23) /* CHV pipe B */
6509#define SP_SOURCE_KEY (1 << 22)
6510#define SP_YUV_FORMAT_BT709 (1 << 18)
6511#define SP_YUV_BYTE_ORDER_MASK (3 << 16)
6512#define SP_YUV_ORDER_YUYV (0 << 16)
6513#define SP_YUV_ORDER_UYVY (1 << 16)
6514#define SP_YUV_ORDER_YVYU (2 << 16)
6515#define SP_YUV_ORDER_VYUY (3 << 16)
6516#define SP_ROTATE_180 (1 << 15)
6517#define SP_TILED (1 << 10)
6518#define SP_MIRROR (1 << 8) /* CHV pipe B */
921c3b67
VS
6519#define _SPALINOFF (VLV_DISPLAY_BASE + 0x72184)
6520#define _SPASTRIDE (VLV_DISPLAY_BASE + 0x72188)
6521#define _SPAPOS (VLV_DISPLAY_BASE + 0x7218c)
6522#define _SPASIZE (VLV_DISPLAY_BASE + 0x72190)
6523#define _SPAKEYMINVAL (VLV_DISPLAY_BASE + 0x72194)
6524#define _SPAKEYMSK (VLV_DISPLAY_BASE + 0x72198)
6525#define _SPASURF (VLV_DISPLAY_BASE + 0x7219c)
6526#define _SPAKEYMAXVAL (VLV_DISPLAY_BASE + 0x721a0)
6527#define _SPATILEOFF (VLV_DISPLAY_BASE + 0x721a4)
6528#define _SPACONSTALPHA (VLV_DISPLAY_BASE + 0x721a8)
5ee8ee86 6529#define SP_CONST_ALPHA_ENABLE (1 << 31)
5deae919
VS
6530#define _SPACLRC0 (VLV_DISPLAY_BASE + 0x721d0)
6531#define SP_CONTRAST(x) ((x) << 18) /* u3.6 */
6532#define SP_BRIGHTNESS(x) ((x) & 0xff) /* s8 */
6533#define _SPACLRC1 (VLV_DISPLAY_BASE + 0x721d4)
6534#define SP_SH_SIN(x) (((x) & 0x7ff) << 16) /* s4.7 */
6535#define SP_SH_COS(x) (x) /* u3.7 */
94e15723 6536#define _SPAGAMC (VLV_DISPLAY_BASE + 0x721e0)
921c3b67
VS
6537
6538#define _SPBCNTR (VLV_DISPLAY_BASE + 0x72280)
6539#define _SPBLINOFF (VLV_DISPLAY_BASE + 0x72284)
6540#define _SPBSTRIDE (VLV_DISPLAY_BASE + 0x72288)
6541#define _SPBPOS (VLV_DISPLAY_BASE + 0x7228c)
6542#define _SPBSIZE (VLV_DISPLAY_BASE + 0x72290)
6543#define _SPBKEYMINVAL (VLV_DISPLAY_BASE + 0x72294)
6544#define _SPBKEYMSK (VLV_DISPLAY_BASE + 0x72298)
6545#define _SPBSURF (VLV_DISPLAY_BASE + 0x7229c)
6546#define _SPBKEYMAXVAL (VLV_DISPLAY_BASE + 0x722a0)
6547#define _SPBTILEOFF (VLV_DISPLAY_BASE + 0x722a4)
6548#define _SPBCONSTALPHA (VLV_DISPLAY_BASE + 0x722a8)
5deae919
VS
6549#define _SPBCLRC0 (VLV_DISPLAY_BASE + 0x722d0)
6550#define _SPBCLRC1 (VLV_DISPLAY_BASE + 0x722d4)
94e15723 6551#define _SPBGAMC (VLV_DISPLAY_BASE + 0x722e0)
7f1f3851 6552
94e15723
VS
6553#define _VLV_SPR(pipe, plane_id, reg_a, reg_b) \
6554 _PIPE((pipe) * 2 + (plane_id) - PLANE_SPRITE0, (reg_a), (reg_b))
83c04a62 6555#define _MMIO_VLV_SPR(pipe, plane_id, reg_a, reg_b) \
94e15723 6556 _MMIO(_VLV_SPR((pipe), (plane_id), (reg_a), (reg_b)))
83c04a62
VS
6557
6558#define SPCNTR(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACNTR, _SPBCNTR)
6559#define SPLINOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPALINOFF, _SPBLINOFF)
6560#define SPSTRIDE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASTRIDE, _SPBSTRIDE)
6561#define SPPOS(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAPOS, _SPBPOS)
6562#define SPSIZE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASIZE, _SPBSIZE)
6563#define SPKEYMINVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMINVAL, _SPBKEYMINVAL)
6564#define SPKEYMSK(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMSK, _SPBKEYMSK)
6565#define SPSURF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASURF, _SPBSURF)
6566#define SPKEYMAXVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMAXVAL, _SPBKEYMAXVAL)
6567#define SPTILEOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPATILEOFF, _SPBTILEOFF)
6568#define SPCONSTALPHA(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACONSTALPHA, _SPBCONSTALPHA)
5deae919
VS
6569#define SPCLRC0(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC0, _SPBCLRC0)
6570#define SPCLRC1(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC1, _SPBCLRC1)
94e15723 6571#define SPGAMC(pipe, plane_id, i) _MMIO(_VLV_SPR((pipe), (plane_id), _SPAGAMC, _SPBGAMC) + (5 - (i)) * 4) /* 6 x u0.10 */
7f1f3851 6572
6ca2aeb2
VS
6573/*
6574 * CHV pipe B sprite CSC
6575 *
6576 * |cr| |c0 c1 c2| |cr + cr_ioff| |cr_ooff|
6577 * |yg| = |c3 c4 c5| x |yg + yg_ioff| + |yg_ooff|
6578 * |cb| |c6 c7 c8| |cb + cr_ioff| |cb_ooff|
6579 */
83c04a62
VS
6580#define _MMIO_CHV_SPCSC(plane_id, reg) \
6581 _MMIO(VLV_DISPLAY_BASE + ((plane_id) - PLANE_SPRITE0) * 0x1000 + (reg))
6582
6583#define SPCSCYGOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d900)
6584#define SPCSCCBOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d904)
6585#define SPCSCCROFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d908)
6ca2aeb2
VS
6586#define SPCSC_OOFF(x) (((x) & 0x7ff) << 16) /* s11 */
6587#define SPCSC_IOFF(x) (((x) & 0x7ff) << 0) /* s11 */
6588
83c04a62
VS
6589#define SPCSCC01(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d90c)
6590#define SPCSCC23(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d910)
6591#define SPCSCC45(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d914)
6592#define SPCSCC67(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d918)
6593#define SPCSCC8(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d91c)
6ca2aeb2
VS
6594#define SPCSC_C1(x) (((x) & 0x7fff) << 16) /* s3.12 */
6595#define SPCSC_C0(x) (((x) & 0x7fff) << 0) /* s3.12 */
6596
83c04a62
VS
6597#define SPCSCYGICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d920)
6598#define SPCSCCBICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d924)
6599#define SPCSCCRICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d928)
6ca2aeb2
VS
6600#define SPCSC_IMAX(x) (((x) & 0x7ff) << 16) /* s11 */
6601#define SPCSC_IMIN(x) (((x) & 0x7ff) << 0) /* s11 */
6602
83c04a62
VS
6603#define SPCSCYGOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d92c)
6604#define SPCSCCBOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d930)
6605#define SPCSCCROCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d934)
6ca2aeb2
VS
6606#define SPCSC_OMAX(x) ((x) << 16) /* u10 */
6607#define SPCSC_OMIN(x) ((x) << 0) /* u10 */
6608
70d21f0e
DL
6609/* Skylake plane registers */
6610
6611#define _PLANE_CTL_1_A 0x70180
6612#define _PLANE_CTL_2_A 0x70280
6613#define _PLANE_CTL_3_A 0x70380
6614#define PLANE_CTL_ENABLE (1 << 31)
4036c78c 6615#define PLANE_CTL_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-GLK */
c8624ede 6616#define PLANE_CTL_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
b5972776
JA
6617/*
6618 * ICL+ uses the same PLANE_CTL_FORMAT bits, but the field definition
6619 * expanded to include bit 23 as well. However, the shift-24 based values
6620 * correctly map to the same formats in ICL, as long as bit 23 is set to 0
6621 */
70d21f0e 6622#define PLANE_CTL_FORMAT_MASK (0xf << 24)
5ee8ee86
PZ
6623#define PLANE_CTL_FORMAT_YUV422 (0 << 24)
6624#define PLANE_CTL_FORMAT_NV12 (1 << 24)
6625#define PLANE_CTL_FORMAT_XRGB_2101010 (2 << 24)
e1312211 6626#define PLANE_CTL_FORMAT_P010 (3 << 24)
5ee8ee86 6627#define PLANE_CTL_FORMAT_XRGB_8888 (4 << 24)
e1312211 6628#define PLANE_CTL_FORMAT_P012 (5 << 24)
5ee8ee86 6629#define PLANE_CTL_FORMAT_XRGB_16161616F (6 << 24)
e1312211 6630#define PLANE_CTL_FORMAT_P016 (7 << 24)
5ee8ee86
PZ
6631#define PLANE_CTL_FORMAT_AYUV (8 << 24)
6632#define PLANE_CTL_FORMAT_INDEXED (12 << 24)
6633#define PLANE_CTL_FORMAT_RGB_565 (14 << 24)
b5972776 6634#define ICL_PLANE_CTL_FORMAT_MASK (0x1f << 23)
4036c78c 6635#define PLANE_CTL_PIPE_CSC_ENABLE (1 << 23) /* Pre-GLK */
696fa001
SS
6636#define PLANE_CTL_FORMAT_Y210 (1 << 23)
6637#define PLANE_CTL_FORMAT_Y212 (3 << 23)
6638#define PLANE_CTL_FORMAT_Y216 (5 << 23)
6639#define PLANE_CTL_FORMAT_Y410 (7 << 23)
6640#define PLANE_CTL_FORMAT_Y412 (9 << 23)
6641#define PLANE_CTL_FORMAT_Y416 (0xb << 23)
dc2a41b4 6642#define PLANE_CTL_KEY_ENABLE_MASK (0x3 << 21)
5ee8ee86
PZ
6643#define PLANE_CTL_KEY_ENABLE_SOURCE (1 << 21)
6644#define PLANE_CTL_KEY_ENABLE_DESTINATION (2 << 21)
70d21f0e
DL
6645#define PLANE_CTL_ORDER_BGRX (0 << 20)
6646#define PLANE_CTL_ORDER_RGBX (1 << 20)
1e364f90 6647#define PLANE_CTL_YUV420_Y_PLANE (1 << 19)
b0f5c0ba 6648#define PLANE_CTL_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18)
70d21f0e 6649#define PLANE_CTL_YUV422_ORDER_MASK (0x3 << 16)
5ee8ee86
PZ
6650#define PLANE_CTL_YUV422_YUYV (0 << 16)
6651#define PLANE_CTL_YUV422_UYVY (1 << 16)
6652#define PLANE_CTL_YUV422_YVYU (2 << 16)
6653#define PLANE_CTL_YUV422_VYUY (3 << 16)
53867b46 6654#define PLANE_CTL_RENDER_DECOMPRESSION_ENABLE (1 << 15)
70d21f0e 6655#define PLANE_CTL_TRICKLE_FEED_DISABLE (1 << 14)
4036c78c 6656#define PLANE_CTL_PLANE_GAMMA_DISABLE (1 << 13) /* Pre-GLK */
70d21f0e 6657#define PLANE_CTL_TILED_MASK (0x7 << 10)
5ee8ee86
PZ
6658#define PLANE_CTL_TILED_LINEAR (0 << 10)
6659#define PLANE_CTL_TILED_X (1 << 10)
6660#define PLANE_CTL_TILED_Y (4 << 10)
6661#define PLANE_CTL_TILED_YF (5 << 10)
6662#define PLANE_CTL_FLIP_HORIZONTAL (1 << 8)
4036c78c 6663#define PLANE_CTL_ALPHA_MASK (0x3 << 4) /* Pre-GLK */
5ee8ee86
PZ
6664#define PLANE_CTL_ALPHA_DISABLE (0 << 4)
6665#define PLANE_CTL_ALPHA_SW_PREMULTIPLY (2 << 4)
6666#define PLANE_CTL_ALPHA_HW_PREMULTIPLY (3 << 4)
1447dde0
SJ
6667#define PLANE_CTL_ROTATE_MASK 0x3
6668#define PLANE_CTL_ROTATE_0 0x0
3b7a5119 6669#define PLANE_CTL_ROTATE_90 0x1
1447dde0 6670#define PLANE_CTL_ROTATE_180 0x2
3b7a5119 6671#define PLANE_CTL_ROTATE_270 0x3
70d21f0e
DL
6672#define _PLANE_STRIDE_1_A 0x70188
6673#define _PLANE_STRIDE_2_A 0x70288
6674#define _PLANE_STRIDE_3_A 0x70388
6675#define _PLANE_POS_1_A 0x7018c
6676#define _PLANE_POS_2_A 0x7028c
6677#define _PLANE_POS_3_A 0x7038c
6678#define _PLANE_SIZE_1_A 0x70190
6679#define _PLANE_SIZE_2_A 0x70290
6680#define _PLANE_SIZE_3_A 0x70390
6681#define _PLANE_SURF_1_A 0x7019c
6682#define _PLANE_SURF_2_A 0x7029c
6683#define _PLANE_SURF_3_A 0x7039c
6684#define _PLANE_OFFSET_1_A 0x701a4
6685#define _PLANE_OFFSET_2_A 0x702a4
6686#define _PLANE_OFFSET_3_A 0x703a4
dc2a41b4
DL
6687#define _PLANE_KEYVAL_1_A 0x70194
6688#define _PLANE_KEYVAL_2_A 0x70294
6689#define _PLANE_KEYMSK_1_A 0x70198
6690#define _PLANE_KEYMSK_2_A 0x70298
b2081525 6691#define PLANE_KEYMSK_ALPHA_ENABLE (1 << 31)
dc2a41b4
DL
6692#define _PLANE_KEYMAX_1_A 0x701a0
6693#define _PLANE_KEYMAX_2_A 0x702a0
7b012bd6 6694#define PLANE_KEYMAX_ALPHA(a) ((a) << 24)
2e2adb05
VS
6695#define _PLANE_AUX_DIST_1_A 0x701c0
6696#define _PLANE_AUX_DIST_2_A 0x702c0
6697#define _PLANE_AUX_OFFSET_1_A 0x701c4
6698#define _PLANE_AUX_OFFSET_2_A 0x702c4
cb2458ba
ML
6699#define _PLANE_CUS_CTL_1_A 0x701c8
6700#define _PLANE_CUS_CTL_2_A 0x702c8
6701#define PLANE_CUS_ENABLE (1 << 31)
6702#define PLANE_CUS_PLANE_6 (0 << 30)
6703#define PLANE_CUS_PLANE_7 (1 << 30)
6704#define PLANE_CUS_HPHASE_SIGN_NEGATIVE (1 << 19)
6705#define PLANE_CUS_HPHASE_0 (0 << 16)
6706#define PLANE_CUS_HPHASE_0_25 (1 << 16)
6707#define PLANE_CUS_HPHASE_0_5 (2 << 16)
6708#define PLANE_CUS_VPHASE_SIGN_NEGATIVE (1 << 15)
6709#define PLANE_CUS_VPHASE_0 (0 << 12)
6710#define PLANE_CUS_VPHASE_0_25 (1 << 12)
6711#define PLANE_CUS_VPHASE_0_5 (2 << 12)
47f9ea8b
ACO
6712#define _PLANE_COLOR_CTL_1_A 0x701CC /* GLK+ */
6713#define _PLANE_COLOR_CTL_2_A 0x702CC /* GLK+ */
6714#define _PLANE_COLOR_CTL_3_A 0x703CC /* GLK+ */
077ef1f0 6715#define PLANE_COLOR_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-ICL */
c8624ede 6716#define PLANE_COLOR_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6a255da7 6717#define PLANE_COLOR_INPUT_CSC_ENABLE (1 << 20) /* ICL+ */
077ef1f0 6718#define PLANE_COLOR_PIPE_CSC_ENABLE (1 << 23) /* Pre-ICL */
38f24f21
VS
6719#define PLANE_COLOR_CSC_MODE_BYPASS (0 << 17)
6720#define PLANE_COLOR_CSC_MODE_YUV601_TO_RGB709 (1 << 17)
6721#define PLANE_COLOR_CSC_MODE_YUV709_TO_RGB709 (2 << 17)
6722#define PLANE_COLOR_CSC_MODE_YUV2020_TO_RGB2020 (3 << 17)
6723#define PLANE_COLOR_CSC_MODE_RGB709_TO_RGB2020 (4 << 17)
47f9ea8b 6724#define PLANE_COLOR_PLANE_GAMMA_DISABLE (1 << 13)
4036c78c
JA
6725#define PLANE_COLOR_ALPHA_MASK (0x3 << 4)
6726#define PLANE_COLOR_ALPHA_DISABLE (0 << 4)
6727#define PLANE_COLOR_ALPHA_SW_PREMULTIPLY (2 << 4)
6728#define PLANE_COLOR_ALPHA_HW_PREMULTIPLY (3 << 4)
8211bd5b
DL
6729#define _PLANE_BUF_CFG_1_A 0x7027c
6730#define _PLANE_BUF_CFG_2_A 0x7037c
2cd601c6
CK
6731#define _PLANE_NV12_BUF_CFG_1_A 0x70278
6732#define _PLANE_NV12_BUF_CFG_2_A 0x70378
70d21f0e 6733
6a255da7
US
6734/* Input CSC Register Definitions */
6735#define _PLANE_INPUT_CSC_RY_GY_1_A 0x701E0
6736#define _PLANE_INPUT_CSC_RY_GY_2_A 0x702E0
6737
6738#define _PLANE_INPUT_CSC_RY_GY_1_B 0x711E0
6739#define _PLANE_INPUT_CSC_RY_GY_2_B 0x712E0
6740
6741#define _PLANE_INPUT_CSC_RY_GY_1(pipe) \
6742 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_1_A, \
6743 _PLANE_INPUT_CSC_RY_GY_1_B)
6744#define _PLANE_INPUT_CSC_RY_GY_2(pipe) \
6745 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_2_A, \
6746 _PLANE_INPUT_CSC_RY_GY_2_B)
6747
6748#define PLANE_INPUT_CSC_COEFF(pipe, plane, index) \
6749 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_RY_GY_1(pipe) + (index) * 4, \
6750 _PLANE_INPUT_CSC_RY_GY_2(pipe) + (index) * 4)
6751
6752#define _PLANE_INPUT_CSC_PREOFF_HI_1_A 0x701F8
6753#define _PLANE_INPUT_CSC_PREOFF_HI_2_A 0x702F8
6754
6755#define _PLANE_INPUT_CSC_PREOFF_HI_1_B 0x711F8
6756#define _PLANE_INPUT_CSC_PREOFF_HI_2_B 0x712F8
6757
6758#define _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) \
6759 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_1_A, \
6760 _PLANE_INPUT_CSC_PREOFF_HI_1_B)
6761#define _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) \
6762 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_2_A, \
6763 _PLANE_INPUT_CSC_PREOFF_HI_2_B)
6764#define PLANE_INPUT_CSC_PREOFF(pipe, plane, index) \
6765 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) + (index) * 4, \
6766 _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) + (index) * 4)
6767
6768#define _PLANE_INPUT_CSC_POSTOFF_HI_1_A 0x70204
6769#define _PLANE_INPUT_CSC_POSTOFF_HI_2_A 0x70304
6770
6771#define _PLANE_INPUT_CSC_POSTOFF_HI_1_B 0x71204
6772#define _PLANE_INPUT_CSC_POSTOFF_HI_2_B 0x71304
6773
6774#define _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) \
6775 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_1_A, \
6776 _PLANE_INPUT_CSC_POSTOFF_HI_1_B)
6777#define _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) \
6778 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_2_A, \
6779 _PLANE_INPUT_CSC_POSTOFF_HI_2_B)
6780#define PLANE_INPUT_CSC_POSTOFF(pipe, plane, index) \
6781 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) + (index) * 4, \
6782 _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) + (index) * 4)
47f9ea8b 6783
70d21f0e
DL
6784#define _PLANE_CTL_1_B 0x71180
6785#define _PLANE_CTL_2_B 0x71280
6786#define _PLANE_CTL_3_B 0x71380
6787#define _PLANE_CTL_1(pipe) _PIPE(pipe, _PLANE_CTL_1_A, _PLANE_CTL_1_B)
6788#define _PLANE_CTL_2(pipe) _PIPE(pipe, _PLANE_CTL_2_A, _PLANE_CTL_2_B)
6789#define _PLANE_CTL_3(pipe) _PIPE(pipe, _PLANE_CTL_3_A, _PLANE_CTL_3_B)
6790#define PLANE_CTL(pipe, plane) \
f0f59a00 6791 _MMIO_PLANE(plane, _PLANE_CTL_1(pipe), _PLANE_CTL_2(pipe))
70d21f0e
DL
6792
6793#define _PLANE_STRIDE_1_B 0x71188
6794#define _PLANE_STRIDE_2_B 0x71288
6795#define _PLANE_STRIDE_3_B 0x71388
6796#define _PLANE_STRIDE_1(pipe) \
6797 _PIPE(pipe, _PLANE_STRIDE_1_A, _PLANE_STRIDE_1_B)
6798#define _PLANE_STRIDE_2(pipe) \
6799 _PIPE(pipe, _PLANE_STRIDE_2_A, _PLANE_STRIDE_2_B)
6800#define _PLANE_STRIDE_3(pipe) \
6801 _PIPE(pipe, _PLANE_STRIDE_3_A, _PLANE_STRIDE_3_B)
6802#define PLANE_STRIDE(pipe, plane) \
f0f59a00 6803 _MMIO_PLANE(plane, _PLANE_STRIDE_1(pipe), _PLANE_STRIDE_2(pipe))
70d21f0e
DL
6804
6805#define _PLANE_POS_1_B 0x7118c
6806#define _PLANE_POS_2_B 0x7128c
6807#define _PLANE_POS_3_B 0x7138c
6808#define _PLANE_POS_1(pipe) _PIPE(pipe, _PLANE_POS_1_A, _PLANE_POS_1_B)
6809#define _PLANE_POS_2(pipe) _PIPE(pipe, _PLANE_POS_2_A, _PLANE_POS_2_B)
6810#define _PLANE_POS_3(pipe) _PIPE(pipe, _PLANE_POS_3_A, _PLANE_POS_3_B)
6811#define PLANE_POS(pipe, plane) \
f0f59a00 6812 _MMIO_PLANE(plane, _PLANE_POS_1(pipe), _PLANE_POS_2(pipe))
70d21f0e
DL
6813
6814#define _PLANE_SIZE_1_B 0x71190
6815#define _PLANE_SIZE_2_B 0x71290
6816#define _PLANE_SIZE_3_B 0x71390
6817#define _PLANE_SIZE_1(pipe) _PIPE(pipe, _PLANE_SIZE_1_A, _PLANE_SIZE_1_B)
6818#define _PLANE_SIZE_2(pipe) _PIPE(pipe, _PLANE_SIZE_2_A, _PLANE_SIZE_2_B)
6819#define _PLANE_SIZE_3(pipe) _PIPE(pipe, _PLANE_SIZE_3_A, _PLANE_SIZE_3_B)
6820#define PLANE_SIZE(pipe, plane) \
f0f59a00 6821 _MMIO_PLANE(plane, _PLANE_SIZE_1(pipe), _PLANE_SIZE_2(pipe))
70d21f0e
DL
6822
6823#define _PLANE_SURF_1_B 0x7119c
6824#define _PLANE_SURF_2_B 0x7129c
6825#define _PLANE_SURF_3_B 0x7139c
6826#define _PLANE_SURF_1(pipe) _PIPE(pipe, _PLANE_SURF_1_A, _PLANE_SURF_1_B)
6827#define _PLANE_SURF_2(pipe) _PIPE(pipe, _PLANE_SURF_2_A, _PLANE_SURF_2_B)
6828#define _PLANE_SURF_3(pipe) _PIPE(pipe, _PLANE_SURF_3_A, _PLANE_SURF_3_B)
6829#define PLANE_SURF(pipe, plane) \
f0f59a00 6830 _MMIO_PLANE(plane, _PLANE_SURF_1(pipe), _PLANE_SURF_2(pipe))
70d21f0e
DL
6831
6832#define _PLANE_OFFSET_1_B 0x711a4
6833#define _PLANE_OFFSET_2_B 0x712a4
6834#define _PLANE_OFFSET_1(pipe) _PIPE(pipe, _PLANE_OFFSET_1_A, _PLANE_OFFSET_1_B)
6835#define _PLANE_OFFSET_2(pipe) _PIPE(pipe, _PLANE_OFFSET_2_A, _PLANE_OFFSET_2_B)
6836#define PLANE_OFFSET(pipe, plane) \
f0f59a00 6837 _MMIO_PLANE(plane, _PLANE_OFFSET_1(pipe), _PLANE_OFFSET_2(pipe))
70d21f0e 6838
dc2a41b4
DL
6839#define _PLANE_KEYVAL_1_B 0x71194
6840#define _PLANE_KEYVAL_2_B 0x71294
6841#define _PLANE_KEYVAL_1(pipe) _PIPE(pipe, _PLANE_KEYVAL_1_A, _PLANE_KEYVAL_1_B)
6842#define _PLANE_KEYVAL_2(pipe) _PIPE(pipe, _PLANE_KEYVAL_2_A, _PLANE_KEYVAL_2_B)
6843#define PLANE_KEYVAL(pipe, plane) \
f0f59a00 6844 _MMIO_PLANE(plane, _PLANE_KEYVAL_1(pipe), _PLANE_KEYVAL_2(pipe))
dc2a41b4
DL
6845
6846#define _PLANE_KEYMSK_1_B 0x71198
6847#define _PLANE_KEYMSK_2_B 0x71298
6848#define _PLANE_KEYMSK_1(pipe) _PIPE(pipe, _PLANE_KEYMSK_1_A, _PLANE_KEYMSK_1_B)
6849#define _PLANE_KEYMSK_2(pipe) _PIPE(pipe, _PLANE_KEYMSK_2_A, _PLANE_KEYMSK_2_B)
6850#define PLANE_KEYMSK(pipe, plane) \
f0f59a00 6851 _MMIO_PLANE(plane, _PLANE_KEYMSK_1(pipe), _PLANE_KEYMSK_2(pipe))
dc2a41b4
DL
6852
6853#define _PLANE_KEYMAX_1_B 0x711a0
6854#define _PLANE_KEYMAX_2_B 0x712a0
6855#define _PLANE_KEYMAX_1(pipe) _PIPE(pipe, _PLANE_KEYMAX_1_A, _PLANE_KEYMAX_1_B)
6856#define _PLANE_KEYMAX_2(pipe) _PIPE(pipe, _PLANE_KEYMAX_2_A, _PLANE_KEYMAX_2_B)
6857#define PLANE_KEYMAX(pipe, plane) \
f0f59a00 6858 _MMIO_PLANE(plane, _PLANE_KEYMAX_1(pipe), _PLANE_KEYMAX_2(pipe))
dc2a41b4 6859
8211bd5b
DL
6860#define _PLANE_BUF_CFG_1_B 0x7127c
6861#define _PLANE_BUF_CFG_2_B 0x7137c
d7e449a8 6862#define DDB_ENTRY_MASK 0x7FF /* skl+: 10 bits, icl+ 11 bits */
37cde11b 6863#define DDB_ENTRY_END_SHIFT 16
8211bd5b
DL
6864#define _PLANE_BUF_CFG_1(pipe) \
6865 _PIPE(pipe, _PLANE_BUF_CFG_1_A, _PLANE_BUF_CFG_1_B)
6866#define _PLANE_BUF_CFG_2(pipe) \
6867 _PIPE(pipe, _PLANE_BUF_CFG_2_A, _PLANE_BUF_CFG_2_B)
6868#define PLANE_BUF_CFG(pipe, plane) \
f0f59a00 6869 _MMIO_PLANE(plane, _PLANE_BUF_CFG_1(pipe), _PLANE_BUF_CFG_2(pipe))
8211bd5b 6870
2cd601c6
CK
6871#define _PLANE_NV12_BUF_CFG_1_B 0x71278
6872#define _PLANE_NV12_BUF_CFG_2_B 0x71378
6873#define _PLANE_NV12_BUF_CFG_1(pipe) \
6874 _PIPE(pipe, _PLANE_NV12_BUF_CFG_1_A, _PLANE_NV12_BUF_CFG_1_B)
6875#define _PLANE_NV12_BUF_CFG_2(pipe) \
6876 _PIPE(pipe, _PLANE_NV12_BUF_CFG_2_A, _PLANE_NV12_BUF_CFG_2_B)
6877#define PLANE_NV12_BUF_CFG(pipe, plane) \
f0f59a00 6878 _MMIO_PLANE(plane, _PLANE_NV12_BUF_CFG_1(pipe), _PLANE_NV12_BUF_CFG_2(pipe))
2cd601c6 6879
2e2adb05
VS
6880#define _PLANE_AUX_DIST_1_B 0x711c0
6881#define _PLANE_AUX_DIST_2_B 0x712c0
6882#define _PLANE_AUX_DIST_1(pipe) \
6883 _PIPE(pipe, _PLANE_AUX_DIST_1_A, _PLANE_AUX_DIST_1_B)
6884#define _PLANE_AUX_DIST_2(pipe) \
6885 _PIPE(pipe, _PLANE_AUX_DIST_2_A, _PLANE_AUX_DIST_2_B)
6886#define PLANE_AUX_DIST(pipe, plane) \
6887 _MMIO_PLANE(plane, _PLANE_AUX_DIST_1(pipe), _PLANE_AUX_DIST_2(pipe))
6888
6889#define _PLANE_AUX_OFFSET_1_B 0x711c4
6890#define _PLANE_AUX_OFFSET_2_B 0x712c4
6891#define _PLANE_AUX_OFFSET_1(pipe) \
6892 _PIPE(pipe, _PLANE_AUX_OFFSET_1_A, _PLANE_AUX_OFFSET_1_B)
6893#define _PLANE_AUX_OFFSET_2(pipe) \
6894 _PIPE(pipe, _PLANE_AUX_OFFSET_2_A, _PLANE_AUX_OFFSET_2_B)
6895#define PLANE_AUX_OFFSET(pipe, plane) \
6896 _MMIO_PLANE(plane, _PLANE_AUX_OFFSET_1(pipe), _PLANE_AUX_OFFSET_2(pipe))
6897
cb2458ba
ML
6898#define _PLANE_CUS_CTL_1_B 0x711c8
6899#define _PLANE_CUS_CTL_2_B 0x712c8
6900#define _PLANE_CUS_CTL_1(pipe) \
6901 _PIPE(pipe, _PLANE_CUS_CTL_1_A, _PLANE_CUS_CTL_1_B)
6902#define _PLANE_CUS_CTL_2(pipe) \
6903 _PIPE(pipe, _PLANE_CUS_CTL_2_A, _PLANE_CUS_CTL_2_B)
6904#define PLANE_CUS_CTL(pipe, plane) \
6905 _MMIO_PLANE(plane, _PLANE_CUS_CTL_1(pipe), _PLANE_CUS_CTL_2(pipe))
6906
47f9ea8b
ACO
6907#define _PLANE_COLOR_CTL_1_B 0x711CC
6908#define _PLANE_COLOR_CTL_2_B 0x712CC
6909#define _PLANE_COLOR_CTL_3_B 0x713CC
6910#define _PLANE_COLOR_CTL_1(pipe) \
6911 _PIPE(pipe, _PLANE_COLOR_CTL_1_A, _PLANE_COLOR_CTL_1_B)
6912#define _PLANE_COLOR_CTL_2(pipe) \
6913 _PIPE(pipe, _PLANE_COLOR_CTL_2_A, _PLANE_COLOR_CTL_2_B)
6914#define PLANE_COLOR_CTL(pipe, plane) \
6915 _MMIO_PLANE(plane, _PLANE_COLOR_CTL_1(pipe), _PLANE_COLOR_CTL_2(pipe))
6916
6917#/* SKL new cursor registers */
8211bd5b
DL
6918#define _CUR_BUF_CFG_A 0x7017c
6919#define _CUR_BUF_CFG_B 0x7117c
f0f59a00 6920#define CUR_BUF_CFG(pipe) _MMIO_PIPE(pipe, _CUR_BUF_CFG_A, _CUR_BUF_CFG_B)
8211bd5b 6921
585fb111 6922/* VBIOS regs */
f0f59a00 6923#define VGACNTRL _MMIO(0x71400)
585fb111
JB
6924# define VGA_DISP_DISABLE (1 << 31)
6925# define VGA_2X_MODE (1 << 30)
6926# define VGA_PIPE_B_SELECT (1 << 29)
6927
f0f59a00 6928#define VLV_VGACNTRL _MMIO(VLV_DISPLAY_BASE + 0x71400)
766aa1c4 6929
f2b115e6 6930/* Ironlake */
b9055052 6931
f0f59a00 6932#define CPU_VGACNTRL _MMIO(0x41000)
b9055052 6933
f0f59a00 6934#define DIGITAL_PORT_HOTPLUG_CNTRL _MMIO(0x44030)
40bfd7a3
VS
6935#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
6936#define DIGITAL_PORTA_PULSE_DURATION_2ms (0 << 2) /* pre-HSW */
6937#define DIGITAL_PORTA_PULSE_DURATION_4_5ms (1 << 2) /* pre-HSW */
6938#define DIGITAL_PORTA_PULSE_DURATION_6ms (2 << 2) /* pre-HSW */
6939#define DIGITAL_PORTA_PULSE_DURATION_100ms (3 << 2) /* pre-HSW */
6940#define DIGITAL_PORTA_PULSE_DURATION_MASK (3 << 2) /* pre-HSW */
6941#define DIGITAL_PORTA_HOTPLUG_STATUS_MASK (3 << 0)
6942#define DIGITAL_PORTA_HOTPLUG_NO_DETECT (0 << 0)
6943#define DIGITAL_PORTA_HOTPLUG_SHORT_DETECT (1 << 0)
6944#define DIGITAL_PORTA_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
6945
6946/* refresh rate hardware control */
f0f59a00 6947#define RR_HW_CTL _MMIO(0x45300)
b9055052
ZW
6948#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
6949#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
6950
f0f59a00 6951#define FDI_PLL_BIOS_0 _MMIO(0x46000)
021357ac 6952#define FDI_PLL_FB_CLOCK_MASK 0xff
f0f59a00
VS
6953#define FDI_PLL_BIOS_1 _MMIO(0x46004)
6954#define FDI_PLL_BIOS_2 _MMIO(0x46008)
6955#define DISPLAY_PORT_PLL_BIOS_0 _MMIO(0x4600c)
6956#define DISPLAY_PORT_PLL_BIOS_1 _MMIO(0x46010)
6957#define DISPLAY_PORT_PLL_BIOS_2 _MMIO(0x46014)
b9055052 6958
f0f59a00 6959#define PCH_3DCGDIS0 _MMIO(0x46020)
8956c8bb
EA
6960# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
6961# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
6962
f0f59a00 6963#define PCH_3DCGDIS1 _MMIO(0x46024)
06f37751
EA
6964# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
6965
f0f59a00 6966#define FDI_PLL_FREQ_CTL _MMIO(0x46030)
5ee8ee86 6967#define FDI_PLL_FREQ_CHANGE_REQUEST (1 << 24)
b9055052
ZW
6968#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
6969#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
6970
6971
a57c774a 6972#define _PIPEA_DATA_M1 0x60030
5eddb70b 6973#define PIPE_DATA_M1_OFFSET 0
a57c774a 6974#define _PIPEA_DATA_N1 0x60034
5eddb70b 6975#define PIPE_DATA_N1_OFFSET 0
b9055052 6976
a57c774a 6977#define _PIPEA_DATA_M2 0x60038
5eddb70b 6978#define PIPE_DATA_M2_OFFSET 0
a57c774a 6979#define _PIPEA_DATA_N2 0x6003c
5eddb70b 6980#define PIPE_DATA_N2_OFFSET 0
b9055052 6981
a57c774a 6982#define _PIPEA_LINK_M1 0x60040
5eddb70b 6983#define PIPE_LINK_M1_OFFSET 0
a57c774a 6984#define _PIPEA_LINK_N1 0x60044
5eddb70b 6985#define PIPE_LINK_N1_OFFSET 0
b9055052 6986
a57c774a 6987#define _PIPEA_LINK_M2 0x60048
5eddb70b 6988#define PIPE_LINK_M2_OFFSET 0
a57c774a 6989#define _PIPEA_LINK_N2 0x6004c
5eddb70b 6990#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
6991
6992/* PIPEB timing regs are same start from 0x61000 */
6993
a57c774a
AK
6994#define _PIPEB_DATA_M1 0x61030
6995#define _PIPEB_DATA_N1 0x61034
6996#define _PIPEB_DATA_M2 0x61038
6997#define _PIPEB_DATA_N2 0x6103c
6998#define _PIPEB_LINK_M1 0x61040
6999#define _PIPEB_LINK_N1 0x61044
7000#define _PIPEB_LINK_M2 0x61048
7001#define _PIPEB_LINK_N2 0x6104c
7002
f0f59a00
VS
7003#define PIPE_DATA_M1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M1)
7004#define PIPE_DATA_N1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N1)
7005#define PIPE_DATA_M2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M2)
7006#define PIPE_DATA_N2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N2)
7007#define PIPE_LINK_M1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M1)
7008#define PIPE_LINK_N1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N1)
7009#define PIPE_LINK_M2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M2)
7010#define PIPE_LINK_N2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N2)
b9055052
ZW
7011
7012/* CPU panel fitter */
9db4a9c7
JB
7013/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
7014#define _PFA_CTL_1 0x68080
7015#define _PFB_CTL_1 0x68880
5ee8ee86
PZ
7016#define PF_ENABLE (1 << 31)
7017#define PF_PIPE_SEL_MASK_IVB (3 << 29)
7018#define PF_PIPE_SEL_IVB(pipe) ((pipe) << 29)
7019#define PF_FILTER_MASK (3 << 23)
7020#define PF_FILTER_PROGRAMMED (0 << 23)
7021#define PF_FILTER_MED_3x3 (1 << 23)
7022#define PF_FILTER_EDGE_ENHANCE (2 << 23)
7023#define PF_FILTER_EDGE_SOFTEN (3 << 23)
9db4a9c7
JB
7024#define _PFA_WIN_SZ 0x68074
7025#define _PFB_WIN_SZ 0x68874
7026#define _PFA_WIN_POS 0x68070
7027#define _PFB_WIN_POS 0x68870
7028#define _PFA_VSCALE 0x68084
7029#define _PFB_VSCALE 0x68884
7030#define _PFA_HSCALE 0x68090
7031#define _PFB_HSCALE 0x68890
7032
f0f59a00
VS
7033#define PF_CTL(pipe) _MMIO_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
7034#define PF_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
7035#define PF_WIN_POS(pipe) _MMIO_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
7036#define PF_VSCALE(pipe) _MMIO_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
7037#define PF_HSCALE(pipe) _MMIO_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052 7038
bd2e244f
JB
7039#define _PSA_CTL 0x68180
7040#define _PSB_CTL 0x68980
5ee8ee86 7041#define PS_ENABLE (1 << 31)
bd2e244f
JB
7042#define _PSA_WIN_SZ 0x68174
7043#define _PSB_WIN_SZ 0x68974
7044#define _PSA_WIN_POS 0x68170
7045#define _PSB_WIN_POS 0x68970
7046
f0f59a00
VS
7047#define PS_CTL(pipe) _MMIO_PIPE(pipe, _PSA_CTL, _PSB_CTL)
7048#define PS_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PSA_WIN_SZ, _PSB_WIN_SZ)
7049#define PS_WIN_POS(pipe) _MMIO_PIPE(pipe, _PSA_WIN_POS, _PSB_WIN_POS)
bd2e244f 7050
1c9a2d4a
CK
7051/*
7052 * Skylake scalers
7053 */
7054#define _PS_1A_CTRL 0x68180
7055#define _PS_2A_CTRL 0x68280
7056#define _PS_1B_CTRL 0x68980
7057#define _PS_2B_CTRL 0x68A80
7058#define _PS_1C_CTRL 0x69180
7059#define PS_SCALER_EN (1 << 31)
0aaf29b3
ML
7060#define SKL_PS_SCALER_MODE_MASK (3 << 28)
7061#define SKL_PS_SCALER_MODE_DYN (0 << 28)
7062#define SKL_PS_SCALER_MODE_HQ (1 << 28)
e6e1948c
CK
7063#define SKL_PS_SCALER_MODE_NV12 (2 << 28)
7064#define PS_SCALER_MODE_PLANAR (1 << 29)
b1554e23 7065#define PS_SCALER_MODE_NORMAL (0 << 29)
1c9a2d4a 7066#define PS_PLANE_SEL_MASK (7 << 25)
68d97538 7067#define PS_PLANE_SEL(plane) (((plane) + 1) << 25)
1c9a2d4a
CK
7068#define PS_FILTER_MASK (3 << 23)
7069#define PS_FILTER_MEDIUM (0 << 23)
7070#define PS_FILTER_EDGE_ENHANCE (2 << 23)
7071#define PS_FILTER_BILINEAR (3 << 23)
7072#define PS_VERT3TAP (1 << 21)
7073#define PS_VERT_INT_INVERT_FIELD1 (0 << 20)
7074#define PS_VERT_INT_INVERT_FIELD0 (1 << 20)
7075#define PS_PWRUP_PROGRESS (1 << 17)
7076#define PS_V_FILTER_BYPASS (1 << 8)
7077#define PS_VADAPT_EN (1 << 7)
7078#define PS_VADAPT_MODE_MASK (3 << 5)
7079#define PS_VADAPT_MODE_LEAST_ADAPT (0 << 5)
7080#define PS_VADAPT_MODE_MOD_ADAPT (1 << 5)
7081#define PS_VADAPT_MODE_MOST_ADAPT (3 << 5)
b1554e23
ML
7082#define PS_PLANE_Y_SEL_MASK (7 << 5)
7083#define PS_PLANE_Y_SEL(plane) (((plane) + 1) << 5)
1c9a2d4a
CK
7084
7085#define _PS_PWR_GATE_1A 0x68160
7086#define _PS_PWR_GATE_2A 0x68260
7087#define _PS_PWR_GATE_1B 0x68960
7088#define _PS_PWR_GATE_2B 0x68A60
7089#define _PS_PWR_GATE_1C 0x69160
7090#define PS_PWR_GATE_DIS_OVERRIDE (1 << 31)
7091#define PS_PWR_GATE_SETTLING_TIME_32 (0 << 3)
7092#define PS_PWR_GATE_SETTLING_TIME_64 (1 << 3)
7093#define PS_PWR_GATE_SETTLING_TIME_96 (2 << 3)
7094#define PS_PWR_GATE_SETTLING_TIME_128 (3 << 3)
7095#define PS_PWR_GATE_SLPEN_8 0
7096#define PS_PWR_GATE_SLPEN_16 1
7097#define PS_PWR_GATE_SLPEN_24 2
7098#define PS_PWR_GATE_SLPEN_32 3
7099
7100#define _PS_WIN_POS_1A 0x68170
7101#define _PS_WIN_POS_2A 0x68270
7102#define _PS_WIN_POS_1B 0x68970
7103#define _PS_WIN_POS_2B 0x68A70
7104#define _PS_WIN_POS_1C 0x69170
7105
7106#define _PS_WIN_SZ_1A 0x68174
7107#define _PS_WIN_SZ_2A 0x68274
7108#define _PS_WIN_SZ_1B 0x68974
7109#define _PS_WIN_SZ_2B 0x68A74
7110#define _PS_WIN_SZ_1C 0x69174
7111
7112#define _PS_VSCALE_1A 0x68184
7113#define _PS_VSCALE_2A 0x68284
7114#define _PS_VSCALE_1B 0x68984
7115#define _PS_VSCALE_2B 0x68A84
7116#define _PS_VSCALE_1C 0x69184
7117
7118#define _PS_HSCALE_1A 0x68190
7119#define _PS_HSCALE_2A 0x68290
7120#define _PS_HSCALE_1B 0x68990
7121#define _PS_HSCALE_2B 0x68A90
7122#define _PS_HSCALE_1C 0x69190
7123
7124#define _PS_VPHASE_1A 0x68188
7125#define _PS_VPHASE_2A 0x68288
7126#define _PS_VPHASE_1B 0x68988
7127#define _PS_VPHASE_2B 0x68A88
7128#define _PS_VPHASE_1C 0x69188
0a59952b
VS
7129#define PS_Y_PHASE(x) ((x) << 16)
7130#define PS_UV_RGB_PHASE(x) ((x) << 0)
7131#define PS_PHASE_MASK (0x7fff << 1) /* u2.13 */
7132#define PS_PHASE_TRIP (1 << 0)
1c9a2d4a
CK
7133
7134#define _PS_HPHASE_1A 0x68194
7135#define _PS_HPHASE_2A 0x68294
7136#define _PS_HPHASE_1B 0x68994
7137#define _PS_HPHASE_2B 0x68A94
7138#define _PS_HPHASE_1C 0x69194
7139
7140#define _PS_ECC_STAT_1A 0x681D0
7141#define _PS_ECC_STAT_2A 0x682D0
7142#define _PS_ECC_STAT_1B 0x689D0
7143#define _PS_ECC_STAT_2B 0x68AD0
7144#define _PS_ECC_STAT_1C 0x691D0
7145
e67005e5 7146#define _ID(id, a, b) _PICK_EVEN(id, a, b)
f0f59a00 7147#define SKL_PS_CTRL(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7148 _ID(id, _PS_1A_CTRL, _PS_2A_CTRL), \
7149 _ID(id, _PS_1B_CTRL, _PS_2B_CTRL))
f0f59a00 7150#define SKL_PS_PWR_GATE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7151 _ID(id, _PS_PWR_GATE_1A, _PS_PWR_GATE_2A), \
7152 _ID(id, _PS_PWR_GATE_1B, _PS_PWR_GATE_2B))
f0f59a00 7153#define SKL_PS_WIN_POS(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7154 _ID(id, _PS_WIN_POS_1A, _PS_WIN_POS_2A), \
7155 _ID(id, _PS_WIN_POS_1B, _PS_WIN_POS_2B))
f0f59a00 7156#define SKL_PS_WIN_SZ(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7157 _ID(id, _PS_WIN_SZ_1A, _PS_WIN_SZ_2A), \
7158 _ID(id, _PS_WIN_SZ_1B, _PS_WIN_SZ_2B))
f0f59a00 7159#define SKL_PS_VSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7160 _ID(id, _PS_VSCALE_1A, _PS_VSCALE_2A), \
7161 _ID(id, _PS_VSCALE_1B, _PS_VSCALE_2B))
f0f59a00 7162#define SKL_PS_HSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7163 _ID(id, _PS_HSCALE_1A, _PS_HSCALE_2A), \
7164 _ID(id, _PS_HSCALE_1B, _PS_HSCALE_2B))
f0f59a00 7165#define SKL_PS_VPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7166 _ID(id, _PS_VPHASE_1A, _PS_VPHASE_2A), \
7167 _ID(id, _PS_VPHASE_1B, _PS_VPHASE_2B))
f0f59a00 7168#define SKL_PS_HPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7169 _ID(id, _PS_HPHASE_1A, _PS_HPHASE_2A), \
7170 _ID(id, _PS_HPHASE_1B, _PS_HPHASE_2B))
f0f59a00 7171#define SKL_PS_ECC_STAT(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a 7172 _ID(id, _PS_ECC_STAT_1A, _PS_ECC_STAT_2A), \
9bca5d0c 7173 _ID(id, _PS_ECC_STAT_1B, _PS_ECC_STAT_2B))
1c9a2d4a 7174
b9055052 7175/* legacy palette */
9db4a9c7
JB
7176#define _LGC_PALETTE_A 0x4a000
7177#define _LGC_PALETTE_B 0x4a800
f0f59a00 7178#define LGC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B) + (i) * 4)
b9055052 7179
514462ca
VS
7180/* ilk/snb precision palette */
7181#define _PREC_PALETTE_A 0x4b000
7182#define _PREC_PALETTE_B 0x4c000
7183#define PREC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _PREC_PALETTE_A, _PREC_PALETTE_B) + (i) * 4)
7184
7185#define _PREC_PIPEAGCMAX 0x4d000
7186#define _PREC_PIPEBGCMAX 0x4d010
7187#define PREC_PIPEGCMAX(pipe, i) _MMIO(_PIPE(pipe, _PIPEAGCMAX, _PIPEBGCMAX) + (i) * 4)
7188
42db64ef
PZ
7189#define _GAMMA_MODE_A 0x4a480
7190#define _GAMMA_MODE_B 0x4ac80
f0f59a00 7191#define GAMMA_MODE(pipe) _MMIO_PIPE(pipe, _GAMMA_MODE_A, _GAMMA_MODE_B)
13717cef
US
7192#define PRE_CSC_GAMMA_ENABLE (1 << 31)
7193#define POST_CSC_GAMMA_ENABLE (1 << 30)
5bda1aca 7194#define GAMMA_MODE_MODE_MASK (3 << 0)
13717cef
US
7195#define GAMMA_MODE_MODE_8BIT (0 << 0)
7196#define GAMMA_MODE_MODE_10BIT (1 << 0)
7197#define GAMMA_MODE_MODE_12BIT (2 << 0)
377c70ed
US
7198#define GAMMA_MODE_MODE_SPLIT (3 << 0) /* ivb-bdw */
7199#define GAMMA_MODE_MODE_12BIT_MULTI_SEGMENTED (3 << 0) /* icl + */
42db64ef 7200
8337206d 7201/* DMC/CSR */
f0f59a00 7202#define CSR_PROGRAM(i) _MMIO(0x80000 + (i) * 4)
6fb403de
MK
7203#define CSR_SSP_BASE_ADDR_GEN9 0x00002FC0
7204#define CSR_HTP_ADDR_SKL 0x00500034
f0f59a00
VS
7205#define CSR_SSP_BASE _MMIO(0x8F074)
7206#define CSR_HTP_SKL _MMIO(0x8F004)
7207#define CSR_LAST_WRITE _MMIO(0x8F034)
6fb403de
MK
7208#define CSR_LAST_WRITE_VALUE 0xc003b400
7209/* MMIO address range for CSR program (0x80000 - 0x82FFF) */
7210#define CSR_MMIO_START_RANGE 0x80000
7211#define CSR_MMIO_END_RANGE 0x8FFFF
f0f59a00
VS
7212#define SKL_CSR_DC3_DC5_COUNT _MMIO(0x80030)
7213#define SKL_CSR_DC5_DC6_COUNT _MMIO(0x8002C)
7214#define BXT_CSR_DC3_DC5_COUNT _MMIO(0x80038)
5d571068
JRS
7215#define TGL_DMC_DEBUG_DC5_COUNT _MMIO(0x101084)
7216#define TGL_DMC_DEBUG_DC6_COUNT _MMIO(0x101088)
8337206d 7217
b9055052
ZW
7218/* interrupts */
7219#define DE_MASTER_IRQ_CONTROL (1 << 31)
7220#define DE_SPRITEB_FLIP_DONE (1 << 29)
7221#define DE_SPRITEA_FLIP_DONE (1 << 28)
7222#define DE_PLANEB_FLIP_DONE (1 << 27)
7223#define DE_PLANEA_FLIP_DONE (1 << 26)
40da17c2 7224#define DE_PLANE_FLIP_DONE(plane) (1 << (26 + (plane)))
b9055052
ZW
7225#define DE_PCU_EVENT (1 << 25)
7226#define DE_GTT_FAULT (1 << 24)
7227#define DE_POISON (1 << 23)
7228#define DE_PERFORM_COUNTER (1 << 22)
7229#define DE_PCH_EVENT (1 << 21)
7230#define DE_AUX_CHANNEL_A (1 << 20)
7231#define DE_DP_A_HOTPLUG (1 << 19)
7232#define DE_GSE (1 << 18)
7233#define DE_PIPEB_VBLANK (1 << 15)
7234#define DE_PIPEB_EVEN_FIELD (1 << 14)
7235#define DE_PIPEB_ODD_FIELD (1 << 13)
7236#define DE_PIPEB_LINE_COMPARE (1 << 12)
7237#define DE_PIPEB_VSYNC (1 << 11)
5b3a856b 7238#define DE_PIPEB_CRC_DONE (1 << 10)
b9055052
ZW
7239#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
7240#define DE_PIPEA_VBLANK (1 << 7)
5ee8ee86 7241#define DE_PIPE_VBLANK(pipe) (1 << (7 + 8 * (pipe)))
b9055052
ZW
7242#define DE_PIPEA_EVEN_FIELD (1 << 6)
7243#define DE_PIPEA_ODD_FIELD (1 << 5)
7244#define DE_PIPEA_LINE_COMPARE (1 << 4)
7245#define DE_PIPEA_VSYNC (1 << 3)
5b3a856b 7246#define DE_PIPEA_CRC_DONE (1 << 2)
5ee8ee86 7247#define DE_PIPE_CRC_DONE(pipe) (1 << (2 + 8 * (pipe)))
b9055052 7248#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
5ee8ee86 7249#define DE_PIPE_FIFO_UNDERRUN(pipe) (1 << (8 * (pipe)))
b9055052 7250
b1f14ad0 7251/* More Ivybridge lolz */
5ee8ee86
PZ
7252#define DE_ERR_INT_IVB (1 << 30)
7253#define DE_GSE_IVB (1 << 29)
7254#define DE_PCH_EVENT_IVB (1 << 28)
7255#define DE_DP_A_HOTPLUG_IVB (1 << 27)
7256#define DE_AUX_CHANNEL_A_IVB (1 << 26)
7257#define DE_EDP_PSR_INT_HSW (1 << 19)
7258#define DE_SPRITEC_FLIP_DONE_IVB (1 << 14)
7259#define DE_PLANEC_FLIP_DONE_IVB (1 << 13)
7260#define DE_PIPEC_VBLANK_IVB (1 << 10)
7261#define DE_SPRITEB_FLIP_DONE_IVB (1 << 9)
7262#define DE_PLANEB_FLIP_DONE_IVB (1 << 8)
7263#define DE_PIPEB_VBLANK_IVB (1 << 5)
7264#define DE_SPRITEA_FLIP_DONE_IVB (1 << 4)
7265#define DE_PLANEA_FLIP_DONE_IVB (1 << 3)
7266#define DE_PLANE_FLIP_DONE_IVB(plane) (1 << (3 + 5 * (plane)))
7267#define DE_PIPEA_VBLANK_IVB (1 << 0)
68d97538 7268#define DE_PIPE_VBLANK_IVB(pipe) (1 << ((pipe) * 5))
b518421f 7269
f0f59a00 7270#define VLV_MASTER_IER _MMIO(0x4400c) /* Gunit master IER */
5ee8ee86 7271#define MASTER_INTERRUPT_ENABLE (1 << 31)
7eea1ddf 7272
f0f59a00
VS
7273#define DEISR _MMIO(0x44000)
7274#define DEIMR _MMIO(0x44004)
7275#define DEIIR _MMIO(0x44008)
7276#define DEIER _MMIO(0x4400c)
b9055052 7277
f0f59a00
VS
7278#define GTISR _MMIO(0x44010)
7279#define GTIMR _MMIO(0x44014)
7280#define GTIIR _MMIO(0x44018)
7281#define GTIER _MMIO(0x4401c)
b9055052 7282
f0f59a00 7283#define GEN8_MASTER_IRQ _MMIO(0x44200)
5ee8ee86
PZ
7284#define GEN8_MASTER_IRQ_CONTROL (1 << 31)
7285#define GEN8_PCU_IRQ (1 << 30)
7286#define GEN8_DE_PCH_IRQ (1 << 23)
7287#define GEN8_DE_MISC_IRQ (1 << 22)
7288#define GEN8_DE_PORT_IRQ (1 << 20)
7289#define GEN8_DE_PIPE_C_IRQ (1 << 18)
7290#define GEN8_DE_PIPE_B_IRQ (1 << 17)
7291#define GEN8_DE_PIPE_A_IRQ (1 << 16)
7292#define GEN8_DE_PIPE_IRQ(pipe) (1 << (16 + (pipe)))
7293#define GEN8_GT_VECS_IRQ (1 << 6)
7294#define GEN8_GT_GUC_IRQ (1 << 5)
7295#define GEN8_GT_PM_IRQ (1 << 4)
8a68d464
CW
7296#define GEN8_GT_VCS1_IRQ (1 << 3) /* NB: VCS2 in bspec! */
7297#define GEN8_GT_VCS0_IRQ (1 << 2) /* NB: VCS1 in bpsec! */
5ee8ee86
PZ
7298#define GEN8_GT_BCS_IRQ (1 << 1)
7299#define GEN8_GT_RCS_IRQ (1 << 0)
abd58f01 7300
f0f59a00
VS
7301#define GEN8_GT_ISR(which) _MMIO(0x44300 + (0x10 * (which)))
7302#define GEN8_GT_IMR(which) _MMIO(0x44304 + (0x10 * (which)))
7303#define GEN8_GT_IIR(which) _MMIO(0x44308 + (0x10 * (which)))
7304#define GEN8_GT_IER(which) _MMIO(0x4430c + (0x10 * (which)))
abd58f01 7305
abd58f01 7306#define GEN8_RCS_IRQ_SHIFT 0
4df001d3 7307#define GEN8_BCS_IRQ_SHIFT 16
8a68d464
CW
7308#define GEN8_VCS0_IRQ_SHIFT 0 /* NB: VCS1 in bspec! */
7309#define GEN8_VCS1_IRQ_SHIFT 16 /* NB: VCS2 in bpsec! */
abd58f01 7310#define GEN8_VECS_IRQ_SHIFT 0
4df001d3 7311#define GEN8_WD_IRQ_SHIFT 16
abd58f01 7312
f0f59a00
VS
7313#define GEN8_DE_PIPE_ISR(pipe) _MMIO(0x44400 + (0x10 * (pipe)))
7314#define GEN8_DE_PIPE_IMR(pipe) _MMIO(0x44404 + (0x10 * (pipe)))
7315#define GEN8_DE_PIPE_IIR(pipe) _MMIO(0x44408 + (0x10 * (pipe)))
7316#define GEN8_DE_PIPE_IER(pipe) _MMIO(0x4440c + (0x10 * (pipe)))
38d83c96 7317#define GEN8_PIPE_FIFO_UNDERRUN (1 << 31)
abd58f01
BW
7318#define GEN8_PIPE_CDCLK_CRC_ERROR (1 << 29)
7319#define GEN8_PIPE_CDCLK_CRC_DONE (1 << 28)
7320#define GEN8_PIPE_CURSOR_FAULT (1 << 10)
7321#define GEN8_PIPE_SPRITE_FAULT (1 << 9)
7322#define GEN8_PIPE_PRIMARY_FAULT (1 << 8)
7323#define GEN8_PIPE_SPRITE_FLIP_DONE (1 << 5)
d0e1f1cb 7324#define GEN8_PIPE_PRIMARY_FLIP_DONE (1 << 4)
abd58f01
BW
7325#define GEN8_PIPE_SCAN_LINE_EVENT (1 << 2)
7326#define GEN8_PIPE_VSYNC (1 << 1)
7327#define GEN8_PIPE_VBLANK (1 << 0)
770de83d 7328#define GEN9_PIPE_CURSOR_FAULT (1 << 11)
b21249c9 7329#define GEN9_PIPE_PLANE4_FAULT (1 << 10)
770de83d
DL
7330#define GEN9_PIPE_PLANE3_FAULT (1 << 9)
7331#define GEN9_PIPE_PLANE2_FAULT (1 << 8)
7332#define GEN9_PIPE_PLANE1_FAULT (1 << 7)
b21249c9 7333#define GEN9_PIPE_PLANE4_FLIP_DONE (1 << 6)
770de83d
DL
7334#define GEN9_PIPE_PLANE3_FLIP_DONE (1 << 5)
7335#define GEN9_PIPE_PLANE2_FLIP_DONE (1 << 4)
7336#define GEN9_PIPE_PLANE1_FLIP_DONE (1 << 3)
68d97538 7337#define GEN9_PIPE_PLANE_FLIP_DONE(p) (1 << (3 + (p)))
30100f2b
DV
7338#define GEN8_DE_PIPE_IRQ_FAULT_ERRORS \
7339 (GEN8_PIPE_CURSOR_FAULT | \
7340 GEN8_PIPE_SPRITE_FAULT | \
7341 GEN8_PIPE_PRIMARY_FAULT)
770de83d
DL
7342#define GEN9_DE_PIPE_IRQ_FAULT_ERRORS \
7343 (GEN9_PIPE_CURSOR_FAULT | \
b21249c9 7344 GEN9_PIPE_PLANE4_FAULT | \
770de83d
DL
7345 GEN9_PIPE_PLANE3_FAULT | \
7346 GEN9_PIPE_PLANE2_FAULT | \
7347 GEN9_PIPE_PLANE1_FAULT)
abd58f01 7348
f0f59a00
VS
7349#define GEN8_DE_PORT_ISR _MMIO(0x44440)
7350#define GEN8_DE_PORT_IMR _MMIO(0x44444)
7351#define GEN8_DE_PORT_IIR _MMIO(0x44448)
7352#define GEN8_DE_PORT_IER _MMIO(0x4444c)
bb187e93 7353#define ICL_AUX_CHANNEL_E (1 << 29)
a324fcac 7354#define CNL_AUX_CHANNEL_F (1 << 28)
88e04703
JB
7355#define GEN9_AUX_CHANNEL_D (1 << 27)
7356#define GEN9_AUX_CHANNEL_C (1 << 26)
7357#define GEN9_AUX_CHANNEL_B (1 << 25)
e0a20ad7
SS
7358#define BXT_DE_PORT_HP_DDIC (1 << 5)
7359#define BXT_DE_PORT_HP_DDIB (1 << 4)
7360#define BXT_DE_PORT_HP_DDIA (1 << 3)
7361#define BXT_DE_PORT_HOTPLUG_MASK (BXT_DE_PORT_HP_DDIA | \
7362 BXT_DE_PORT_HP_DDIB | \
7363 BXT_DE_PORT_HP_DDIC)
7364#define GEN8_PORT_DP_A_HOTPLUG (1 << 3)
9e63743e 7365#define BXT_DE_PORT_GMBUS (1 << 1)
6d766f02 7366#define GEN8_AUX_CHANNEL_A (1 << 0)
55523360
LDM
7367#define TGL_DE_PORT_AUX_DDIC (1 << 2)
7368#define TGL_DE_PORT_AUX_DDIB (1 << 1)
7369#define TGL_DE_PORT_AUX_DDIA (1 << 0)
abd58f01 7370
f0f59a00
VS
7371#define GEN8_DE_MISC_ISR _MMIO(0x44460)
7372#define GEN8_DE_MISC_IMR _MMIO(0x44464)
7373#define GEN8_DE_MISC_IIR _MMIO(0x44468)
7374#define GEN8_DE_MISC_IER _MMIO(0x4446c)
abd58f01 7375#define GEN8_DE_MISC_GSE (1 << 27)
e04f7ece 7376#define GEN8_DE_EDP_PSR (1 << 19)
abd58f01 7377
f0f59a00
VS
7378#define GEN8_PCU_ISR _MMIO(0x444e0)
7379#define GEN8_PCU_IMR _MMIO(0x444e4)
7380#define GEN8_PCU_IIR _MMIO(0x444e8)
7381#define GEN8_PCU_IER _MMIO(0x444ec)
abd58f01 7382
df0d28c1
DP
7383#define GEN11_GU_MISC_ISR _MMIO(0x444f0)
7384#define GEN11_GU_MISC_IMR _MMIO(0x444f4)
7385#define GEN11_GU_MISC_IIR _MMIO(0x444f8)
7386#define GEN11_GU_MISC_IER _MMIO(0x444fc)
7387#define GEN11_GU_MISC_GSE (1 << 27)
7388
a6358dda
TU
7389#define GEN11_GFX_MSTR_IRQ _MMIO(0x190010)
7390#define GEN11_MASTER_IRQ (1 << 31)
7391#define GEN11_PCU_IRQ (1 << 30)
df0d28c1 7392#define GEN11_GU_MISC_IRQ (1 << 29)
a6358dda
TU
7393#define GEN11_DISPLAY_IRQ (1 << 16)
7394#define GEN11_GT_DW_IRQ(x) (1 << (x))
7395#define GEN11_GT_DW1_IRQ (1 << 1)
7396#define GEN11_GT_DW0_IRQ (1 << 0)
7397
7398#define GEN11_DISPLAY_INT_CTL _MMIO(0x44200)
7399#define GEN11_DISPLAY_IRQ_ENABLE (1 << 31)
7400#define GEN11_AUDIO_CODEC_IRQ (1 << 24)
7401#define GEN11_DE_PCH_IRQ (1 << 23)
7402#define GEN11_DE_MISC_IRQ (1 << 22)
121e758e 7403#define GEN11_DE_HPD_IRQ (1 << 21)
a6358dda
TU
7404#define GEN11_DE_PORT_IRQ (1 << 20)
7405#define GEN11_DE_PIPE_C (1 << 18)
7406#define GEN11_DE_PIPE_B (1 << 17)
7407#define GEN11_DE_PIPE_A (1 << 16)
7408
121e758e
DP
7409#define GEN11_DE_HPD_ISR _MMIO(0x44470)
7410#define GEN11_DE_HPD_IMR _MMIO(0x44474)
7411#define GEN11_DE_HPD_IIR _MMIO(0x44478)
7412#define GEN11_DE_HPD_IER _MMIO(0x4447c)
48ef15d3
JRS
7413#define GEN12_TC6_HOTPLUG (1 << 21)
7414#define GEN12_TC5_HOTPLUG (1 << 20)
121e758e
DP
7415#define GEN11_TC4_HOTPLUG (1 << 19)
7416#define GEN11_TC3_HOTPLUG (1 << 18)
7417#define GEN11_TC2_HOTPLUG (1 << 17)
7418#define GEN11_TC1_HOTPLUG (1 << 16)
b9fcddab 7419#define GEN11_TC_HOTPLUG(tc_port) (1 << ((tc_port) + 16))
48ef15d3
JRS
7420#define GEN11_DE_TC_HOTPLUG_MASK (GEN12_TC6_HOTPLUG | \
7421 GEN12_TC5_HOTPLUG | \
7422 GEN11_TC4_HOTPLUG | \
121e758e
DP
7423 GEN11_TC3_HOTPLUG | \
7424 GEN11_TC2_HOTPLUG | \
7425 GEN11_TC1_HOTPLUG)
48ef15d3
JRS
7426#define GEN12_TBT6_HOTPLUG (1 << 5)
7427#define GEN12_TBT5_HOTPLUG (1 << 4)
b796b971
DP
7428#define GEN11_TBT4_HOTPLUG (1 << 3)
7429#define GEN11_TBT3_HOTPLUG (1 << 2)
7430#define GEN11_TBT2_HOTPLUG (1 << 1)
7431#define GEN11_TBT1_HOTPLUG (1 << 0)
b9fcddab 7432#define GEN11_TBT_HOTPLUG(tc_port) (1 << (tc_port))
48ef15d3
JRS
7433#define GEN11_DE_TBT_HOTPLUG_MASK (GEN12_TBT6_HOTPLUG | \
7434 GEN12_TBT5_HOTPLUG | \
7435 GEN11_TBT4_HOTPLUG | \
b796b971
DP
7436 GEN11_TBT3_HOTPLUG | \
7437 GEN11_TBT2_HOTPLUG | \
7438 GEN11_TBT1_HOTPLUG)
7439
7440#define GEN11_TBT_HOTPLUG_CTL _MMIO(0x44030)
121e758e
DP
7441#define GEN11_TC_HOTPLUG_CTL _MMIO(0x44038)
7442#define GEN11_HOTPLUG_CTL_ENABLE(tc_port) (8 << (tc_port) * 4)
7443#define GEN11_HOTPLUG_CTL_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
7444#define GEN11_HOTPLUG_CTL_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
7445#define GEN11_HOTPLUG_CTL_NO_DETECT(tc_port) (0 << (tc_port) * 4)
7446
a6358dda
TU
7447#define GEN11_GT_INTR_DW0 _MMIO(0x190018)
7448#define GEN11_CSME (31)
7449#define GEN11_GUNIT (28)
7450#define GEN11_GUC (25)
7451#define GEN11_WDPERF (20)
7452#define GEN11_KCR (19)
7453#define GEN11_GTPM (16)
7454#define GEN11_BCS (15)
7455#define GEN11_RCS0 (0)
7456
7457#define GEN11_GT_INTR_DW1 _MMIO(0x19001c)
7458#define GEN11_VECS(x) (31 - (x))
7459#define GEN11_VCS(x) (x)
7460
9e8789ec 7461#define GEN11_GT_INTR_DW(x) _MMIO(0x190018 + ((x) * 4))
a6358dda
TU
7462
7463#define GEN11_INTR_IDENTITY_REG0 _MMIO(0x190060)
7464#define GEN11_INTR_IDENTITY_REG1 _MMIO(0x190064)
7465#define GEN11_INTR_DATA_VALID (1 << 31)
f744dbc2
MK
7466#define GEN11_INTR_ENGINE_CLASS(x) (((x) & GENMASK(18, 16)) >> 16)
7467#define GEN11_INTR_ENGINE_INSTANCE(x) (((x) & GENMASK(25, 20)) >> 20)
7468#define GEN11_INTR_ENGINE_INTR(x) ((x) & 0xffff)
3d7b3039
DCS
7469/* irq instances for OTHER_CLASS */
7470#define OTHER_GUC_INSTANCE 0
7471#define OTHER_GTPM_INSTANCE 1
a6358dda 7472
9e8789ec 7473#define GEN11_INTR_IDENTITY_REG(x) _MMIO(0x190060 + ((x) * 4))
a6358dda
TU
7474
7475#define GEN11_IIR_REG0_SELECTOR _MMIO(0x190070)
7476#define GEN11_IIR_REG1_SELECTOR _MMIO(0x190074)
7477
9e8789ec 7478#define GEN11_IIR_REG_SELECTOR(x) _MMIO(0x190070 + ((x) * 4))
a6358dda
TU
7479
7480#define GEN11_RENDER_COPY_INTR_ENABLE _MMIO(0x190030)
7481#define GEN11_VCS_VECS_INTR_ENABLE _MMIO(0x190034)
7482#define GEN11_GUC_SG_INTR_ENABLE _MMIO(0x190038)
7483#define GEN11_GPM_WGBOXPERF_INTR_ENABLE _MMIO(0x19003c)
7484#define GEN11_CRYPTO_RSVD_INTR_ENABLE _MMIO(0x190040)
7485#define GEN11_GUNIT_CSME_INTR_ENABLE _MMIO(0x190044)
7486
7487#define GEN11_RCS0_RSVD_INTR_MASK _MMIO(0x190090)
7488#define GEN11_BCS_RSVD_INTR_MASK _MMIO(0x1900a0)
7489#define GEN11_VCS0_VCS1_INTR_MASK _MMIO(0x1900a8)
7490#define GEN11_VCS2_VCS3_INTR_MASK _MMIO(0x1900ac)
7491#define GEN11_VECS0_VECS1_INTR_MASK _MMIO(0x1900d0)
7492#define GEN11_GUC_SG_INTR_MASK _MMIO(0x1900e8)
7493#define GEN11_GPM_WGBOXPERF_INTR_MASK _MMIO(0x1900ec)
7494#define GEN11_CRYPTO_RSVD_INTR_MASK _MMIO(0x1900f0)
7495#define GEN11_GUNIT_CSME_INTR_MASK _MMIO(0x1900f4)
7496
54c52a84
OM
7497#define ENGINE1_MASK REG_GENMASK(31, 16)
7498#define ENGINE0_MASK REG_GENMASK(15, 0)
7499
f0f59a00 7500#define ILK_DISPLAY_CHICKEN2 _MMIO(0x42004)
67e92af0
EA
7501/* Required on all Ironlake and Sandybridge according to the B-Spec. */
7502#define ILK_ELPIN_409_SELECT (1 << 25)
5ee8ee86
PZ
7503#define ILK_DPARB_GATE (1 << 22)
7504#define ILK_VSDPFD_FULL (1 << 21)
f0f59a00 7505#define FUSE_STRAP _MMIO(0x42014)
e3589908
DL
7506#define ILK_INTERNAL_GRAPHICS_DISABLE (1 << 31)
7507#define ILK_INTERNAL_DISPLAY_DISABLE (1 << 30)
7508#define ILK_DISPLAY_DEBUG_DISABLE (1 << 29)
8c448cad 7509#define IVB_PIPE_C_DISABLE (1 << 28)
e3589908
DL
7510#define ILK_HDCP_DISABLE (1 << 25)
7511#define ILK_eDP_A_DISABLE (1 << 24)
7512#define HSW_CDCLK_LIMIT (1 << 24)
7513#define ILK_DESKTOP (1 << 23)
b16c7ed9 7514#define HSW_CPU_SSC_ENABLE (1 << 21)
231e54f6 7515
86761789
VS
7516#define FUSE_STRAP3 _MMIO(0x42020)
7517#define HSW_REF_CLK_SELECT (1 << 1)
7518
f0f59a00 7519#define ILK_DSPCLK_GATE_D _MMIO(0x42020)
231e54f6
DL
7520#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
7521#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
7522#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
7523#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
7524#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 7525
f0f59a00 7526#define IVB_CHICKEN3 _MMIO(0x4200c)
116ac8d2
EA
7527# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
7528# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
7529
f0f59a00 7530#define CHICKEN_PAR1_1 _MMIO(0x42080)
93564044 7531#define SKL_DE_COMPRESSED_HASH_MODE (1 << 15)
fe4ab3ce 7532#define DPA_MASK_VBLANK_SRD (1 << 15)
90a88643 7533#define FORCE_ARB_IDLE_PLANES (1 << 14)
dc00b6a0 7534#define SKL_EDP_PSR_FIX_RDWRAP (1 << 3)
90a88643 7535
17e0adf0
MK
7536#define CHICKEN_PAR2_1 _MMIO(0x42090)
7537#define KVM_CONFIG_CHANGE_NOTIFICATION_SELECT (1 << 14)
7538
f4f4b59b 7539#define CHICKEN_MISC_2 _MMIO(0x42084)
746a5173 7540#define CNL_COMP_PWR_DOWN (1 << 23)
f4f4b59b 7541#define GLK_CL2_PWR_DOWN (1 << 12)
746a5173
PZ
7542#define GLK_CL1_PWR_DOWN (1 << 11)
7543#define GLK_CL0_PWR_DOWN (1 << 10)
d8d4a512 7544
5654a162
PP
7545#define CHICKEN_MISC_4 _MMIO(0x4208c)
7546#define FBC_STRIDE_OVERRIDE (1 << 13)
7547#define FBC_STRIDE_MASK 0x1FFF
7548
fe4ab3ce
BW
7549#define _CHICKEN_PIPESL_1_A 0x420b0
7550#define _CHICKEN_PIPESL_1_B 0x420b4
8f670bb1
VS
7551#define HSW_FBCQ_DIS (1 << 22)
7552#define BDW_DPRS_MASK_VBLANK_SRD (1 << 0)
f0f59a00 7553#define CHICKEN_PIPESL_1(pipe) _MMIO_PIPE(pipe, _CHICKEN_PIPESL_1_A, _CHICKEN_PIPESL_1_B)
fe4ab3ce 7554
8f19b401
ID
7555#define CHICKEN_TRANS_A _MMIO(0x420c0)
7556#define CHICKEN_TRANS_B _MMIO(0x420c4)
7557#define CHICKEN_TRANS_C _MMIO(0x420c8)
7558#define CHICKEN_TRANS_EDP _MMIO(0x420cc)
5ee8ee86
PZ
7559#define VSC_DATA_SEL_SOFTWARE_CONTROL (1 << 25) /* GLK and CNL+ */
7560#define DDI_TRAINING_OVERRIDE_ENABLE (1 << 19)
7561#define DDI_TRAINING_OVERRIDE_VALUE (1 << 18)
7562#define DDIE_TRAINING_OVERRIDE_ENABLE (1 << 17) /* CHICKEN_TRANS_A only */
7563#define DDIE_TRAINING_OVERRIDE_VALUE (1 << 16) /* CHICKEN_TRANS_A only */
7564#define PSR2_ADD_VERTICAL_LINE_COUNT (1 << 15)
7565#define PSR2_VSC_ENABLE_PROG_HEADER (1 << 12)
d86f0482 7566
f0f59a00 7567#define DISP_ARB_CTL _MMIO(0x45000)
5ee8ee86
PZ
7568#define DISP_FBC_MEMORY_WAKE (1 << 31)
7569#define DISP_TILE_SURFACE_SWIZZLING (1 << 13)
7570#define DISP_FBC_WM_DIS (1 << 15)
f0f59a00 7571#define DISP_ARB_CTL2 _MMIO(0x45004)
5ee8ee86
PZ
7572#define DISP_DATA_PARTITION_5_6 (1 << 6)
7573#define DISP_IPC_ENABLE (1 << 3)
f0f59a00 7574#define DBUF_CTL _MMIO(0x45008)
746edf8f
MK
7575#define DBUF_CTL_S1 _MMIO(0x45008)
7576#define DBUF_CTL_S2 _MMIO(0x44FE8)
5ee8ee86
PZ
7577#define DBUF_POWER_REQUEST (1 << 31)
7578#define DBUF_POWER_STATE (1 << 30)
f0f59a00 7579#define GEN7_MSG_CTL _MMIO(0x45010)
5ee8ee86
PZ
7580#define WAIT_FOR_PCH_RESET_ACK (1 << 1)
7581#define WAIT_FOR_PCH_FLR_ACK (1 << 0)
f0f59a00 7582#define HSW_NDE_RSTWRN_OPT _MMIO(0x46408)
5ee8ee86 7583#define RESET_PCH_HANDSHAKE_ENABLE (1 << 4)
553bd149 7584
590e8ff0 7585#define GEN8_CHICKEN_DCPR_1 _MMIO(0x46430)
ad186f3f
PZ
7586#define SKL_SELECT_ALTERNATE_DC_EXIT (1 << 30)
7587#define MASK_WAKEMEM (1 << 13)
7588#define CNL_DDI_CLOCK_REG_ACCESS_ON (1 << 7)
590e8ff0 7589
f0f59a00 7590#define SKL_DFSM _MMIO(0x51000)
a9419e84
DL
7591#define SKL_DFSM_CDCLK_LIMIT_MASK (3 << 23)
7592#define SKL_DFSM_CDCLK_LIMIT_675 (0 << 23)
7593#define SKL_DFSM_CDCLK_LIMIT_540 (1 << 23)
7594#define SKL_DFSM_CDCLK_LIMIT_450 (2 << 23)
7595#define SKL_DFSM_CDCLK_LIMIT_337_5 (3 << 23)
bf4f2fb0
PJ
7596#define SKL_DFSM_PIPE_A_DISABLE (1 << 30)
7597#define SKL_DFSM_PIPE_B_DISABLE (1 << 21)
7598#define SKL_DFSM_PIPE_C_DISABLE (1 << 28)
7ff0fca4 7599#define TGL_DFSM_PIPE_D_DISABLE (1 << 22)
a9419e84 7600
186a277e
PZ
7601#define SKL_DSSM _MMIO(0x51004)
7602#define CNL_DSSM_CDCLK_PLL_REFCLK_24MHz (1 << 31)
7603#define ICL_DSSM_CDCLK_PLL_REFCLK_MASK (7 << 29)
7604#define ICL_DSSM_CDCLK_PLL_REFCLK_24MHz (0 << 29)
7605#define ICL_DSSM_CDCLK_PLL_REFCLK_19_2MHz (1 << 29)
7606#define ICL_DSSM_CDCLK_PLL_REFCLK_38_4MHz (2 << 29)
945f2672 7607
a78536e7 7608#define GEN7_FF_SLICE_CS_CHICKEN1 _MMIO(0x20e0)
5ee8ee86 7609#define GEN9_FFSC_PERCTX_PREEMPT_CTRL (1 << 14)
a78536e7 7610
f0f59a00 7611#define FF_SLICE_CS_CHICKEN2 _MMIO(0x20e4)
5ee8ee86
PZ
7612#define GEN9_TSG_BARRIER_ACK_DISABLE (1 << 8)
7613#define GEN9_POOLED_EU_LOAD_BALANCING_FIX_DISABLE (1 << 10)
2caa3b26 7614
2c8580e4 7615#define GEN9_CS_DEBUG_MODE1 _MMIO(0x20ec)
6bb62855 7616#define GEN9_CTX_PREEMPT_REG _MMIO(0x2248)
e0f3fa09 7617#define GEN8_CS_CHICKEN1 _MMIO(0x2580)
5ee8ee86 7618#define GEN9_PREEMPT_3D_OBJECT_LEVEL (1 << 0)
5152defe
MW
7619#define GEN9_PREEMPT_GPGPU_LEVEL(hi, lo) (((hi) << 2) | ((lo) << 1))
7620#define GEN9_PREEMPT_GPGPU_MID_THREAD_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 0)
7621#define GEN9_PREEMPT_GPGPU_THREAD_GROUP_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 1)
7622#define GEN9_PREEMPT_GPGPU_COMMAND_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(1, 0)
7623#define GEN9_PREEMPT_GPGPU_LEVEL_MASK GEN9_PREEMPT_GPGPU_LEVEL(1, 1)
e0f3fa09 7624
e4e0c058 7625/* GEN7 chicken */
f0f59a00 7626#define GEN7_COMMON_SLICE_CHICKEN1 _MMIO(0x7010)
b1f88820
OM
7627 #define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1 << 10) | (1 << 26))
7628 #define GEN9_RHWO_OPTIMIZATION_DISABLE (1 << 14)
7629
7630#define COMMON_SLICE_CHICKEN2 _MMIO(0x7014)
7631 #define GEN9_PBE_COMPRESSED_HASH_SELECTION (1 << 13)
7632 #define GEN9_DISABLE_GATHER_AT_SET_SHADER_COMMON_SLICE (1 << 12)
7633 #define GEN8_SBE_DISABLE_REPLAY_BUF_OPTIMIZATION (1 << 8)
7634 #define GEN8_CSC2_SBE_VUE_CACHE_CONSERVATIVE (1 << 0)
7635
cbe3e1d1
TU
7636#define GEN8_L3CNTLREG _MMIO(0x7034)
7637 #define GEN8_ERRDETBCTRL (1 << 9)
7638
b1f88820
OM
7639#define GEN11_COMMON_SLICE_CHICKEN3 _MMIO(0x7304)
7640 #define GEN11_BLEND_EMB_FIX_DISABLE_IN_RCC (1 << 11)
d71de14d 7641
f0f59a00 7642#define HIZ_CHICKEN _MMIO(0x7018)
5ee8ee86
PZ
7643# define CHV_HZ_8X8_MODE_IN_1X (1 << 15)
7644# define BDW_HIZ_POWER_COMPILER_CLOCK_GATING_DISABLE (1 << 3)
d60de81d 7645
f0f59a00 7646#define GEN9_SLICE_COMMON_ECO_CHICKEN0 _MMIO(0x7308)
5ee8ee86 7647#define DISABLE_PIXEL_MASK_CAMMING (1 << 14)
183c6dac 7648
ab062639 7649#define GEN9_SLICE_COMMON_ECO_CHICKEN1 _MMIO(0x731c)
f63c7b48 7650#define GEN11_STATE_CACHE_REDIRECT_TO_CS (1 << 11)
ab062639 7651
0c7d2aed
RS
7652#define GEN7_SARCHKMD _MMIO(0xB000)
7653#define GEN7_DISABLE_DEMAND_PREFETCH (1 << 31)
71ffd49c 7654#define GEN7_DISABLE_SAMPLER_PREFETCH (1 << 30)
0c7d2aed 7655
f0f59a00 7656#define GEN7_L3SQCREG1 _MMIO(0xB010)
031994ee
VS
7657#define VLV_B0_WA_L3SQCREG1_VALUE 0x00D30000
7658
f0f59a00 7659#define GEN8_L3SQCREG1 _MMIO(0xB100)
450174fe
ID
7660/*
7661 * Note that on CHV the following has an off-by-one error wrt. to BSpec.
7662 * Using the formula in BSpec leads to a hang, while the formula here works
7663 * fine and matches the formulas for all other platforms. A BSpec change
7664 * request has been filed to clarify this.
7665 */
36579cb6
ID
7666#define L3_GENERAL_PRIO_CREDITS(x) (((x) >> 1) << 19)
7667#define L3_HIGH_PRIO_CREDITS(x) (((x) >> 1) << 14)
930a784d 7668#define L3_PRIO_CREDITS_MASK ((0x1f << 19) | (0x1f << 14))
51ce4db1 7669
f0f59a00 7670#define GEN7_L3CNTLREG1 _MMIO(0xB01C)
1af8452f 7671#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C47FF8C
5ee8ee86 7672#define GEN7_L3AGDIS (1 << 19)
f0f59a00
VS
7673#define GEN7_L3CNTLREG2 _MMIO(0xB020)
7674#define GEN7_L3CNTLREG3 _MMIO(0xB024)
e4e0c058 7675
f0f59a00 7676#define GEN7_L3_CHICKEN_MODE_REGISTER _MMIO(0xB030)
5215eef3
OM
7677#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
7678#define GEN10_L3_CHICKEN_MODE_REGISTER _MMIO(0xB114)
7679#define GEN11_I2M_WRITE_DISABLE (1 << 28)
e4e0c058 7680
f0f59a00 7681#define GEN7_L3SQCREG4 _MMIO(0xb034)
5ee8ee86 7682#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1 << 27)
61939d97 7683
b83a309a
TU
7684#define GEN11_SCRATCH2 _MMIO(0xb140)
7685#define GEN11_COHERENT_PARTIAL_WRITE_MERGE_ENABLE (1 << 19)
7686
f0f59a00 7687#define GEN8_L3SQCREG4 _MMIO(0xb118)
5246ae4b
OM
7688#define GEN11_LQSC_CLEAN_EVICT_DISABLE (1 << 6)
7689#define GEN8_LQSC_RO_PERF_DIS (1 << 27)
7690#define GEN8_LQSC_FLUSH_COHERENT_LINES (1 << 21)
8bc0ccf6 7691
63801f21 7692/* GEN8 chicken */
f0f59a00 7693#define HDC_CHICKEN0 _MMIO(0x7300)
acfb5554 7694#define CNL_HDC_CHICKEN0 _MMIO(0xE5F0)
cc38cae7 7695#define ICL_HDC_MODE _MMIO(0xE5F4)
5ee8ee86
PZ
7696#define HDC_FORCE_CSR_NON_COHERENT_OVR_DISABLE (1 << 15)
7697#define HDC_FENCE_DEST_SLM_DISABLE (1 << 14)
7698#define HDC_DONOT_FETCH_MEM_WHEN_MASKED (1 << 11)
7699#define HDC_FORCE_CONTEXT_SAVE_RESTORE_NON_COHERENT (1 << 5)
7700#define HDC_FORCE_NON_COHERENT (1 << 4)
7701#define HDC_BARRIER_PERFORMANCE_DISABLE (1 << 10)
63801f21 7702
3669ab61
AS
7703#define GEN8_HDC_CHICKEN1 _MMIO(0x7304)
7704
38a39a7b 7705/* GEN9 chicken */
f0f59a00 7706#define SLICE_ECO_CHICKEN0 _MMIO(0x7308)
38a39a7b
BW
7707#define PIXEL_MASK_CAMMING_DISABLE (1 << 14)
7708
0c79f9cb
MT
7709#define GEN9_WM_CHICKEN3 _MMIO(0x5588)
7710#define GEN9_FACTOR_IN_CLR_VAL_HIZ (1 << 9)
7711
db099c8f 7712/* WaCatErrorRejectionIssue */
f0f59a00 7713#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG _MMIO(0x9030)
5ee8ee86 7714#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1 << 11)
db099c8f 7715
f0f59a00 7716#define HSW_SCRATCH1 _MMIO(0xb038)
5ee8ee86 7717#define HSW_SCRATCH1_L3_DATA_ATOMICS_DISABLE (1 << 27)
f3fc4884 7718
f0f59a00 7719#define BDW_SCRATCH1 _MMIO(0xb11c)
5ee8ee86 7720#define GEN9_LBS_SLA_RETRY_TIMER_DECREMENT_ENABLE (1 << 2)
77719d28 7721
e16a3750 7722/*GEN11 chicken */
26eeea15
AS
7723#define _PIPEA_CHICKEN 0x70038
7724#define _PIPEB_CHICKEN 0x71038
7725#define _PIPEC_CHICKEN 0x72038
7726#define PIPE_CHICKEN(pipe) _MMIO_PIPE(pipe, _PIPEA_CHICKEN,\
7727 _PIPEB_CHICKEN)
7728#define PIXEL_ROUNDING_TRUNC_FB_PASSTHRU (1 << 15)
7729#define PER_PIXEL_ALPHA_BYPASS_EN (1 << 7)
e16a3750 7730
b9055052
ZW
7731/* PCH */
7732
dce88879
LDM
7733#define PCH_DISPLAY_BASE 0xc0000u
7734
23e81d69 7735/* south display engine interrupt: IBX */
776ad806
JB
7736#define SDE_AUDIO_POWER_D (1 << 27)
7737#define SDE_AUDIO_POWER_C (1 << 26)
7738#define SDE_AUDIO_POWER_B (1 << 25)
7739#define SDE_AUDIO_POWER_SHIFT (25)
7740#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
7741#define SDE_GMBUS (1 << 24)
7742#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
7743#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
7744#define SDE_AUDIO_HDCP_MASK (3 << 22)
7745#define SDE_AUDIO_TRANSB (1 << 21)
7746#define SDE_AUDIO_TRANSA (1 << 20)
7747#define SDE_AUDIO_TRANS_MASK (3 << 20)
7748#define SDE_POISON (1 << 19)
7749/* 18 reserved */
7750#define SDE_FDI_RXB (1 << 17)
7751#define SDE_FDI_RXA (1 << 16)
7752#define SDE_FDI_MASK (3 << 16)
7753#define SDE_AUXD (1 << 15)
7754#define SDE_AUXC (1 << 14)
7755#define SDE_AUXB (1 << 13)
7756#define SDE_AUX_MASK (7 << 13)
7757/* 12 reserved */
b9055052
ZW
7758#define SDE_CRT_HOTPLUG (1 << 11)
7759#define SDE_PORTD_HOTPLUG (1 << 10)
7760#define SDE_PORTC_HOTPLUG (1 << 9)
7761#define SDE_PORTB_HOTPLUG (1 << 8)
7762#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
7763#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
7764 SDE_SDVOB_HOTPLUG | \
7765 SDE_PORTB_HOTPLUG | \
7766 SDE_PORTC_HOTPLUG | \
7767 SDE_PORTD_HOTPLUG)
776ad806
JB
7768#define SDE_TRANSB_CRC_DONE (1 << 5)
7769#define SDE_TRANSB_CRC_ERR (1 << 4)
7770#define SDE_TRANSB_FIFO_UNDER (1 << 3)
7771#define SDE_TRANSA_CRC_DONE (1 << 2)
7772#define SDE_TRANSA_CRC_ERR (1 << 1)
7773#define SDE_TRANSA_FIFO_UNDER (1 << 0)
7774#define SDE_TRANS_MASK (0x3f)
23e81d69 7775
31604222 7776/* south display engine interrupt: CPT - CNP */
23e81d69
AJ
7777#define SDE_AUDIO_POWER_D_CPT (1 << 31)
7778#define SDE_AUDIO_POWER_C_CPT (1 << 30)
7779#define SDE_AUDIO_POWER_B_CPT (1 << 29)
7780#define SDE_AUDIO_POWER_SHIFT_CPT 29
7781#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
7782#define SDE_AUXD_CPT (1 << 27)
7783#define SDE_AUXC_CPT (1 << 26)
7784#define SDE_AUXB_CPT (1 << 25)
7785#define SDE_AUX_MASK_CPT (7 << 25)
26951caf 7786#define SDE_PORTE_HOTPLUG_SPT (1 << 25)
74c0b395 7787#define SDE_PORTA_HOTPLUG_SPT (1 << 24)
8db9d77b
ZW
7788#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
7789#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
7790#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 7791#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 7792#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 7793#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 7794 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
7795 SDE_PORTD_HOTPLUG_CPT | \
7796 SDE_PORTC_HOTPLUG_CPT | \
7797 SDE_PORTB_HOTPLUG_CPT)
26951caf
XZ
7798#define SDE_HOTPLUG_MASK_SPT (SDE_PORTE_HOTPLUG_SPT | \
7799 SDE_PORTD_HOTPLUG_CPT | \
7800 SDE_PORTC_HOTPLUG_CPT | \
74c0b395
VS
7801 SDE_PORTB_HOTPLUG_CPT | \
7802 SDE_PORTA_HOTPLUG_SPT)
23e81d69 7803#define SDE_GMBUS_CPT (1 << 17)
8664281b 7804#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
7805#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
7806#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
7807#define SDE_FDI_RXC_CPT (1 << 8)
7808#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
7809#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
7810#define SDE_FDI_RXB_CPT (1 << 4)
7811#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
7812#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
7813#define SDE_FDI_RXA_CPT (1 << 0)
7814#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
7815 SDE_AUDIO_CP_REQ_B_CPT | \
7816 SDE_AUDIO_CP_REQ_A_CPT)
7817#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
7818 SDE_AUDIO_CP_CHG_B_CPT | \
7819 SDE_AUDIO_CP_CHG_A_CPT)
7820#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
7821 SDE_FDI_RXB_CPT | \
7822 SDE_FDI_RXA_CPT)
b9055052 7823
52dfdba0
LDM
7824/* south display engine interrupt: ICP/TGP */
7825#define SDE_TC6_HOTPLUG_TGP (1 << 29)
7826#define SDE_TC5_HOTPLUG_TGP (1 << 28)
31604222
AS
7827#define SDE_TC4_HOTPLUG_ICP (1 << 27)
7828#define SDE_TC3_HOTPLUG_ICP (1 << 26)
7829#define SDE_TC2_HOTPLUG_ICP (1 << 25)
7830#define SDE_TC1_HOTPLUG_ICP (1 << 24)
7831#define SDE_GMBUS_ICP (1 << 23)
52dfdba0 7832#define SDE_DDIC_HOTPLUG_TGP (1 << 18)
31604222
AS
7833#define SDE_DDIB_HOTPLUG_ICP (1 << 17)
7834#define SDE_DDIA_HOTPLUG_ICP (1 << 16)
b9fcddab
PZ
7835#define SDE_TC_HOTPLUG_ICP(tc_port) (1 << ((tc_port) + 24))
7836#define SDE_DDI_HOTPLUG_ICP(port) (1 << ((port) + 16))
31604222
AS
7837#define SDE_DDI_MASK_ICP (SDE_DDIB_HOTPLUG_ICP | \
7838 SDE_DDIA_HOTPLUG_ICP)
7839#define SDE_TC_MASK_ICP (SDE_TC4_HOTPLUG_ICP | \
7840 SDE_TC3_HOTPLUG_ICP | \
7841 SDE_TC2_HOTPLUG_ICP | \
7842 SDE_TC1_HOTPLUG_ICP)
52dfdba0
LDM
7843#define SDE_DDI_MASK_TGP (SDE_DDIC_HOTPLUG_TGP | \
7844 SDE_DDI_MASK_ICP)
7845#define SDE_TC_MASK_TGP (SDE_TC6_HOTPLUG_TGP | \
7846 SDE_TC5_HOTPLUG_TGP | \
7847 SDE_TC_MASK_ICP)
31604222 7848
f0f59a00
VS
7849#define SDEISR _MMIO(0xc4000)
7850#define SDEIMR _MMIO(0xc4004)
7851#define SDEIIR _MMIO(0xc4008)
7852#define SDEIER _MMIO(0xc400c)
b9055052 7853
f0f59a00 7854#define SERR_INT _MMIO(0xc4040)
5ee8ee86
PZ
7855#define SERR_INT_POISON (1 << 31)
7856#define SERR_INT_TRANS_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
8664281b 7857
b9055052 7858/* digital port hotplug */
f0f59a00 7859#define PCH_PORT_HOTPLUG _MMIO(0xc4030) /* SHOTPLUG_CTL */
195baa06 7860#define PORTA_HOTPLUG_ENABLE (1 << 28) /* LPT:LP+ & BXT */
d252bf68 7861#define BXT_DDIA_HPD_INVERT (1 << 27)
195baa06
VS
7862#define PORTA_HOTPLUG_STATUS_MASK (3 << 24) /* SPT+ & BXT */
7863#define PORTA_HOTPLUG_NO_DETECT (0 << 24) /* SPT+ & BXT */
7864#define PORTA_HOTPLUG_SHORT_DETECT (1 << 24) /* SPT+ & BXT */
7865#define PORTA_HOTPLUG_LONG_DETECT (2 << 24) /* SPT+ & BXT */
40bfd7a3
VS
7866#define PORTD_HOTPLUG_ENABLE (1 << 20)
7867#define PORTD_PULSE_DURATION_2ms (0 << 18) /* pre-LPT */
7868#define PORTD_PULSE_DURATION_4_5ms (1 << 18) /* pre-LPT */
7869#define PORTD_PULSE_DURATION_6ms (2 << 18) /* pre-LPT */
7870#define PORTD_PULSE_DURATION_100ms (3 << 18) /* pre-LPT */
7871#define PORTD_PULSE_DURATION_MASK (3 << 18) /* pre-LPT */
7872#define PORTD_HOTPLUG_STATUS_MASK (3 << 16)
b696519e
DL
7873#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
7874#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
7875#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
40bfd7a3 7876#define PORTC_HOTPLUG_ENABLE (1 << 12)
d252bf68 7877#define BXT_DDIC_HPD_INVERT (1 << 11)
40bfd7a3
VS
7878#define PORTC_PULSE_DURATION_2ms (0 << 10) /* pre-LPT */
7879#define PORTC_PULSE_DURATION_4_5ms (1 << 10) /* pre-LPT */
7880#define PORTC_PULSE_DURATION_6ms (2 << 10) /* pre-LPT */
7881#define PORTC_PULSE_DURATION_100ms (3 << 10) /* pre-LPT */
7882#define PORTC_PULSE_DURATION_MASK (3 << 10) /* pre-LPT */
7883#define PORTC_HOTPLUG_STATUS_MASK (3 << 8)
b696519e
DL
7884#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
7885#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
7886#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
40bfd7a3 7887#define PORTB_HOTPLUG_ENABLE (1 << 4)
d252bf68 7888#define BXT_DDIB_HPD_INVERT (1 << 3)
40bfd7a3
VS
7889#define PORTB_PULSE_DURATION_2ms (0 << 2) /* pre-LPT */
7890#define PORTB_PULSE_DURATION_4_5ms (1 << 2) /* pre-LPT */
7891#define PORTB_PULSE_DURATION_6ms (2 << 2) /* pre-LPT */
7892#define PORTB_PULSE_DURATION_100ms (3 << 2) /* pre-LPT */
7893#define PORTB_PULSE_DURATION_MASK (3 << 2) /* pre-LPT */
7894#define PORTB_HOTPLUG_STATUS_MASK (3 << 0)
b696519e
DL
7895#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
7896#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
7897#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
d252bf68
SS
7898#define BXT_DDI_HPD_INVERT_MASK (BXT_DDIA_HPD_INVERT | \
7899 BXT_DDIB_HPD_INVERT | \
7900 BXT_DDIC_HPD_INVERT)
b9055052 7901
f0f59a00 7902#define PCH_PORT_HOTPLUG2 _MMIO(0xc403C) /* SHOTPLUG_CTL2 SPT+ */
40bfd7a3
VS
7903#define PORTE_HOTPLUG_ENABLE (1 << 4)
7904#define PORTE_HOTPLUG_STATUS_MASK (3 << 0)
26951caf
XZ
7905#define PORTE_HOTPLUG_NO_DETECT (0 << 0)
7906#define PORTE_HOTPLUG_SHORT_DETECT (1 << 0)
7907#define PORTE_HOTPLUG_LONG_DETECT (2 << 0)
b9055052 7908
31604222
AS
7909/* This register is a reuse of PCH_PORT_HOTPLUG register. The
7910 * functionality covered in PCH_PORT_HOTPLUG is split into
7911 * SHOTPLUG_CTL_DDI and SHOTPLUG_CTL_TC.
7912 */
7913
7914#define SHOTPLUG_CTL_DDI _MMIO(0xc4030)
52dfdba0
LDM
7915#define TGP_DDIC_HPD_ENABLE (1 << 11)
7916#define TGP_DDIC_HPD_STATUS_MASK (3 << 8)
7917#define TGP_DDIC_HPD_NO_DETECT (0 << 8)
7918#define TGP_DDIC_HPD_SHORT_DETECT (1 << 8)
7919#define TGP_DDIC_HPD_LONG_DETECT (2 << 8)
7920#define TGP_DDIC_HPD_SHORT_LONG_DETECT (3 << 8)
31604222
AS
7921#define ICP_DDIB_HPD_ENABLE (1 << 7)
7922#define ICP_DDIB_HPD_STATUS_MASK (3 << 4)
7923#define ICP_DDIB_HPD_NO_DETECT (0 << 4)
7924#define ICP_DDIB_HPD_SHORT_DETECT (1 << 4)
7925#define ICP_DDIB_HPD_LONG_DETECT (2 << 4)
7926#define ICP_DDIB_HPD_SHORT_LONG_DETECT (3 << 4)
7927#define ICP_DDIA_HPD_ENABLE (1 << 3)
05f2f03d 7928#define ICP_DDIA_HPD_OP_DRIVE_1 (1 << 2)
31604222
AS
7929#define ICP_DDIA_HPD_STATUS_MASK (3 << 0)
7930#define ICP_DDIA_HPD_NO_DETECT (0 << 0)
7931#define ICP_DDIA_HPD_SHORT_DETECT (1 << 0)
7932#define ICP_DDIA_HPD_LONG_DETECT (2 << 0)
7933#define ICP_DDIA_HPD_SHORT_LONG_DETECT (3 << 0)
7934
7935#define SHOTPLUG_CTL_TC _MMIO(0xc4034)
7936#define ICP_TC_HPD_ENABLE(tc_port) (8 << (tc_port) * 4)
c7d2959f
AS
7937/* Icelake DSC Rate Control Range Parameter Registers */
7938#define DSCA_RC_RANGE_PARAMETERS_0 _MMIO(0x6B240)
7939#define DSCA_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6B240 + 4)
7940#define DSCC_RC_RANGE_PARAMETERS_0 _MMIO(0x6BA40)
7941#define DSCC_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6BA40 + 4)
7942#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB (0x78208)
7943#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB (0x78208 + 4)
7944#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB (0x78308)
7945#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB (0x78308 + 4)
7946#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC (0x78408)
7947#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC (0x78408 + 4)
7948#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC (0x78508)
7949#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC (0x78508 + 4)
7950#define ICL_DSC0_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7951 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB, \
7952 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC)
7953#define ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7954 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB, \
7955 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC)
7956#define ICL_DSC1_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7957 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB, \
7958 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC)
7959#define ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7960 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB, \
7961 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC)
7962#define RC_BPG_OFFSET_SHIFT 10
7963#define RC_MAX_QP_SHIFT 5
7964#define RC_MIN_QP_SHIFT 0
7965
7966#define DSCA_RC_RANGE_PARAMETERS_1 _MMIO(0x6B248)
7967#define DSCA_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6B248 + 4)
7968#define DSCC_RC_RANGE_PARAMETERS_1 _MMIO(0x6BA48)
7969#define DSCC_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6BA48 + 4)
7970#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB (0x78210)
7971#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB (0x78210 + 4)
7972#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB (0x78310)
7973#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB (0x78310 + 4)
7974#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC (0x78410)
7975#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC (0x78410 + 4)
7976#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC (0x78510)
7977#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC (0x78510 + 4)
7978#define ICL_DSC0_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7979 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB, \
7980 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC)
7981#define ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7982 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB, \
7983 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC)
7984#define ICL_DSC1_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7985 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB, \
7986 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC)
7987#define ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7988 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB, \
7989 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC)
7990
7991#define DSCA_RC_RANGE_PARAMETERS_2 _MMIO(0x6B250)
7992#define DSCA_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6B250 + 4)
7993#define DSCC_RC_RANGE_PARAMETERS_2 _MMIO(0x6BA50)
7994#define DSCC_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6BA50 + 4)
7995#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB (0x78218)
7996#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB (0x78218 + 4)
7997#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB (0x78318)
7998#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB (0x78318 + 4)
7999#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC (0x78418)
8000#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC (0x78418 + 4)
8001#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC (0x78518)
8002#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC (0x78518 + 4)
8003#define ICL_DSC0_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8004 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB, \
8005 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC)
8006#define ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8007 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB, \
8008 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC)
8009#define ICL_DSC1_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8010 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB, \
8011 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC)
8012#define ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8013 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB, \
8014 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC)
8015
8016#define DSCA_RC_RANGE_PARAMETERS_3 _MMIO(0x6B258)
8017#define DSCA_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6B258 + 4)
8018#define DSCC_RC_RANGE_PARAMETERS_3 _MMIO(0x6BA58)
8019#define DSCC_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6BA58 + 4)
8020#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB (0x78220)
8021#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB (0x78220 + 4)
8022#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB (0x78320)
8023#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB (0x78320 + 4)
8024#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC (0x78420)
8025#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC (0x78420 + 4)
8026#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC (0x78520)
8027#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC (0x78520 + 4)
8028#define ICL_DSC0_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8029 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB, \
8030 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC)
8031#define ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8032 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB, \
8033 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC)
8034#define ICL_DSC1_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8035 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB, \
8036 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC)
8037#define ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8038 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB, \
8039 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC)
8040
31604222
AS
8041#define ICP_TC_HPD_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
8042#define ICP_TC_HPD_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
8043
52dfdba0
LDM
8044#define ICP_DDI_HPD_ENABLE_MASK (ICP_DDIB_HPD_ENABLE | \
8045 ICP_DDIA_HPD_ENABLE)
8046#define ICP_TC_HPD_ENABLE_MASK (ICP_TC_HPD_ENABLE(PORT_TC4) | \
8047 ICP_TC_HPD_ENABLE(PORT_TC3) | \
8048 ICP_TC_HPD_ENABLE(PORT_TC2) | \
8049 ICP_TC_HPD_ENABLE(PORT_TC1))
8050#define TGP_DDI_HPD_ENABLE_MASK (TGP_DDIC_HPD_ENABLE | \
8051 ICP_DDI_HPD_ENABLE_MASK)
8052#define TGP_TC_HPD_ENABLE_MASK (ICP_TC_HPD_ENABLE(PORT_TC6) | \
8053 ICP_TC_HPD_ENABLE(PORT_TC5) | \
8054 ICP_TC_HPD_ENABLE_MASK)
8055
9db4a9c7
JB
8056#define _PCH_DPLL_A 0xc6014
8057#define _PCH_DPLL_B 0xc6018
9e8789ec 8058#define PCH_DPLL(pll) _MMIO((pll) == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 8059
9db4a9c7 8060#define _PCH_FPA0 0xc6040
5ee8ee86 8061#define FP_CB_TUNE (0x3 << 22)
9db4a9c7
JB
8062#define _PCH_FPA1 0xc6044
8063#define _PCH_FPB0 0xc6048
8064#define _PCH_FPB1 0xc604c
9e8789ec
PZ
8065#define PCH_FP0(pll) _MMIO((pll) == 0 ? _PCH_FPA0 : _PCH_FPB0)
8066#define PCH_FP1(pll) _MMIO((pll) == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052 8067
f0f59a00 8068#define PCH_DPLL_TEST _MMIO(0xc606c)
b9055052 8069
f0f59a00 8070#define PCH_DREF_CONTROL _MMIO(0xC6200)
b9055052 8071#define DREF_CONTROL_MASK 0x7fc3
5ee8ee86
PZ
8072#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0 << 13)
8073#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2 << 13)
8074#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3 << 13)
8075#define DREF_CPU_SOURCE_OUTPUT_MASK (3 << 13)
8076#define DREF_SSC_SOURCE_DISABLE (0 << 11)
8077#define DREF_SSC_SOURCE_ENABLE (2 << 11)
8078#define DREF_SSC_SOURCE_MASK (3 << 11)
8079#define DREF_NONSPREAD_SOURCE_DISABLE (0 << 9)
8080#define DREF_NONSPREAD_CK505_ENABLE (1 << 9)
8081#define DREF_NONSPREAD_SOURCE_ENABLE (2 << 9)
8082#define DREF_NONSPREAD_SOURCE_MASK (3 << 9)
8083#define DREF_SUPERSPREAD_SOURCE_DISABLE (0 << 7)
8084#define DREF_SUPERSPREAD_SOURCE_ENABLE (2 << 7)
8085#define DREF_SUPERSPREAD_SOURCE_MASK (3 << 7)
8086#define DREF_SSC4_DOWNSPREAD (0 << 6)
8087#define DREF_SSC4_CENTERSPREAD (1 << 6)
8088#define DREF_SSC1_DISABLE (0 << 1)
8089#define DREF_SSC1_ENABLE (1 << 1)
b9055052
ZW
8090#define DREF_SSC4_DISABLE (0)
8091#define DREF_SSC4_ENABLE (1)
8092
f0f59a00 8093#define PCH_RAWCLK_FREQ _MMIO(0xc6204)
b9055052 8094#define FDL_TP1_TIMER_SHIFT 12
5ee8ee86 8095#define FDL_TP1_TIMER_MASK (3 << 12)
b9055052 8096#define FDL_TP2_TIMER_SHIFT 10
5ee8ee86 8097#define FDL_TP2_TIMER_MASK (3 << 10)
b9055052 8098#define RAWCLK_FREQ_MASK 0x3ff
9d81a997
RV
8099#define CNP_RAWCLK_DIV_MASK (0x3ff << 16)
8100#define CNP_RAWCLK_DIV(div) ((div) << 16)
8101#define CNP_RAWCLK_FRAC_MASK (0xf << 26)
228a5cf3 8102#define CNP_RAWCLK_DEN(den) ((den) << 26)
4ef99abd 8103#define ICP_RAWCLK_NUM(num) ((num) << 11)
b9055052 8104
f0f59a00 8105#define PCH_DPLL_TMR_CFG _MMIO(0xc6208)
b9055052 8106
f0f59a00
VS
8107#define PCH_SSC4_PARMS _MMIO(0xc6210)
8108#define PCH_SSC4_AUX_PARMS _MMIO(0xc6214)
b9055052 8109
f0f59a00 8110#define PCH_DPLL_SEL _MMIO(0xc7000)
68d97538 8111#define TRANS_DPLLB_SEL(pipe) (1 << ((pipe) * 4))
11887397 8112#define TRANS_DPLLA_SEL(pipe) 0
68d97538 8113#define TRANS_DPLL_ENABLE(pipe) (1 << ((pipe) * 4 + 3))
8db9d77b 8114
b9055052
ZW
8115/* transcoder */
8116
275f01b2
DV
8117#define _PCH_TRANS_HTOTAL_A 0xe0000
8118#define TRANS_HTOTAL_SHIFT 16
8119#define TRANS_HACTIVE_SHIFT 0
8120#define _PCH_TRANS_HBLANK_A 0xe0004
8121#define TRANS_HBLANK_END_SHIFT 16
8122#define TRANS_HBLANK_START_SHIFT 0
8123#define _PCH_TRANS_HSYNC_A 0xe0008
8124#define TRANS_HSYNC_END_SHIFT 16
8125#define TRANS_HSYNC_START_SHIFT 0
8126#define _PCH_TRANS_VTOTAL_A 0xe000c
8127#define TRANS_VTOTAL_SHIFT 16
8128#define TRANS_VACTIVE_SHIFT 0
8129#define _PCH_TRANS_VBLANK_A 0xe0010
8130#define TRANS_VBLANK_END_SHIFT 16
8131#define TRANS_VBLANK_START_SHIFT 0
8132#define _PCH_TRANS_VSYNC_A 0xe0014
af7187b7 8133#define TRANS_VSYNC_END_SHIFT 16
275f01b2
DV
8134#define TRANS_VSYNC_START_SHIFT 0
8135#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 8136
e3b95f1e
DV
8137#define _PCH_TRANSA_DATA_M1 0xe0030
8138#define _PCH_TRANSA_DATA_N1 0xe0034
8139#define _PCH_TRANSA_DATA_M2 0xe0038
8140#define _PCH_TRANSA_DATA_N2 0xe003c
8141#define _PCH_TRANSA_LINK_M1 0xe0040
8142#define _PCH_TRANSA_LINK_N1 0xe0044
8143#define _PCH_TRANSA_LINK_M2 0xe0048
8144#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 8145
2dcbc34d 8146/* Per-transcoder DIP controls (PCH) */
b055c8f3
JB
8147#define _VIDEO_DIP_CTL_A 0xe0200
8148#define _VIDEO_DIP_DATA_A 0xe0208
8149#define _VIDEO_DIP_GCP_A 0xe0210
6d67415f
VS
8150#define GCP_COLOR_INDICATION (1 << 2)
8151#define GCP_DEFAULT_PHASE_ENABLE (1 << 1)
8152#define GCP_AV_MUTE (1 << 0)
b055c8f3
JB
8153
8154#define _VIDEO_DIP_CTL_B 0xe1200
8155#define _VIDEO_DIP_DATA_B 0xe1208
8156#define _VIDEO_DIP_GCP_B 0xe1210
8157
f0f59a00
VS
8158#define TVIDEO_DIP_CTL(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
8159#define TVIDEO_DIP_DATA(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
8160#define TVIDEO_DIP_GCP(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
b055c8f3 8161
2dcbc34d 8162/* Per-transcoder DIP controls (VLV) */
086f8e84
VS
8163#define _VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
8164#define _VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
8165#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 8166
086f8e84
VS
8167#define _VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
8168#define _VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
8169#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8 8170
086f8e84
VS
8171#define _CHV_VIDEO_DIP_CTL_C (VLV_DISPLAY_BASE + 0x611f0)
8172#define _CHV_VIDEO_DIP_DATA_C (VLV_DISPLAY_BASE + 0x611f4)
8173#define _CHV_VIDEO_DIP_GDCP_PAYLOAD_C (VLV_DISPLAY_BASE + 0x611f8)
2dcbc34d 8174
90b107c8 8175#define VLV_TVIDEO_DIP_CTL(pipe) \
f0f59a00 8176 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_CTL_A, \
086f8e84 8177 _VLV_VIDEO_DIP_CTL_B, _CHV_VIDEO_DIP_CTL_C)
90b107c8 8178#define VLV_TVIDEO_DIP_DATA(pipe) \
f0f59a00 8179 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_DATA_A, \
086f8e84 8180 _VLV_VIDEO_DIP_DATA_B, _CHV_VIDEO_DIP_DATA_C)
90b107c8 8181#define VLV_TVIDEO_DIP_GCP(pipe) \
f0f59a00 8182 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_GDCP_PAYLOAD_A, \
086f8e84 8183 _VLV_VIDEO_DIP_GDCP_PAYLOAD_B, _CHV_VIDEO_DIP_GDCP_PAYLOAD_C)
90b107c8 8184
8c5f5f7c 8185/* Haswell DIP controls */
f0f59a00 8186
086f8e84
VS
8187#define _HSW_VIDEO_DIP_CTL_A 0x60200
8188#define _HSW_VIDEO_DIP_AVI_DATA_A 0x60220
8189#define _HSW_VIDEO_DIP_VS_DATA_A 0x60260
8190#define _HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
8191#define _HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
8192#define _HSW_VIDEO_DIP_VSC_DATA_A 0x60320
44b42ebf 8193#define _GLK_VIDEO_DIP_DRM_DATA_A 0x60440
086f8e84
VS
8194#define _HSW_VIDEO_DIP_AVI_ECC_A 0x60240
8195#define _HSW_VIDEO_DIP_VS_ECC_A 0x60280
8196#define _HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
8197#define _HSW_VIDEO_DIP_GMP_ECC_A 0x60300
8198#define _HSW_VIDEO_DIP_VSC_ECC_A 0x60344
8199#define _HSW_VIDEO_DIP_GCP_A 0x60210
8200
8201#define _HSW_VIDEO_DIP_CTL_B 0x61200
8202#define _HSW_VIDEO_DIP_AVI_DATA_B 0x61220
8203#define _HSW_VIDEO_DIP_VS_DATA_B 0x61260
8204#define _HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
8205#define _HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
8206#define _HSW_VIDEO_DIP_VSC_DATA_B 0x61320
44b42ebf 8207#define _GLK_VIDEO_DIP_DRM_DATA_B 0x61440
086f8e84
VS
8208#define _HSW_VIDEO_DIP_BVI_ECC_B 0x61240
8209#define _HSW_VIDEO_DIP_VS_ECC_B 0x61280
8210#define _HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
8211#define _HSW_VIDEO_DIP_GMP_ECC_B 0x61300
8212#define _HSW_VIDEO_DIP_VSC_ECC_B 0x61344
8213#define _HSW_VIDEO_DIP_GCP_B 0x61210
8c5f5f7c 8214
7af2be6d
AS
8215/* Icelake PPS_DATA and _ECC DIP Registers.
8216 * These are available for transcoders B,C and eDP.
8217 * Adding the _A so as to reuse the _MMIO_TRANS2
8218 * definition, with which it offsets to the right location.
8219 */
8220
8221#define _ICL_VIDEO_DIP_PPS_DATA_A 0x60350
8222#define _ICL_VIDEO_DIP_PPS_DATA_B 0x61350
8223#define _ICL_VIDEO_DIP_PPS_ECC_A 0x603D4
8224#define _ICL_VIDEO_DIP_PPS_ECC_B 0x613D4
8225
f0f59a00 8226#define HSW_TVIDEO_DIP_CTL(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_CTL_A)
5cb3c1a1 8227#define HSW_TVIDEO_DIP_GCP(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GCP_A)
f0f59a00
VS
8228#define HSW_TVIDEO_DIP_AVI_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_AVI_DATA_A + (i) * 4)
8229#define HSW_TVIDEO_DIP_VS_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VS_DATA_A + (i) * 4)
8230#define HSW_TVIDEO_DIP_SPD_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_SPD_DATA_A + (i) * 4)
5cb3c1a1 8231#define HSW_TVIDEO_DIP_GMP_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GMP_DATA_A + (i) * 4)
f0f59a00 8232#define HSW_TVIDEO_DIP_VSC_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VSC_DATA_A + (i) * 4)
44b42ebf 8233#define GLK_TVIDEO_DIP_DRM_DATA(trans, i) _MMIO_TRANS2(trans, _GLK_VIDEO_DIP_DRM_DATA_A + (i) * 4)
7af2be6d
AS
8234#define ICL_VIDEO_DIP_PPS_DATA(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_DATA_A + (i) * 4)
8235#define ICL_VIDEO_DIP_PPS_ECC(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_ECC_A + (i) * 4)
f0f59a00
VS
8236
8237#define _HSW_STEREO_3D_CTL_A 0x70020
5ee8ee86 8238#define S3D_ENABLE (1 << 31)
f0f59a00
VS
8239#define _HSW_STEREO_3D_CTL_B 0x71020
8240
8241#define HSW_STEREO_3D_CTL(trans) _MMIO_PIPE2(trans, _HSW_STEREO_3D_CTL_A)
3f51e471 8242
275f01b2
DV
8243#define _PCH_TRANS_HTOTAL_B 0xe1000
8244#define _PCH_TRANS_HBLANK_B 0xe1004
8245#define _PCH_TRANS_HSYNC_B 0xe1008
8246#define _PCH_TRANS_VTOTAL_B 0xe100c
8247#define _PCH_TRANS_VBLANK_B 0xe1010
8248#define _PCH_TRANS_VSYNC_B 0xe1014
f0f59a00 8249#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
275f01b2 8250
f0f59a00
VS
8251#define PCH_TRANS_HTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
8252#define PCH_TRANS_HBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
8253#define PCH_TRANS_HSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
8254#define PCH_TRANS_VTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
8255#define PCH_TRANS_VBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
8256#define PCH_TRANS_VSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
8257#define PCH_TRANS_VSYNCSHIFT(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 8258
e3b95f1e
DV
8259#define _PCH_TRANSB_DATA_M1 0xe1030
8260#define _PCH_TRANSB_DATA_N1 0xe1034
8261#define _PCH_TRANSB_DATA_M2 0xe1038
8262#define _PCH_TRANSB_DATA_N2 0xe103c
8263#define _PCH_TRANSB_LINK_M1 0xe1040
8264#define _PCH_TRANSB_LINK_N1 0xe1044
8265#define _PCH_TRANSB_LINK_M2 0xe1048
8266#define _PCH_TRANSB_LINK_N2 0xe104c
8267
f0f59a00
VS
8268#define PCH_TRANS_DATA_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
8269#define PCH_TRANS_DATA_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
8270#define PCH_TRANS_DATA_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
8271#define PCH_TRANS_DATA_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
8272#define PCH_TRANS_LINK_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
8273#define PCH_TRANS_LINK_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
8274#define PCH_TRANS_LINK_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
8275#define PCH_TRANS_LINK_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 8276
ab9412ba
DV
8277#define _PCH_TRANSACONF 0xf0008
8278#define _PCH_TRANSBCONF 0xf1008
f0f59a00
VS
8279#define PCH_TRANSCONF(pipe) _MMIO_PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
8280#define LPT_TRANSCONF PCH_TRANSCONF(PIPE_A) /* lpt has only one transcoder */
5ee8ee86
PZ
8281#define TRANS_DISABLE (0 << 31)
8282#define TRANS_ENABLE (1 << 31)
8283#define TRANS_STATE_MASK (1 << 30)
8284#define TRANS_STATE_DISABLE (0 << 30)
8285#define TRANS_STATE_ENABLE (1 << 30)
8286#define TRANS_FSYNC_DELAY_HB1 (0 << 27)
8287#define TRANS_FSYNC_DELAY_HB2 (1 << 27)
8288#define TRANS_FSYNC_DELAY_HB3 (2 << 27)
8289#define TRANS_FSYNC_DELAY_HB4 (3 << 27)
8290#define TRANS_INTERLACE_MASK (7 << 21)
8291#define TRANS_PROGRESSIVE (0 << 21)
8292#define TRANS_INTERLACED (3 << 21)
8293#define TRANS_LEGACY_INTERLACED_ILK (2 << 21)
8294#define TRANS_8BPC (0 << 5)
8295#define TRANS_10BPC (1 << 5)
8296#define TRANS_6BPC (2 << 5)
8297#define TRANS_12BPC (3 << 5)
b9055052 8298
ce40141f
DV
8299#define _TRANSA_CHICKEN1 0xf0060
8300#define _TRANSB_CHICKEN1 0xf1060
f0f59a00 8301#define TRANS_CHICKEN1(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
5ee8ee86
PZ
8302#define TRANS_CHICKEN1_HDMIUNIT_GC_DISABLE (1 << 10)
8303#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1 << 4)
3bcf603f
JB
8304#define _TRANSA_CHICKEN2 0xf0064
8305#define _TRANSB_CHICKEN2 0xf1064
f0f59a00 8306#define TRANS_CHICKEN2(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
5ee8ee86
PZ
8307#define TRANS_CHICKEN2_TIMING_OVERRIDE (1 << 31)
8308#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1 << 29)
8309#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3 << 27)
8310#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1 << 26)
8311#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1 << 25)
3bcf603f 8312
f0f59a00 8313#define SOUTH_CHICKEN1 _MMIO(0xc2000)
291427f5
JB
8314#define FDIA_PHASE_SYNC_SHIFT_OVR 19
8315#define FDIA_PHASE_SYNC_SHIFT_EN 18
5ee8ee86
PZ
8316#define FDI_PHASE_SYNC_OVR(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
8317#define FDI_PHASE_SYNC_EN(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
01a415fd 8318#define FDI_BC_BIFURCATION_SELECT (1 << 12)
3b92e263
RV
8319#define CHASSIS_CLK_REQ_DURATION_MASK (0xf << 8)
8320#define CHASSIS_CLK_REQ_DURATION(x) ((x) << 8)
5ee8ee86 8321#define SPT_PWM_GRANULARITY (1 << 0)
f0f59a00 8322#define SOUTH_CHICKEN2 _MMIO(0xc2004)
5ee8ee86
PZ
8323#define FDI_MPHY_IOSFSB_RESET_STATUS (1 << 13)
8324#define FDI_MPHY_IOSFSB_RESET_CTL (1 << 12)
8325#define LPT_PWM_GRANULARITY (1 << 5)
8326#define DPLS_EDP_PPS_FIX_DIS (1 << 0)
645c62a5 8327
f0f59a00
VS
8328#define _FDI_RXA_CHICKEN 0xc200c
8329#define _FDI_RXB_CHICKEN 0xc2010
5ee8ee86
PZ
8330#define FDI_RX_PHASE_SYNC_POINTER_OVR (1 << 1)
8331#define FDI_RX_PHASE_SYNC_POINTER_EN (1 << 0)
f0f59a00 8332#define FDI_RX_CHICKEN(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 8333
f0f59a00 8334#define SOUTH_DSPCLK_GATE_D _MMIO(0xc2020)
5ee8ee86
PZ
8335#define PCH_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 31)
8336#define PCH_DPLUNIT_CLOCK_GATE_DISABLE (1 << 30)
8337#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1 << 29)
8338#define PCH_CPUNIT_CLOCK_GATE_DISABLE (1 << 14)
8339#define CNP_PWM_CGE_GATING_DISABLE (1 << 13)
8340#define PCH_LP_PARTITION_LEVEL_DISABLE (1 << 12)
382b0936 8341
b9055052 8342/* CPU: FDI_TX */
f0f59a00
VS
8343#define _FDI_TXA_CTL 0x60100
8344#define _FDI_TXB_CTL 0x61100
8345#define FDI_TX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
5ee8ee86
PZ
8346#define FDI_TX_DISABLE (0 << 31)
8347#define FDI_TX_ENABLE (1 << 31)
8348#define FDI_LINK_TRAIN_PATTERN_1 (0 << 28)
8349#define FDI_LINK_TRAIN_PATTERN_2 (1 << 28)
8350#define FDI_LINK_TRAIN_PATTERN_IDLE (2 << 28)
8351#define FDI_LINK_TRAIN_NONE (3 << 28)
8352#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0 << 25)
8353#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1 << 25)
8354#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2 << 25)
8355#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3 << 25)
8356#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0 << 22)
8357#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1 << 22)
8358#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2 << 22)
8359#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3 << 22)
8db9d77b
ZW
8360/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
8361 SNB has different settings. */
8362/* SNB A-stepping */
5ee8ee86
PZ
8363#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8364#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8365#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8366#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8367/* SNB B-stepping */
5ee8ee86
PZ
8368#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0 << 22)
8369#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a << 22)
8370#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39 << 22)
8371#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38 << 22)
8372#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f << 22)
627eb5a3
DV
8373#define FDI_DP_PORT_WIDTH_SHIFT 19
8374#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
8375#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
5ee8ee86 8376#define FDI_TX_ENHANCE_FRAME_ENABLE (1 << 18)
f2b115e6 8377/* Ironlake: hardwired to 1 */
5ee8ee86 8378#define FDI_TX_PLL_ENABLE (1 << 14)
357555c0
JB
8379
8380/* Ivybridge has different bits for lolz */
5ee8ee86
PZ
8381#define FDI_LINK_TRAIN_PATTERN_1_IVB (0 << 8)
8382#define FDI_LINK_TRAIN_PATTERN_2_IVB (1 << 8)
8383#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2 << 8)
8384#define FDI_LINK_TRAIN_NONE_IVB (3 << 8)
357555c0 8385
b9055052 8386/* both Tx and Rx */
5ee8ee86
PZ
8387#define FDI_COMPOSITE_SYNC (1 << 11)
8388#define FDI_LINK_TRAIN_AUTO (1 << 10)
8389#define FDI_SCRAMBLING_ENABLE (0 << 7)
8390#define FDI_SCRAMBLING_DISABLE (1 << 7)
b9055052
ZW
8391
8392/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
8393#define _FDI_RXA_CTL 0xf000c
8394#define _FDI_RXB_CTL 0xf100c
f0f59a00 8395#define FDI_RX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
5ee8ee86 8396#define FDI_RX_ENABLE (1 << 31)
b9055052 8397/* train, dp width same as FDI_TX */
5ee8ee86
PZ
8398#define FDI_FS_ERRC_ENABLE (1 << 27)
8399#define FDI_FE_ERRC_ENABLE (1 << 26)
8400#define FDI_RX_POLARITY_REVERSED_LPT (1 << 16)
8401#define FDI_8BPC (0 << 16)
8402#define FDI_10BPC (1 << 16)
8403#define FDI_6BPC (2 << 16)
8404#define FDI_12BPC (3 << 16)
8405#define FDI_RX_LINK_REVERSAL_OVERRIDE (1 << 15)
8406#define FDI_DMI_LINK_REVERSE_MASK (1 << 14)
8407#define FDI_RX_PLL_ENABLE (1 << 13)
8408#define FDI_FS_ERR_CORRECT_ENABLE (1 << 11)
8409#define FDI_FE_ERR_CORRECT_ENABLE (1 << 10)
8410#define FDI_FS_ERR_REPORT_ENABLE (1 << 9)
8411#define FDI_FE_ERR_REPORT_ENABLE (1 << 8)
8412#define FDI_RX_ENHANCE_FRAME_ENABLE (1 << 6)
8413#define FDI_PCDCLK (1 << 4)
8db9d77b 8414/* CPT */
5ee8ee86
PZ
8415#define FDI_AUTO_TRAINING (1 << 10)
8416#define FDI_LINK_TRAIN_PATTERN_1_CPT (0 << 8)
8417#define FDI_LINK_TRAIN_PATTERN_2_CPT (1 << 8)
8418#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2 << 8)
8419#define FDI_LINK_TRAIN_NORMAL_CPT (3 << 8)
8420#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3 << 8)
b9055052 8421
04945641
PZ
8422#define _FDI_RXA_MISC 0xf0010
8423#define _FDI_RXB_MISC 0xf1010
5ee8ee86
PZ
8424#define FDI_RX_PWRDN_LANE1_MASK (3 << 26)
8425#define FDI_RX_PWRDN_LANE1_VAL(x) ((x) << 26)
8426#define FDI_RX_PWRDN_LANE0_MASK (3 << 24)
8427#define FDI_RX_PWRDN_LANE0_VAL(x) ((x) << 24)
8428#define FDI_RX_TP1_TO_TP2_48 (2 << 20)
8429#define FDI_RX_TP1_TO_TP2_64 (3 << 20)
8430#define FDI_RX_FDI_DELAY_90 (0x90 << 0)
f0f59a00 8431#define FDI_RX_MISC(pipe) _MMIO_PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
04945641 8432
f0f59a00
VS
8433#define _FDI_RXA_TUSIZE1 0xf0030
8434#define _FDI_RXA_TUSIZE2 0xf0038
8435#define _FDI_RXB_TUSIZE1 0xf1030
8436#define _FDI_RXB_TUSIZE2 0xf1038
8437#define FDI_RX_TUSIZE1(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
8438#define FDI_RX_TUSIZE2(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
8439
8440/* FDI_RX interrupt register format */
5ee8ee86
PZ
8441#define FDI_RX_INTER_LANE_ALIGN (1 << 10)
8442#define FDI_RX_SYMBOL_LOCK (1 << 9) /* train 2 */
8443#define FDI_RX_BIT_LOCK (1 << 8) /* train 1 */
8444#define FDI_RX_TRAIN_PATTERN_2_FAIL (1 << 7)
8445#define FDI_RX_FS_CODE_ERR (1 << 6)
8446#define FDI_RX_FE_CODE_ERR (1 << 5)
8447#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1 << 4)
8448#define FDI_RX_HDCP_LINK_FAIL (1 << 3)
8449#define FDI_RX_PIXEL_FIFO_OVERFLOW (1 << 2)
8450#define FDI_RX_CROSS_CLOCK_OVERFLOW (1 << 1)
8451#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1 << 0)
b9055052 8452
f0f59a00
VS
8453#define _FDI_RXA_IIR 0xf0014
8454#define _FDI_RXA_IMR 0xf0018
8455#define _FDI_RXB_IIR 0xf1014
8456#define _FDI_RXB_IMR 0xf1018
8457#define FDI_RX_IIR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
8458#define FDI_RX_IMR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052 8459
f0f59a00
VS
8460#define FDI_PLL_CTL_1 _MMIO(0xfe000)
8461#define FDI_PLL_CTL_2 _MMIO(0xfe004)
b9055052 8462
f0f59a00 8463#define PCH_LVDS _MMIO(0xe1180)
b9055052
ZW
8464#define LVDS_DETECTED (1 << 1)
8465
f0f59a00
VS
8466#define _PCH_DP_B 0xe4100
8467#define PCH_DP_B _MMIO(_PCH_DP_B)
750a951f
VS
8468#define _PCH_DPB_AUX_CH_CTL 0xe4110
8469#define _PCH_DPB_AUX_CH_DATA1 0xe4114
8470#define _PCH_DPB_AUX_CH_DATA2 0xe4118
8471#define _PCH_DPB_AUX_CH_DATA3 0xe411c
8472#define _PCH_DPB_AUX_CH_DATA4 0xe4120
8473#define _PCH_DPB_AUX_CH_DATA5 0xe4124
5eb08b69 8474
f0f59a00
VS
8475#define _PCH_DP_C 0xe4200
8476#define PCH_DP_C _MMIO(_PCH_DP_C)
750a951f
VS
8477#define _PCH_DPC_AUX_CH_CTL 0xe4210
8478#define _PCH_DPC_AUX_CH_DATA1 0xe4214
8479#define _PCH_DPC_AUX_CH_DATA2 0xe4218
8480#define _PCH_DPC_AUX_CH_DATA3 0xe421c
8481#define _PCH_DPC_AUX_CH_DATA4 0xe4220
8482#define _PCH_DPC_AUX_CH_DATA5 0xe4224
5eb08b69 8483
f0f59a00
VS
8484#define _PCH_DP_D 0xe4300
8485#define PCH_DP_D _MMIO(_PCH_DP_D)
750a951f
VS
8486#define _PCH_DPD_AUX_CH_CTL 0xe4310
8487#define _PCH_DPD_AUX_CH_DATA1 0xe4314
8488#define _PCH_DPD_AUX_CH_DATA2 0xe4318
8489#define _PCH_DPD_AUX_CH_DATA3 0xe431c
8490#define _PCH_DPD_AUX_CH_DATA4 0xe4320
8491#define _PCH_DPD_AUX_CH_DATA5 0xe4324
8492
bdabdb63
VS
8493#define PCH_DP_AUX_CH_CTL(aux_ch) _MMIO_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_CTL, _PCH_DPC_AUX_CH_CTL)
8494#define PCH_DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_DATA1, _PCH_DPC_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
5eb08b69 8495
8db9d77b 8496/* CPT */
086f8e84
VS
8497#define _TRANS_DP_CTL_A 0xe0300
8498#define _TRANS_DP_CTL_B 0xe1300
8499#define _TRANS_DP_CTL_C 0xe2300
f0f59a00 8500#define TRANS_DP_CTL(pipe) _MMIO_PIPE(pipe, _TRANS_DP_CTL_A, _TRANS_DP_CTL_B)
5ee8ee86 8501#define TRANS_DP_OUTPUT_ENABLE (1 << 31)
f67dc6d8
VS
8502#define TRANS_DP_PORT_SEL_MASK (3 << 29)
8503#define TRANS_DP_PORT_SEL_NONE (3 << 29)
8504#define TRANS_DP_PORT_SEL(port) (((port) - PORT_B) << 29)
5ee8ee86
PZ
8505#define TRANS_DP_AUDIO_ONLY (1 << 26)
8506#define TRANS_DP_ENH_FRAMING (1 << 18)
8507#define TRANS_DP_8BPC (0 << 9)
8508#define TRANS_DP_10BPC (1 << 9)
8509#define TRANS_DP_6BPC (2 << 9)
8510#define TRANS_DP_12BPC (3 << 9)
8511#define TRANS_DP_BPC_MASK (3 << 9)
8512#define TRANS_DP_VSYNC_ACTIVE_HIGH (1 << 4)
8db9d77b 8513#define TRANS_DP_VSYNC_ACTIVE_LOW 0
5ee8ee86 8514#define TRANS_DP_HSYNC_ACTIVE_HIGH (1 << 3)
8db9d77b 8515#define TRANS_DP_HSYNC_ACTIVE_LOW 0
5ee8ee86 8516#define TRANS_DP_SYNC_MASK (3 << 3)
8db9d77b
ZW
8517
8518/* SNB eDP training params */
8519/* SNB A-stepping */
5ee8ee86
PZ
8520#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8521#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8522#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8523#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8524/* SNB B-stepping */
5ee8ee86
PZ
8525#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0 << 22)
8526#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1 << 22)
8527#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a << 22)
8528#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39 << 22)
8529#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38 << 22)
8530#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f << 22)
8db9d77b 8531
1a2eb460 8532/* IVB */
5ee8ee86
PZ
8533#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 << 22)
8534#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a << 22)
8535#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f << 22)
8536#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 << 22)
8537#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 << 22)
8538#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 << 22)
8539#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x3e << 22)
1a2eb460
KP
8540
8541/* legacy values */
5ee8ee86
PZ
8542#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 << 22)
8543#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 << 22)
8544#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 << 22)
8545#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 << 22)
8546#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 << 22)
1a2eb460 8547
5ee8ee86 8548#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f << 22)
1a2eb460 8549
f0f59a00 8550#define VLV_PMWGICZ _MMIO(0x1300a4)
9e72b46c 8551
274008e8
SAK
8552#define RC6_LOCATION _MMIO(0xD40)
8553#define RC6_CTX_IN_DRAM (1 << 0)
8554#define RC6_CTX_BASE _MMIO(0xD48)
8555#define RC6_CTX_BASE_MASK 0xFFFFFFF0
8556#define PWRCTX_MAXCNT_RCSUNIT _MMIO(0x2054)
8557#define PWRCTX_MAXCNT_VCSUNIT0 _MMIO(0x12054)
8558#define PWRCTX_MAXCNT_BCSUNIT _MMIO(0x22054)
8559#define PWRCTX_MAXCNT_VECSUNIT _MMIO(0x1A054)
8560#define PWRCTX_MAXCNT_VCSUNIT1 _MMIO(0x1C054)
8561#define IDLE_TIME_MASK 0xFFFFF
f0f59a00
VS
8562#define FORCEWAKE _MMIO(0xA18C)
8563#define FORCEWAKE_VLV _MMIO(0x1300b0)
8564#define FORCEWAKE_ACK_VLV _MMIO(0x1300b4)
8565#define FORCEWAKE_MEDIA_VLV _MMIO(0x1300b8)
8566#define FORCEWAKE_ACK_MEDIA_VLV _MMIO(0x1300bc)
8567#define FORCEWAKE_ACK_HSW _MMIO(0x130044)
8568#define FORCEWAKE_ACK _MMIO(0x130090)
8569#define VLV_GTLC_WAKE_CTRL _MMIO(0x130090)
981a5aea
ID
8570#define VLV_GTLC_RENDER_CTX_EXISTS (1 << 25)
8571#define VLV_GTLC_MEDIA_CTX_EXISTS (1 << 24)
8572#define VLV_GTLC_ALLOWWAKEREQ (1 << 0)
8573
f0f59a00 8574#define VLV_GTLC_PW_STATUS _MMIO(0x130094)
981a5aea
ID
8575#define VLV_GTLC_ALLOWWAKEACK (1 << 0)
8576#define VLV_GTLC_ALLOWWAKEERR (1 << 1)
8577#define VLV_GTLC_PW_MEDIA_STATUS_MASK (1 << 5)
8578#define VLV_GTLC_PW_RENDER_STATUS_MASK (1 << 7)
f0f59a00
VS
8579#define FORCEWAKE_MT _MMIO(0xa188) /* multi-threaded */
8580#define FORCEWAKE_MEDIA_GEN9 _MMIO(0xa270)
a89a70a8
DCS
8581#define FORCEWAKE_MEDIA_VDBOX_GEN11(n) _MMIO(0xa540 + (n) * 4)
8582#define FORCEWAKE_MEDIA_VEBOX_GEN11(n) _MMIO(0xa560 + (n) * 4)
f0f59a00
VS
8583#define FORCEWAKE_RENDER_GEN9 _MMIO(0xa278)
8584#define FORCEWAKE_BLITTER_GEN9 _MMIO(0xa188)
8585#define FORCEWAKE_ACK_MEDIA_GEN9 _MMIO(0x0D88)
a89a70a8
DCS
8586#define FORCEWAKE_ACK_MEDIA_VDBOX_GEN11(n) _MMIO(0x0D50 + (n) * 4)
8587#define FORCEWAKE_ACK_MEDIA_VEBOX_GEN11(n) _MMIO(0x0D70 + (n) * 4)
f0f59a00
VS
8588#define FORCEWAKE_ACK_RENDER_GEN9 _MMIO(0x0D84)
8589#define FORCEWAKE_ACK_BLITTER_GEN9 _MMIO(0x130044)
71306303
MK
8590#define FORCEWAKE_KERNEL BIT(0)
8591#define FORCEWAKE_USER BIT(1)
8592#define FORCEWAKE_KERNEL_FALLBACK BIT(15)
f0f59a00
VS
8593#define FORCEWAKE_MT_ACK _MMIO(0x130040)
8594#define ECOBUS _MMIO(0xa180)
5ee8ee86 8595#define FORCEWAKE_MT_ENABLE (1 << 5)
f0f59a00 8596#define VLV_SPAREG2H _MMIO(0xA194)
f2dd7578
AG
8597#define GEN9_PWRGT_DOMAIN_STATUS _MMIO(0xA2A0)
8598#define GEN9_PWRGT_MEDIA_STATUS_MASK (1 << 0)
8599#define GEN9_PWRGT_RENDER_STATUS_MASK (1 << 1)
8fd26859 8600
f0f59a00 8601#define GTFIFODBG _MMIO(0x120000)
297b32ec
VS
8602#define GT_FIFO_SBDEDICATE_FREE_ENTRY_CHV (0x1f << 20)
8603#define GT_FIFO_FREE_ENTRIES_CHV (0x7f << 13)
5ee8ee86
PZ
8604#define GT_FIFO_SBDROPERR (1 << 6)
8605#define GT_FIFO_BLOBDROPERR (1 << 5)
8606#define GT_FIFO_SB_READ_ABORTERR (1 << 4)
8607#define GT_FIFO_DROPERR (1 << 3)
8608#define GT_FIFO_OVFERR (1 << 2)
8609#define GT_FIFO_IAWRERR (1 << 1)
8610#define GT_FIFO_IARDERR (1 << 0)
dd202c6d 8611
f0f59a00 8612#define GTFIFOCTL _MMIO(0x120008)
46520e2b 8613#define GT_FIFO_FREE_ENTRIES_MASK 0x7f
95736720 8614#define GT_FIFO_NUM_RESERVED_ENTRIES 20
a04f90a3
D
8615#define GT_FIFO_CTL_BLOCK_ALL_POLICY_STALL (1 << 12)
8616#define GT_FIFO_CTL_RC6_POLICY_STALL (1 << 11)
91355834 8617
f0f59a00 8618#define HSW_IDICR _MMIO(0x9008)
05e21cc4 8619#define IDIHASHMSK(x) (((x) & 0x3f) << 16)
3accaf7e 8620#define HSW_EDRAM_CAP _MMIO(0x120010)
2db59d53 8621#define EDRAM_ENABLED 0x1
c02e85a0
MK
8622#define EDRAM_NUM_BANKS(cap) (((cap) >> 1) & 0xf)
8623#define EDRAM_WAYS_IDX(cap) (((cap) >> 5) & 0x7)
8624#define EDRAM_SETS_IDX(cap) (((cap) >> 8) & 0x3)
05e21cc4 8625
f0f59a00 8626#define GEN6_UCGCTL1 _MMIO(0x9400)
8aeb7f62 8627# define GEN6_GAMUNIT_CLOCK_GATE_DISABLE (1 << 22)
e4443e45 8628# define GEN6_EU_TCUNIT_CLOCK_GATE_DISABLE (1 << 16)
80e829fa 8629# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 8630# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 8631
f0f59a00 8632#define GEN6_UCGCTL2 _MMIO(0x9404)
f9fc42f4 8633# define GEN6_VFUNIT_CLOCK_GATE_DISABLE (1 << 31)
0f846f81 8634# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 8635# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 8636# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 8637# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 8638# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 8639
f0f59a00 8640#define GEN6_UCGCTL3 _MMIO(0x9408)
d7965152 8641# define GEN6_OACSUNIT_CLOCK_GATE_DISABLE (1 << 20)
9e72b46c 8642
f0f59a00 8643#define GEN7_UCGCTL4 _MMIO(0x940c)
5ee8ee86
PZ
8644#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1 << 25)
8645#define GEN8_EU_GAUNIT_CLOCK_GATE_DISABLE (1 << 14)
e3f33d46 8646
f0f59a00
VS
8647#define GEN6_RCGCTL1 _MMIO(0x9410)
8648#define GEN6_RCGCTL2 _MMIO(0x9414)
8649#define GEN6_RSTCTL _MMIO(0x9420)
9e72b46c 8650
f0f59a00 8651#define GEN8_UCGCTL6 _MMIO(0x9430)
5ee8ee86
PZ
8652#define GEN8_GAPSUNIT_CLOCK_GATE_DISABLE (1 << 24)
8653#define GEN8_SDEUNIT_CLOCK_GATE_DISABLE (1 << 14)
8654#define GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ (1 << 28)
4f1ca9e9 8655
f0f59a00
VS
8656#define GEN6_GFXPAUSE _MMIO(0xA000)
8657#define GEN6_RPNSWREQ _MMIO(0xA008)
5ee8ee86
PZ
8658#define GEN6_TURBO_DISABLE (1 << 31)
8659#define GEN6_FREQUENCY(x) ((x) << 25)
8660#define HSW_FREQUENCY(x) ((x) << 24)
8661#define GEN9_FREQUENCY(x) ((x) << 23)
8662#define GEN6_OFFSET(x) ((x) << 19)
8663#define GEN6_AGGRESSIVE_TURBO (0 << 15)
f0f59a00
VS
8664#define GEN6_RC_VIDEO_FREQ _MMIO(0xA00C)
8665#define GEN6_RC_CONTROL _MMIO(0xA090)
5ee8ee86
PZ
8666#define GEN6_RC_CTL_RC6pp_ENABLE (1 << 16)
8667#define GEN6_RC_CTL_RC6p_ENABLE (1 << 17)
8668#define GEN6_RC_CTL_RC6_ENABLE (1 << 18)
8669#define GEN6_RC_CTL_RC1e_ENABLE (1 << 20)
8670#define GEN6_RC_CTL_RC7_ENABLE (1 << 22)
8671#define VLV_RC_CTL_CTX_RST_PARALLEL (1 << 24)
8672#define GEN7_RC_CTL_TO_MODE (1 << 28)
8673#define GEN6_RC_CTL_EI_MODE(x) ((x) << 27)
8674#define GEN6_RC_CTL_HW_ENABLE (1 << 31)
f0f59a00
VS
8675#define GEN6_RP_DOWN_TIMEOUT _MMIO(0xA010)
8676#define GEN6_RP_INTERRUPT_LIMITS _MMIO(0xA014)
8677#define GEN6_RPSTAT1 _MMIO(0xA01C)
ccab5c82 8678#define GEN6_CAGF_SHIFT 8
f82855d3 8679#define HSW_CAGF_SHIFT 7
de43ae9d 8680#define GEN9_CAGF_SHIFT 23
ccab5c82 8681#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 8682#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
de43ae9d 8683#define GEN9_CAGF_MASK (0x1ff << GEN9_CAGF_SHIFT)
f0f59a00 8684#define GEN6_RP_CONTROL _MMIO(0xA024)
5ee8ee86
PZ
8685#define GEN6_RP_MEDIA_TURBO (1 << 11)
8686#define GEN6_RP_MEDIA_MODE_MASK (3 << 9)
8687#define GEN6_RP_MEDIA_HW_TURBO_MODE (3 << 9)
8688#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2 << 9)
8689#define GEN6_RP_MEDIA_HW_MODE (1 << 9)
8690#define GEN6_RP_MEDIA_SW_MODE (0 << 9)
8691#define GEN6_RP_MEDIA_IS_GFX (1 << 8)
8692#define GEN6_RP_ENABLE (1 << 7)
8693#define GEN6_RP_UP_IDLE_MIN (0x1 << 3)
8694#define GEN6_RP_UP_BUSY_AVG (0x2 << 3)
8695#define GEN6_RP_UP_BUSY_CONT (0x4 << 3)
8696#define GEN6_RP_DOWN_IDLE_AVG (0x2 << 0)
8697#define GEN6_RP_DOWN_IDLE_CONT (0x1 << 0)
f0f59a00
VS
8698#define GEN6_RP_UP_THRESHOLD _MMIO(0xA02C)
8699#define GEN6_RP_DOWN_THRESHOLD _MMIO(0xA030)
8700#define GEN6_RP_CUR_UP_EI _MMIO(0xA050)
7466c291
CW
8701#define GEN6_RP_EI_MASK 0xffffff
8702#define GEN6_CURICONT_MASK GEN6_RP_EI_MASK
f0f59a00 8703#define GEN6_RP_CUR_UP _MMIO(0xA054)
7466c291 8704#define GEN6_CURBSYTAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8705#define GEN6_RP_PREV_UP _MMIO(0xA058)
8706#define GEN6_RP_CUR_DOWN_EI _MMIO(0xA05C)
7466c291 8707#define GEN6_CURIAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8708#define GEN6_RP_CUR_DOWN _MMIO(0xA060)
8709#define GEN6_RP_PREV_DOWN _MMIO(0xA064)
8710#define GEN6_RP_UP_EI _MMIO(0xA068)
8711#define GEN6_RP_DOWN_EI _MMIO(0xA06C)
8712#define GEN6_RP_IDLE_HYSTERSIS _MMIO(0xA070)
8713#define GEN6_RPDEUHWTC _MMIO(0xA080)
8714#define GEN6_RPDEUC _MMIO(0xA084)
8715#define GEN6_RPDEUCSW _MMIO(0xA088)
8716#define GEN6_RC_STATE _MMIO(0xA094)
fc619841
ID
8717#define RC_SW_TARGET_STATE_SHIFT 16
8718#define RC_SW_TARGET_STATE_MASK (7 << RC_SW_TARGET_STATE_SHIFT)
f0f59a00
VS
8719#define GEN6_RC1_WAKE_RATE_LIMIT _MMIO(0xA098)
8720#define GEN6_RC6_WAKE_RATE_LIMIT _MMIO(0xA09C)
8721#define GEN6_RC6pp_WAKE_RATE_LIMIT _MMIO(0xA0A0)
0aab201b 8722#define GEN10_MEDIA_WAKE_RATE_LIMIT _MMIO(0xA0A0)
f0f59a00
VS
8723#define GEN6_RC_EVALUATION_INTERVAL _MMIO(0xA0A8)
8724#define GEN6_RC_IDLE_HYSTERSIS _MMIO(0xA0AC)
8725#define GEN6_RC_SLEEP _MMIO(0xA0B0)
8726#define GEN6_RCUBMABDTMR _MMIO(0xA0B0)
8727#define GEN6_RC1e_THRESHOLD _MMIO(0xA0B4)
8728#define GEN6_RC6_THRESHOLD _MMIO(0xA0B8)
8729#define GEN6_RC6p_THRESHOLD _MMIO(0xA0BC)
8730#define VLV_RCEDATA _MMIO(0xA0BC)
8731#define GEN6_RC6pp_THRESHOLD _MMIO(0xA0C0)
8732#define GEN6_PMINTRMSK _MMIO(0xA168)
5ee8ee86
PZ
8733#define GEN8_PMINTR_DISABLE_REDIRECT_TO_GUC (1 << 31)
8734#define ARAT_EXPIRED_INTRMSK (1 << 9)
fc619841 8735#define GEN8_MISC_CTRL0 _MMIO(0xA180)
f0f59a00
VS
8736#define VLV_PWRDWNUPCTL _MMIO(0xA294)
8737#define GEN9_MEDIA_PG_IDLE_HYSTERESIS _MMIO(0xA0C4)
8738#define GEN9_RENDER_PG_IDLE_HYSTERESIS _MMIO(0xA0C8)
8739#define GEN9_PG_ENABLE _MMIO(0xA210)
2ea74141
MK
8740#define GEN9_RENDER_PG_ENABLE REG_BIT(0)
8741#define GEN9_MEDIA_PG_ENABLE REG_BIT(1)
8742#define GEN11_MEDIA_SAMPLER_PG_ENABLE REG_BIT(2)
fc619841
ID
8743#define GEN8_PUSHBUS_CONTROL _MMIO(0xA248)
8744#define GEN8_PUSHBUS_ENABLE _MMIO(0xA250)
8745#define GEN8_PUSHBUS_SHIFT _MMIO(0xA25C)
8fd26859 8746
f0f59a00 8747#define VLV_CHICKEN_3 _MMIO(VLV_DISPLAY_BASE + 0x7040C)
a9da9bce
GS
8748#define PIXEL_OVERLAP_CNT_MASK (3 << 30)
8749#define PIXEL_OVERLAP_CNT_SHIFT 30
8750
f0f59a00
VS
8751#define GEN6_PMISR _MMIO(0x44020)
8752#define GEN6_PMIMR _MMIO(0x44024) /* rps_lock */
8753#define GEN6_PMIIR _MMIO(0x44028)
8754#define GEN6_PMIER _MMIO(0x4402C)
5ee8ee86
PZ
8755#define GEN6_PM_MBOX_EVENT (1 << 25)
8756#define GEN6_PM_THERMAL_EVENT (1 << 24)
917dc6b5
MK
8757
8758/*
8759 * For Gen11 these are in the upper word of the GPM_WGBOXPERF
8760 * registers. Shifting is handled on accessing the imr and ier.
8761 */
5ee8ee86
PZ
8762#define GEN6_PM_RP_DOWN_TIMEOUT (1 << 6)
8763#define GEN6_PM_RP_UP_THRESHOLD (1 << 5)
8764#define GEN6_PM_RP_DOWN_THRESHOLD (1 << 4)
8765#define GEN6_PM_RP_UP_EI_EXPIRED (1 << 2)
8766#define GEN6_PM_RP_DOWN_EI_EXPIRED (1 << 1)
4668f695
CW
8767#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_EI_EXPIRED | \
8768 GEN6_PM_RP_UP_THRESHOLD | \
8769 GEN6_PM_RP_DOWN_EI_EXPIRED | \
8770 GEN6_PM_RP_DOWN_THRESHOLD | \
4912d041 8771 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 8772
f0f59a00 8773#define GEN7_GT_SCRATCH(i) _MMIO(0x4F100 + (i) * 4)
9e72b46c
ID
8774#define GEN7_GT_SCRATCH_REG_NUM 8
8775
f0f59a00 8776#define VLV_GTLC_SURVIVABILITY_REG _MMIO(0x130098)
5ee8ee86
PZ
8777#define VLV_GFX_CLK_STATUS_BIT (1 << 3)
8778#define VLV_GFX_CLK_FORCE_ON_BIT (1 << 2)
76c3552f 8779
f0f59a00
VS
8780#define GEN6_GT_GFX_RC6_LOCKED _MMIO(0x138104)
8781#define VLV_COUNTER_CONTROL _MMIO(0x138104)
5ee8ee86
PZ
8782#define VLV_COUNT_RANGE_HIGH (1 << 15)
8783#define VLV_MEDIA_RC0_COUNT_EN (1 << 5)
8784#define VLV_RENDER_RC0_COUNT_EN (1 << 4)
8785#define VLV_MEDIA_RC6_COUNT_EN (1 << 1)
8786#define VLV_RENDER_RC6_COUNT_EN (1 << 0)
f0f59a00
VS
8787#define GEN6_GT_GFX_RC6 _MMIO(0x138108)
8788#define VLV_GT_RENDER_RC6 _MMIO(0x138108)
8789#define VLV_GT_MEDIA_RC6 _MMIO(0x13810C)
9cc19be5 8790
f0f59a00
VS
8791#define GEN6_GT_GFX_RC6p _MMIO(0x13810C)
8792#define GEN6_GT_GFX_RC6pp _MMIO(0x138110)
8793#define VLV_RENDER_C0_COUNT _MMIO(0x138118)
8794#define VLV_MEDIA_C0_COUNT _MMIO(0x13811C)
cce66a28 8795
f0f59a00 8796#define GEN6_PCODE_MAILBOX _MMIO(0x138124)
5ee8ee86 8797#define GEN6_PCODE_READY (1 << 31)
87660502
L
8798#define GEN6_PCODE_ERROR_MASK 0xFF
8799#define GEN6_PCODE_SUCCESS 0x0
8800#define GEN6_PCODE_ILLEGAL_CMD 0x1
8801#define GEN6_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x2
8802#define GEN6_PCODE_TIMEOUT 0x3
8803#define GEN6_PCODE_UNIMPLEMENTED_CMD 0xFF
8804#define GEN7_PCODE_TIMEOUT 0x2
8805#define GEN7_PCODE_ILLEGAL_DATA 0x3
8806#define GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x10
3e8ddd9e
VS
8807#define GEN6_PCODE_WRITE_RC6VIDS 0x4
8808#define GEN6_PCODE_READ_RC6VIDS 0x5
9043ae02
DL
8809#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
8810#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
b432e5cf 8811#define BDW_PCODE_DISPLAY_FREQ_CHANGE_REQ 0x18
57520bc5
DL
8812#define GEN9_PCODE_READ_MEM_LATENCY 0x6
8813#define GEN9_MEM_LATENCY_LEVEL_MASK 0xFF
8814#define GEN9_MEM_LATENCY_LEVEL_1_5_SHIFT 8
8815#define GEN9_MEM_LATENCY_LEVEL_2_6_SHIFT 16
8816#define GEN9_MEM_LATENCY_LEVEL_3_7_SHIFT 24
ee5e5e7a 8817#define SKL_PCODE_LOAD_HDCP_KEYS 0x5
5d96d8af
DL
8818#define SKL_PCODE_CDCLK_CONTROL 0x7
8819#define SKL_CDCLK_PREPARE_FOR_CHANGE 0x3
8820#define SKL_CDCLK_READY_FOR_CHANGE 0x1
9043ae02
DL
8821#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
8822#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
8823#define GEN6_READ_OC_PARAMS 0xc
c457d9cf
VS
8824#define ICL_PCODE_MEM_SUBSYSYSTEM_INFO 0xd
8825#define ICL_PCODE_MEM_SS_READ_GLOBAL_INFO (0x0 << 8)
8826#define ICL_PCODE_MEM_SS_READ_QGV_POINT_INFO(point) (((point) << 16) | (0x1 << 8))
515b2392
PZ
8827#define GEN6_PCODE_READ_D_COMP 0x10
8828#define GEN6_PCODE_WRITE_D_COMP 0x11
f8437dd1 8829#define HSW_PCODE_DE_WRITE_FREQ_REQ 0x17
2a114cc1 8830#define DISPLAY_IPS_CONTROL 0x19
61843f0e
VS
8831 /* See also IPS_CTL */
8832#define IPS_PCODE_CONTROL (1 << 30)
3e8ddd9e 8833#define HSW_PCODE_DYNAMIC_DUTY_CYCLE_CONTROL 0x1A
656d1b89
L
8834#define GEN9_PCODE_SAGV_CONTROL 0x21
8835#define GEN9_SAGV_DISABLE 0x0
8836#define GEN9_SAGV_IS_DISABLED 0x1
8837#define GEN9_SAGV_ENABLE 0x3
f0f59a00 8838#define GEN6_PCODE_DATA _MMIO(0x138128)
23b2f8bb 8839#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 8840#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
f0f59a00 8841#define GEN6_PCODE_DATA1 _MMIO(0x13812C)
8fd26859 8842
f0f59a00 8843#define GEN6_GT_CORE_STATUS _MMIO(0x138060)
5ee8ee86 8844#define GEN6_CORE_CPD_STATE_MASK (7 << 4)
4d85529d
BW
8845#define GEN6_RCn_MASK 7
8846#define GEN6_RC0 0
8847#define GEN6_RC3 2
8848#define GEN6_RC6 3
8849#define GEN6_RC7 4
8850
f0f59a00 8851#define GEN8_GT_SLICE_INFO _MMIO(0x138064)
91bedd34
ŁD
8852#define GEN8_LSLICESTAT_MASK 0x7
8853
f0f59a00
VS
8854#define CHV_POWER_SS0_SIG1 _MMIO(0xa720)
8855#define CHV_POWER_SS1_SIG1 _MMIO(0xa728)
5ee8ee86
PZ
8856#define CHV_SS_PG_ENABLE (1 << 1)
8857#define CHV_EU08_PG_ENABLE (1 << 9)
8858#define CHV_EU19_PG_ENABLE (1 << 17)
8859#define CHV_EU210_PG_ENABLE (1 << 25)
5575f03a 8860
f0f59a00
VS
8861#define CHV_POWER_SS0_SIG2 _MMIO(0xa724)
8862#define CHV_POWER_SS1_SIG2 _MMIO(0xa72c)
5ee8ee86 8863#define CHV_EU311_PG_ENABLE (1 << 1)
5575f03a 8864
5ee8ee86 8865#define GEN9_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + (slice) * 0x4)
f8c3dcf9
RV
8866#define GEN10_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + ((slice) / 3) * 0x34 + \
8867 ((slice) % 3) * 0x4)
7f992aba 8868#define GEN9_PGCTL_SLICE_ACK (1 << 0)
5ee8ee86 8869#define GEN9_PGCTL_SS_ACK(subslice) (1 << (2 + (subslice) * 2))
f8c3dcf9 8870#define GEN10_PGCTL_VALID_SS_MASK(slice) ((slice) == 0 ? 0x7F : 0x1F)
7f992aba 8871
5ee8ee86 8872#define GEN9_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + (slice) * 0x8)
f8c3dcf9
RV
8873#define GEN10_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + ((slice) / 3) * 0x30 + \
8874 ((slice) % 3) * 0x8)
5ee8ee86 8875#define GEN9_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + (slice) * 0x8)
f8c3dcf9
RV
8876#define GEN10_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + ((slice) / 3) * 0x30 + \
8877 ((slice) % 3) * 0x8)
7f992aba
JM
8878#define GEN9_PGCTL_SSA_EU08_ACK (1 << 0)
8879#define GEN9_PGCTL_SSA_EU19_ACK (1 << 2)
8880#define GEN9_PGCTL_SSA_EU210_ACK (1 << 4)
8881#define GEN9_PGCTL_SSA_EU311_ACK (1 << 6)
8882#define GEN9_PGCTL_SSB_EU08_ACK (1 << 8)
8883#define GEN9_PGCTL_SSB_EU19_ACK (1 << 10)
8884#define GEN9_PGCTL_SSB_EU210_ACK (1 << 12)
8885#define GEN9_PGCTL_SSB_EU311_ACK (1 << 14)
8886
f0f59a00 8887#define GEN7_MISCCPCTL _MMIO(0x9424)
5ee8ee86
PZ
8888#define GEN7_DOP_CLOCK_GATE_ENABLE (1 << 0)
8889#define GEN8_DOP_CLOCK_GATE_CFCLK_ENABLE (1 << 2)
8890#define GEN8_DOP_CLOCK_GATE_GUC_ENABLE (1 << 4)
8891#define GEN8_DOP_CLOCK_GATE_MEDIA_ENABLE (1 << 6)
e3689190 8892
5bcebe76
OM
8893#define GEN8_GARBCNTL _MMIO(0xB004)
8894#define GEN9_GAPS_TSV_CREDIT_DISABLE (1 << 7)
8895#define GEN11_ARBITRATION_PRIO_ORDER_MASK (0x3f << 22)
d41bab68
OM
8896#define GEN11_HASH_CTRL_EXCL_MASK (0x7f << 0)
8897#define GEN11_HASH_CTRL_EXCL_BIT0 (1 << 0)
8898
8899#define GEN11_GLBLINVL _MMIO(0xB404)
8900#define GEN11_BANK_HASH_ADDR_EXCL_MASK (0x7f << 5)
8901#define GEN11_BANK_HASH_ADDR_EXCL_BIT0 (1 << 5)
245d9667 8902
d65dc3e4
OM
8903#define GEN10_DFR_RATIO_EN_AND_CHICKEN _MMIO(0x9550)
8904#define DFR_DISABLE (1 << 9)
8905
f4a35714
OM
8906#define GEN11_GACB_PERF_CTRL _MMIO(0x4B80)
8907#define GEN11_HASH_CTRL_MASK (0x3 << 12 | 0xf << 0)
8908#define GEN11_HASH_CTRL_BIT0 (1 << 0)
8909#define GEN11_HASH_CTRL_BIT4 (1 << 12)
8910
6b967dc3
OM
8911#define GEN11_LSN_UNSLCVC _MMIO(0xB43C)
8912#define GEN11_LSN_UNSLCVC_GAFS_HALF_CL2_MAXALLOC (1 << 9)
8913#define GEN11_LSN_UNSLCVC_GAFS_HALF_SF_MAXALLOC (1 << 7)
8914
f57f9371 8915#define GEN10_SAMPLER_MODE _MMIO(0xE18C)
397049a0 8916#define GEN11_SAMPLER_ENABLE_HEADLESS_MSG REG_BIT(5)
f57f9371 8917
e3689190 8918/* IVYBRIDGE DPF */
f0f59a00 8919#define GEN7_L3CDERRST1(slice) _MMIO(0xB008 + (slice) * 0x200) /* L3CD Error Status 1 */
5ee8ee86
PZ
8920#define GEN7_L3CDERRST1_ROW_MASK (0x7ff << 14)
8921#define GEN7_PARITY_ERROR_VALID (1 << 13)
8922#define GEN7_L3CDERRST1_BANK_MASK (3 << 11)
8923#define GEN7_L3CDERRST1_SUBBANK_MASK (7 << 8)
e3689190 8924#define GEN7_PARITY_ERROR_ROW(reg) \
9e8789ec 8925 (((reg) & GEN7_L3CDERRST1_ROW_MASK) >> 14)
e3689190 8926#define GEN7_PARITY_ERROR_BANK(reg) \
9e8789ec 8927 (((reg) & GEN7_L3CDERRST1_BANK_MASK) >> 11)
e3689190 8928#define GEN7_PARITY_ERROR_SUBBANK(reg) \
9e8789ec 8929 (((reg) & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
5ee8ee86 8930#define GEN7_L3CDERRST1_ENABLE (1 << 7)
e3689190 8931
f0f59a00 8932#define GEN7_L3LOG(slice, i) _MMIO(0xB070 + (slice) * 0x200 + (i) * 4)
b9524a1e
BW
8933#define GEN7_L3LOG_SIZE 0x80
8934
f0f59a00
VS
8935#define GEN7_HALF_SLICE_CHICKEN1 _MMIO(0xe100) /* IVB GT1 + VLV */
8936#define GEN7_HALF_SLICE_CHICKEN1_GT2 _MMIO(0xf100)
5ee8ee86
PZ
8937#define GEN7_MAX_PS_THREAD_DEP (8 << 12)
8938#define GEN7_SINGLE_SUBSCAN_DISPATCH_ENABLE (1 << 10)
8939#define GEN7_SBE_SS_CACHE_DISPATCH_PORT_SHARING_DISABLE (1 << 4)
8940#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1 << 3)
12f3382b 8941
f0f59a00 8942#define GEN9_HALF_SLICE_CHICKEN5 _MMIO(0xe188)
5ee8ee86
PZ
8943#define GEN9_DG_MIRROR_FIX_ENABLE (1 << 5)
8944#define GEN9_CCS_TLB_PREFETCH_ENABLE (1 << 3)
3ca5da43 8945
f0f59a00 8946#define GEN8_ROW_CHICKEN _MMIO(0xe4f0)
5ee8ee86
PZ
8947#define FLOW_CONTROL_ENABLE (1 << 15)
8948#define PARTIAL_INSTRUCTION_SHOOTDOWN_DISABLE (1 << 8)
8949#define STALL_DOP_GATING_DISABLE (1 << 5)
8950#define THROTTLE_12_5 (7 << 2)
8951#define DISABLE_EARLY_EOT (1 << 1)
c8966e10 8952
f0f59a00
VS
8953#define GEN7_ROW_CHICKEN2 _MMIO(0xe4f4)
8954#define GEN7_ROW_CHICKEN2_GT2 _MMIO(0xf4f4)
3c7ab278
OM
8955#define DOP_CLOCK_GATING_DISABLE (1 << 0)
8956#define PUSH_CONSTANT_DEREF_DISABLE (1 << 8)
8957#define GEN11_TDL_CLOCK_GATING_FIX_DISABLE (1 << 1)
8ab43976 8958
f0f59a00 8959#define HSW_ROW_CHICKEN3 _MMIO(0xe49c)
f3fc4884
FJ
8960#define HSW_ROW_CHICKEN3_L3_GLOBAL_ATOMICS_DISABLE (1 << 6)
8961
f0f59a00 8962#define HALF_SLICE_CHICKEN2 _MMIO(0xe180)
5ee8ee86 8963#define GEN8_ST_PO_DISABLE (1 << 13)
6b6d5626 8964
f0f59a00 8965#define HALF_SLICE_CHICKEN3 _MMIO(0xe184)
5ee8ee86
PZ
8966#define HSW_SAMPLE_C_PERFORMANCE (1 << 9)
8967#define GEN8_CENTROID_PIXEL_OPT_DIS (1 << 8)
8968#define GEN9_DISABLE_OCL_OOB_SUPPRESS_LOGIC (1 << 5)
8969#define CNL_FAST_ANISO_L1_BANKING_FIX (1 << 4)
8970#define GEN8_SAMPLER_POWER_BYPASS_DIS (1 << 1)
fd392b60 8971
f0f59a00 8972#define GEN9_HALF_SLICE_CHICKEN7 _MMIO(0xe194)
5ee8ee86
PZ
8973#define GEN9_SAMPLER_HASH_COMPRESSED_READ_ADDR (1 << 8)
8974#define GEN9_ENABLE_YV12_BUGFIX (1 << 4)
8975#define GEN9_ENABLE_GPGPU_PREEMPTION (1 << 2)
cac23df4 8976
c46f111f 8977/* Audio */
ed5eb1b7 8978#define G4X_AUD_VID_DID _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x62020)
c46f111f
JN
8979#define INTEL_AUDIO_DEVCL 0x808629FB
8980#define INTEL_AUDIO_DEVBLC 0x80862801
8981#define INTEL_AUDIO_DEVCTG 0x80862802
e0dac65e 8982
f0f59a00 8983#define G4X_AUD_CNTL_ST _MMIO(0x620B4)
c46f111f
JN
8984#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
8985#define G4X_ELDV_DEVCTG (1 << 14)
8986#define G4X_ELD_ADDR_MASK (0xf << 5)
8987#define G4X_ELD_ACK (1 << 4)
f0f59a00 8988#define G4X_HDMIW_HDMIEDID _MMIO(0x6210C)
e0dac65e 8989
c46f111f
JN
8990#define _IBX_HDMIW_HDMIEDID_A 0xE2050
8991#define _IBX_HDMIW_HDMIEDID_B 0xE2150
f0f59a00
VS
8992#define IBX_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _IBX_HDMIW_HDMIEDID_A, \
8993 _IBX_HDMIW_HDMIEDID_B)
c46f111f
JN
8994#define _IBX_AUD_CNTL_ST_A 0xE20B4
8995#define _IBX_AUD_CNTL_ST_B 0xE21B4
f0f59a00
VS
8996#define IBX_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CNTL_ST_A, \
8997 _IBX_AUD_CNTL_ST_B)
c46f111f
JN
8998#define IBX_ELD_BUFFER_SIZE_MASK (0x1f << 10)
8999#define IBX_ELD_ADDRESS_MASK (0x1f << 5)
9000#define IBX_ELD_ACK (1 << 4)
f0f59a00 9001#define IBX_AUD_CNTL_ST2 _MMIO(0xE20C0)
82910ac6
JN
9002#define IBX_CP_READY(port) ((1 << 1) << (((port) - 1) * 4))
9003#define IBX_ELD_VALID(port) ((1 << 0) << (((port) - 1) * 4))
1202b4c6 9004
c46f111f
JN
9005#define _CPT_HDMIW_HDMIEDID_A 0xE5050
9006#define _CPT_HDMIW_HDMIEDID_B 0xE5150
f0f59a00 9007#define CPT_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _CPT_HDMIW_HDMIEDID_A, _CPT_HDMIW_HDMIEDID_B)
c46f111f
JN
9008#define _CPT_AUD_CNTL_ST_A 0xE50B4
9009#define _CPT_AUD_CNTL_ST_B 0xE51B4
f0f59a00
VS
9010#define CPT_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CNTL_ST_A, _CPT_AUD_CNTL_ST_B)
9011#define CPT_AUD_CNTRL_ST2 _MMIO(0xE50C0)
e0dac65e 9012
c46f111f
JN
9013#define _VLV_HDMIW_HDMIEDID_A (VLV_DISPLAY_BASE + 0x62050)
9014#define _VLV_HDMIW_HDMIEDID_B (VLV_DISPLAY_BASE + 0x62150)
f0f59a00 9015#define VLV_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _VLV_HDMIW_HDMIEDID_A, _VLV_HDMIW_HDMIEDID_B)
c46f111f
JN
9016#define _VLV_AUD_CNTL_ST_A (VLV_DISPLAY_BASE + 0x620B4)
9017#define _VLV_AUD_CNTL_ST_B (VLV_DISPLAY_BASE + 0x621B4)
f0f59a00
VS
9018#define VLV_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CNTL_ST_A, _VLV_AUD_CNTL_ST_B)
9019#define VLV_AUD_CNTL_ST2 _MMIO(VLV_DISPLAY_BASE + 0x620C0)
9ca2fe73 9020
ae662d31
EA
9021/* These are the 4 32-bit write offset registers for each stream
9022 * output buffer. It determines the offset from the
9023 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
9024 */
f0f59a00 9025#define GEN7_SO_WRITE_OFFSET(n) _MMIO(0x5280 + (n) * 4)
ae662d31 9026
c46f111f
JN
9027#define _IBX_AUD_CONFIG_A 0xe2000
9028#define _IBX_AUD_CONFIG_B 0xe2100
f0f59a00 9029#define IBX_AUD_CFG(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CONFIG_A, _IBX_AUD_CONFIG_B)
c46f111f
JN
9030#define _CPT_AUD_CONFIG_A 0xe5000
9031#define _CPT_AUD_CONFIG_B 0xe5100
f0f59a00 9032#define CPT_AUD_CFG(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CONFIG_A, _CPT_AUD_CONFIG_B)
c46f111f
JN
9033#define _VLV_AUD_CONFIG_A (VLV_DISPLAY_BASE + 0x62000)
9034#define _VLV_AUD_CONFIG_B (VLV_DISPLAY_BASE + 0x62100)
f0f59a00 9035#define VLV_AUD_CFG(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CONFIG_A, _VLV_AUD_CONFIG_B)
9ca2fe73 9036
b6daa025
WF
9037#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
9038#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
9039#define AUD_CONFIG_UPPER_N_SHIFT 20
c46f111f 9040#define AUD_CONFIG_UPPER_N_MASK (0xff << 20)
b6daa025 9041#define AUD_CONFIG_LOWER_N_SHIFT 4
c46f111f 9042#define AUD_CONFIG_LOWER_N_MASK (0xfff << 4)
2561389a
JN
9043#define AUD_CONFIG_N_MASK (AUD_CONFIG_UPPER_N_MASK | AUD_CONFIG_LOWER_N_MASK)
9044#define AUD_CONFIG_N(n) \
9045 (((((n) >> 12) & 0xff) << AUD_CONFIG_UPPER_N_SHIFT) | \
9046 (((n) & 0xfff) << AUD_CONFIG_LOWER_N_SHIFT))
b6daa025 9047#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
1a91510d
JN
9048#define AUD_CONFIG_PIXEL_CLOCK_HDMI_MASK (0xf << 16)
9049#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25175 (0 << 16)
9050#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25200 (1 << 16)
9051#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27000 (2 << 16)
9052#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27027 (3 << 16)
9053#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54000 (4 << 16)
9054#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54054 (5 << 16)
9055#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74176 (6 << 16)
9056#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74250 (7 << 16)
9057#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148352 (8 << 16)
9058#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148500 (9 << 16)
b6daa025
WF
9059#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
9060
9a78b6cc 9061/* HSW Audio */
c46f111f
JN
9062#define _HSW_AUD_CONFIG_A 0x65000
9063#define _HSW_AUD_CONFIG_B 0x65100
3904fb78 9064#define HSW_AUD_CFG(trans) _MMIO_TRANS(trans, _HSW_AUD_CONFIG_A, _HSW_AUD_CONFIG_B)
c46f111f
JN
9065
9066#define _HSW_AUD_MISC_CTRL_A 0x65010
9067#define _HSW_AUD_MISC_CTRL_B 0x65110
3904fb78 9068#define HSW_AUD_MISC_CTRL(trans) _MMIO_TRANS(trans, _HSW_AUD_MISC_CTRL_A, _HSW_AUD_MISC_CTRL_B)
c46f111f 9069
6014ac12
LY
9070#define _HSW_AUD_M_CTS_ENABLE_A 0x65028
9071#define _HSW_AUD_M_CTS_ENABLE_B 0x65128
3904fb78 9072#define HSW_AUD_M_CTS_ENABLE(trans) _MMIO_TRANS(trans, _HSW_AUD_M_CTS_ENABLE_A, _HSW_AUD_M_CTS_ENABLE_B)
6014ac12
LY
9073#define AUD_M_CTS_M_VALUE_INDEX (1 << 21)
9074#define AUD_M_CTS_M_PROG_ENABLE (1 << 20)
9075#define AUD_CONFIG_M_MASK 0xfffff
9076
c46f111f
JN
9077#define _HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4
9078#define _HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4
3904fb78 9079#define HSW_AUD_DIP_ELD_CTRL(trans) _MMIO_TRANS(trans, _HSW_AUD_DIP_ELD_CTRL_ST_A, _HSW_AUD_DIP_ELD_CTRL_ST_B)
9a78b6cc
WX
9080
9081/* Audio Digital Converter */
c46f111f
JN
9082#define _HSW_AUD_DIG_CNVT_1 0x65080
9083#define _HSW_AUD_DIG_CNVT_2 0x65180
3904fb78 9084#define AUD_DIG_CNVT(trans) _MMIO_TRANS(trans, _HSW_AUD_DIG_CNVT_1, _HSW_AUD_DIG_CNVT_2)
c46f111f
JN
9085#define DIP_PORT_SEL_MASK 0x3
9086
9087#define _HSW_AUD_EDID_DATA_A 0x65050
9088#define _HSW_AUD_EDID_DATA_B 0x65150
3904fb78 9089#define HSW_AUD_EDID_DATA(trans) _MMIO_TRANS(trans, _HSW_AUD_EDID_DATA_A, _HSW_AUD_EDID_DATA_B)
c46f111f 9090
f0f59a00
VS
9091#define HSW_AUD_PIPE_CONV_CFG _MMIO(0x6507c)
9092#define HSW_AUD_PIN_ELD_CP_VLD _MMIO(0x650c0)
82910ac6
JN
9093#define AUDIO_INACTIVE(trans) ((1 << 3) << ((trans) * 4))
9094#define AUDIO_OUTPUT_ENABLE(trans) ((1 << 2) << ((trans) * 4))
9095#define AUDIO_CP_READY(trans) ((1 << 1) << ((trans) * 4))
9096#define AUDIO_ELD_VALID(trans) ((1 << 0) << ((trans) * 4))
9a78b6cc 9097
f0f59a00 9098#define HSW_AUD_CHICKENBIT _MMIO(0x65f10)
632f3ab9
LH
9099#define SKL_AUD_CODEC_WAKE_SIGNAL (1 << 15)
9100
9c3a16c8 9101/*
75e39688
ID
9102 * HSW - ICL power wells
9103 *
9104 * Platforms have up to 3 power well control register sets, each set
9105 * controlling up to 16 power wells via a request/status HW flag tuple:
9106 * - main (HSW_PWR_WELL_CTL[1-4])
9107 * - AUX (ICL_PWR_WELL_CTL_AUX[1-4])
9108 * - DDI (ICL_PWR_WELL_CTL_DDI[1-4])
9109 * Each control register set consists of up to 4 registers used by different
9110 * sources that can request a power well to be enabled:
9111 * - BIOS (HSW_PWR_WELL_CTL1/ICL_PWR_WELL_CTL_AUX1/ICL_PWR_WELL_CTL_DDI1)
9112 * - DRIVER (HSW_PWR_WELL_CTL2/ICL_PWR_WELL_CTL_AUX2/ICL_PWR_WELL_CTL_DDI2)
9113 * - KVMR (HSW_PWR_WELL_CTL3) (only in the main register set)
9114 * - DEBUG (HSW_PWR_WELL_CTL4/ICL_PWR_WELL_CTL_AUX4/ICL_PWR_WELL_CTL_DDI4)
9c3a16c8 9115 */
75e39688
ID
9116#define HSW_PWR_WELL_CTL1 _MMIO(0x45400)
9117#define HSW_PWR_WELL_CTL2 _MMIO(0x45404)
9118#define HSW_PWR_WELL_CTL3 _MMIO(0x45408)
9119#define HSW_PWR_WELL_CTL4 _MMIO(0x4540C)
9120#define HSW_PWR_WELL_CTL_REQ(pw_idx) (0x2 << ((pw_idx) * 2))
9121#define HSW_PWR_WELL_CTL_STATE(pw_idx) (0x1 << ((pw_idx) * 2))
9122
9123/* HSW/BDW power well */
9124#define HSW_PW_CTL_IDX_GLOBAL 15
9125
9126/* SKL/BXT/GLK/CNL power wells */
9127#define SKL_PW_CTL_IDX_PW_2 15
9128#define SKL_PW_CTL_IDX_PW_1 14
9129#define CNL_PW_CTL_IDX_AUX_F 12
9130#define CNL_PW_CTL_IDX_AUX_D 11
9131#define GLK_PW_CTL_IDX_AUX_C 10
9132#define GLK_PW_CTL_IDX_AUX_B 9
9133#define GLK_PW_CTL_IDX_AUX_A 8
9134#define CNL_PW_CTL_IDX_DDI_F 6
9135#define SKL_PW_CTL_IDX_DDI_D 4
9136#define SKL_PW_CTL_IDX_DDI_C 3
9137#define SKL_PW_CTL_IDX_DDI_B 2
9138#define SKL_PW_CTL_IDX_DDI_A_E 1
9139#define GLK_PW_CTL_IDX_DDI_A 1
9140#define SKL_PW_CTL_IDX_MISC_IO 0
9141
656409bb 9142/* ICL/TGL - power wells */
1db27a72 9143#define TGL_PW_CTL_IDX_PW_5 4
75e39688
ID
9144#define ICL_PW_CTL_IDX_PW_4 3
9145#define ICL_PW_CTL_IDX_PW_3 2
9146#define ICL_PW_CTL_IDX_PW_2 1
9147#define ICL_PW_CTL_IDX_PW_1 0
9148
9149#define ICL_PWR_WELL_CTL_AUX1 _MMIO(0x45440)
9150#define ICL_PWR_WELL_CTL_AUX2 _MMIO(0x45444)
9151#define ICL_PWR_WELL_CTL_AUX4 _MMIO(0x4544C)
656409bb
ID
9152#define TGL_PW_CTL_IDX_AUX_TBT6 14
9153#define TGL_PW_CTL_IDX_AUX_TBT5 13
9154#define TGL_PW_CTL_IDX_AUX_TBT4 12
75e39688 9155#define ICL_PW_CTL_IDX_AUX_TBT4 11
656409bb 9156#define TGL_PW_CTL_IDX_AUX_TBT3 11
75e39688 9157#define ICL_PW_CTL_IDX_AUX_TBT3 10
656409bb 9158#define TGL_PW_CTL_IDX_AUX_TBT2 10
75e39688 9159#define ICL_PW_CTL_IDX_AUX_TBT2 9
656409bb 9160#define TGL_PW_CTL_IDX_AUX_TBT1 9
75e39688 9161#define ICL_PW_CTL_IDX_AUX_TBT1 8
656409bb
ID
9162#define TGL_PW_CTL_IDX_AUX_TC6 8
9163#define TGL_PW_CTL_IDX_AUX_TC5 7
9164#define TGL_PW_CTL_IDX_AUX_TC4 6
75e39688 9165#define ICL_PW_CTL_IDX_AUX_F 5
656409bb 9166#define TGL_PW_CTL_IDX_AUX_TC3 5
75e39688 9167#define ICL_PW_CTL_IDX_AUX_E 4
656409bb 9168#define TGL_PW_CTL_IDX_AUX_TC2 4
75e39688 9169#define ICL_PW_CTL_IDX_AUX_D 3
656409bb 9170#define TGL_PW_CTL_IDX_AUX_TC1 3
75e39688
ID
9171#define ICL_PW_CTL_IDX_AUX_C 2
9172#define ICL_PW_CTL_IDX_AUX_B 1
9173#define ICL_PW_CTL_IDX_AUX_A 0
9174
9175#define ICL_PWR_WELL_CTL_DDI1 _MMIO(0x45450)
9176#define ICL_PWR_WELL_CTL_DDI2 _MMIO(0x45454)
9177#define ICL_PWR_WELL_CTL_DDI4 _MMIO(0x4545C)
656409bb
ID
9178#define TGL_PW_CTL_IDX_DDI_TC6 8
9179#define TGL_PW_CTL_IDX_DDI_TC5 7
9180#define TGL_PW_CTL_IDX_DDI_TC4 6
75e39688 9181#define ICL_PW_CTL_IDX_DDI_F 5
656409bb 9182#define TGL_PW_CTL_IDX_DDI_TC3 5
75e39688 9183#define ICL_PW_CTL_IDX_DDI_E 4
656409bb 9184#define TGL_PW_CTL_IDX_DDI_TC2 4
75e39688 9185#define ICL_PW_CTL_IDX_DDI_D 3
656409bb 9186#define TGL_PW_CTL_IDX_DDI_TC1 3
75e39688
ID
9187#define ICL_PW_CTL_IDX_DDI_C 2
9188#define ICL_PW_CTL_IDX_DDI_B 1
9189#define ICL_PW_CTL_IDX_DDI_A 0
9190
9191/* HSW - power well misc debug registers */
f0f59a00 9192#define HSW_PWR_WELL_CTL5 _MMIO(0x45410)
5ee8ee86
PZ
9193#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1 << 31)
9194#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1 << 20)
9195#define HSW_PWR_WELL_FORCE_ON (1 << 19)
f0f59a00 9196#define HSW_PWR_WELL_CTL6 _MMIO(0x45414)
9eb3a752 9197
94dd5138 9198/* SKL Fuse Status */
b2891eb2
ID
9199enum skl_power_gate {
9200 SKL_PG0,
9201 SKL_PG1,
9202 SKL_PG2,
1a260e11
ID
9203 ICL_PG3,
9204 ICL_PG4,
b2891eb2
ID
9205};
9206
f0f59a00 9207#define SKL_FUSE_STATUS _MMIO(0x42000)
5ee8ee86 9208#define SKL_FUSE_DOWNLOAD_STATUS (1 << 31)
75e39688
ID
9209/*
9210 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9211 * SKL_DISP_PW1_IDX..SKL_DISP_PW2_IDX -> PG1..PG2
9212 */
9213#define SKL_PW_CTL_IDX_TO_PG(pw_idx) \
9214 ((pw_idx) - SKL_PW_CTL_IDX_PW_1 + SKL_PG1)
9215/*
9216 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9217 * ICL_DISP_PW1_IDX..ICL_DISP_PW4_IDX -> PG1..PG4
9218 */
9219#define ICL_PW_CTL_IDX_TO_PG(pw_idx) \
9220 ((pw_idx) - ICL_PW_CTL_IDX_PW_1 + SKL_PG1)
b2891eb2 9221#define SKL_FUSE_PG_DIST_STATUS(pg) (1 << (27 - (pg)))
94dd5138 9222
75e39688 9223#define _CNL_AUX_REG_IDX(pw_idx) ((pw_idx) - GLK_PW_CTL_IDX_AUX_B)
ddd39e4b
LDM
9224#define _CNL_AUX_ANAOVRD1_B 0x162250
9225#define _CNL_AUX_ANAOVRD1_C 0x162210
9226#define _CNL_AUX_ANAOVRD1_D 0x1622D0
b1ae6a8b 9227#define _CNL_AUX_ANAOVRD1_F 0x162A90
75e39688 9228#define CNL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_CNL_AUX_REG_IDX(pw_idx), \
ddd39e4b
LDM
9229 _CNL_AUX_ANAOVRD1_B, \
9230 _CNL_AUX_ANAOVRD1_C, \
b1ae6a8b
RV
9231 _CNL_AUX_ANAOVRD1_D, \
9232 _CNL_AUX_ANAOVRD1_F))
5ee8ee86
PZ
9233#define CNL_AUX_ANAOVRD1_ENABLE (1 << 16)
9234#define CNL_AUX_ANAOVRD1_LDO_BYPASS (1 << 23)
ddd39e4b 9235
ffd7e32d
LDM
9236#define _ICL_AUX_REG_IDX(pw_idx) ((pw_idx) - ICL_PW_CTL_IDX_AUX_A)
9237#define _ICL_AUX_ANAOVRD1_A 0x162398
9238#define _ICL_AUX_ANAOVRD1_B 0x6C398
deea06b4 9239#define _TGL_AUX_ANAOVRD1_C 0x160398
ffd7e32d
LDM
9240#define ICL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_ICL_AUX_REG_IDX(pw_idx), \
9241 _ICL_AUX_ANAOVRD1_A, \
deea06b4
LDM
9242 _ICL_AUX_ANAOVRD1_B, \
9243 _TGL_AUX_ANAOVRD1_C))
ffd7e32d
LDM
9244#define ICL_AUX_ANAOVRD1_LDO_BYPASS (1 << 7)
9245#define ICL_AUX_ANAOVRD1_ENABLE (1 << 0)
9246
ee5e5e7a 9247/* HDCP Key Registers */
2834d9df 9248#define HDCP_KEY_CONF _MMIO(0x66c00)
ee5e5e7a
SP
9249#define HDCP_AKSV_SEND_TRIGGER BIT(31)
9250#define HDCP_CLEAR_KEYS_TRIGGER BIT(30)
fdddd08c 9251#define HDCP_KEY_LOAD_TRIGGER BIT(8)
2834d9df
R
9252#define HDCP_KEY_STATUS _MMIO(0x66c04)
9253#define HDCP_FUSE_IN_PROGRESS BIT(7)
ee5e5e7a 9254#define HDCP_FUSE_ERROR BIT(6)
2834d9df
R
9255#define HDCP_FUSE_DONE BIT(5)
9256#define HDCP_KEY_LOAD_STATUS BIT(1)
ee5e5e7a 9257#define HDCP_KEY_LOAD_DONE BIT(0)
2834d9df
R
9258#define HDCP_AKSV_LO _MMIO(0x66c10)
9259#define HDCP_AKSV_HI _MMIO(0x66c14)
ee5e5e7a
SP
9260
9261/* HDCP Repeater Registers */
2834d9df
R
9262#define HDCP_REP_CTL _MMIO(0x66d00)
9263#define HDCP_DDIB_REP_PRESENT BIT(30)
9264#define HDCP_DDIA_REP_PRESENT BIT(29)
9265#define HDCP_DDIC_REP_PRESENT BIT(28)
9266#define HDCP_DDID_REP_PRESENT BIT(27)
9267#define HDCP_DDIF_REP_PRESENT BIT(26)
9268#define HDCP_DDIE_REP_PRESENT BIT(25)
ee5e5e7a
SP
9269#define HDCP_DDIB_SHA1_M0 (1 << 20)
9270#define HDCP_DDIA_SHA1_M0 (2 << 20)
9271#define HDCP_DDIC_SHA1_M0 (3 << 20)
9272#define HDCP_DDID_SHA1_M0 (4 << 20)
9273#define HDCP_DDIF_SHA1_M0 (5 << 20)
9274#define HDCP_DDIE_SHA1_M0 (6 << 20) /* Bspec says 5? */
2834d9df 9275#define HDCP_SHA1_BUSY BIT(16)
ee5e5e7a
SP
9276#define HDCP_SHA1_READY BIT(17)
9277#define HDCP_SHA1_COMPLETE BIT(18)
9278#define HDCP_SHA1_V_MATCH BIT(19)
9279#define HDCP_SHA1_TEXT_32 (1 << 1)
9280#define HDCP_SHA1_COMPLETE_HASH (2 << 1)
9281#define HDCP_SHA1_TEXT_24 (4 << 1)
9282#define HDCP_SHA1_TEXT_16 (5 << 1)
9283#define HDCP_SHA1_TEXT_8 (6 << 1)
9284#define HDCP_SHA1_TEXT_0 (7 << 1)
9285#define HDCP_SHA_V_PRIME_H0 _MMIO(0x66d04)
9286#define HDCP_SHA_V_PRIME_H1 _MMIO(0x66d08)
9287#define HDCP_SHA_V_PRIME_H2 _MMIO(0x66d0C)
9288#define HDCP_SHA_V_PRIME_H3 _MMIO(0x66d10)
9289#define HDCP_SHA_V_PRIME_H4 _MMIO(0x66d14)
9e8789ec 9290#define HDCP_SHA_V_PRIME(h) _MMIO((0x66d04 + (h) * 4))
2834d9df 9291#define HDCP_SHA_TEXT _MMIO(0x66d18)
ee5e5e7a
SP
9292
9293/* HDCP Auth Registers */
9294#define _PORTA_HDCP_AUTHENC 0x66800
9295#define _PORTB_HDCP_AUTHENC 0x66500
9296#define _PORTC_HDCP_AUTHENC 0x66600
9297#define _PORTD_HDCP_AUTHENC 0x66700
9298#define _PORTE_HDCP_AUTHENC 0x66A00
9299#define _PORTF_HDCP_AUTHENC 0x66900
9300#define _PORT_HDCP_AUTHENC(port, x) _MMIO(_PICK(port, \
9301 _PORTA_HDCP_AUTHENC, \
9302 _PORTB_HDCP_AUTHENC, \
9303 _PORTC_HDCP_AUTHENC, \
9304 _PORTD_HDCP_AUTHENC, \
9305 _PORTE_HDCP_AUTHENC, \
9e8789ec 9306 _PORTF_HDCP_AUTHENC) + (x))
2834d9df
R
9307#define PORT_HDCP_CONF(port) _PORT_HDCP_AUTHENC(port, 0x0)
9308#define HDCP_CONF_CAPTURE_AN BIT(0)
9309#define HDCP_CONF_AUTH_AND_ENC (BIT(1) | BIT(0))
9310#define PORT_HDCP_ANINIT(port) _PORT_HDCP_AUTHENC(port, 0x4)
9311#define PORT_HDCP_ANLO(port) _PORT_HDCP_AUTHENC(port, 0x8)
9312#define PORT_HDCP_ANHI(port) _PORT_HDCP_AUTHENC(port, 0xC)
9313#define PORT_HDCP_BKSVLO(port) _PORT_HDCP_AUTHENC(port, 0x10)
9314#define PORT_HDCP_BKSVHI(port) _PORT_HDCP_AUTHENC(port, 0x14)
9315#define PORT_HDCP_RPRIME(port) _PORT_HDCP_AUTHENC(port, 0x18)
9316#define PORT_HDCP_STATUS(port) _PORT_HDCP_AUTHENC(port, 0x1C)
ee5e5e7a
SP
9317#define HDCP_STATUS_STREAM_A_ENC BIT(31)
9318#define HDCP_STATUS_STREAM_B_ENC BIT(30)
9319#define HDCP_STATUS_STREAM_C_ENC BIT(29)
9320#define HDCP_STATUS_STREAM_D_ENC BIT(28)
9321#define HDCP_STATUS_AUTH BIT(21)
9322#define HDCP_STATUS_ENC BIT(20)
2834d9df
R
9323#define HDCP_STATUS_RI_MATCH BIT(19)
9324#define HDCP_STATUS_R0_READY BIT(18)
9325#define HDCP_STATUS_AN_READY BIT(17)
ee5e5e7a 9326#define HDCP_STATUS_CIPHER BIT(16)
9e8789ec 9327#define HDCP_STATUS_FRAME_CNT(x) (((x) >> 8) & 0xff)
ee5e5e7a 9328
3ab0a6ed
R
9329/* HDCP2.2 Registers */
9330#define _PORTA_HDCP2_BASE 0x66800
9331#define _PORTB_HDCP2_BASE 0x66500
9332#define _PORTC_HDCP2_BASE 0x66600
9333#define _PORTD_HDCP2_BASE 0x66700
9334#define _PORTE_HDCP2_BASE 0x66A00
9335#define _PORTF_HDCP2_BASE 0x66900
9336#define _PORT_HDCP2_BASE(port, x) _MMIO(_PICK((port), \
9337 _PORTA_HDCP2_BASE, \
9338 _PORTB_HDCP2_BASE, \
9339 _PORTC_HDCP2_BASE, \
9340 _PORTD_HDCP2_BASE, \
9341 _PORTE_HDCP2_BASE, \
9342 _PORTF_HDCP2_BASE) + (x))
9343
9344#define HDCP2_AUTH_DDI(port) _PORT_HDCP2_BASE(port, 0x98)
9345#define AUTH_LINK_AUTHENTICATED BIT(31)
9346#define AUTH_LINK_TYPE BIT(30)
9347#define AUTH_FORCE_CLR_INPUTCTR BIT(19)
9348#define AUTH_CLR_KEYS BIT(18)
9349
9350#define HDCP2_CTL_DDI(port) _PORT_HDCP2_BASE(port, 0xB0)
9351#define CTL_LINK_ENCRYPTION_REQ BIT(31)
9352
9353#define HDCP2_STATUS_DDI(port) _PORT_HDCP2_BASE(port, 0xB4)
9354#define STREAM_ENCRYPTION_STATUS_A BIT(31)
9355#define STREAM_ENCRYPTION_STATUS_B BIT(30)
9356#define STREAM_ENCRYPTION_STATUS_C BIT(29)
9357#define LINK_TYPE_STATUS BIT(22)
9358#define LINK_AUTH_STATUS BIT(21)
9359#define LINK_ENCRYPTION_STATUS BIT(20)
9360
e7e104c3 9361/* Per-pipe DDI Function Control */
086f8e84
VS
9362#define _TRANS_DDI_FUNC_CTL_A 0x60400
9363#define _TRANS_DDI_FUNC_CTL_B 0x61400
9364#define _TRANS_DDI_FUNC_CTL_C 0x62400
f1f1d4fa 9365#define _TRANS_DDI_FUNC_CTL_D 0x63400
086f8e84 9366#define _TRANS_DDI_FUNC_CTL_EDP 0x6F400
49edbd49
MC
9367#define _TRANS_DDI_FUNC_CTL_DSI0 0x6b400
9368#define _TRANS_DDI_FUNC_CTL_DSI1 0x6bc00
f0f59a00 9369#define TRANS_DDI_FUNC_CTL(tran) _MMIO_TRANS2(tran, _TRANS_DDI_FUNC_CTL_A)
a57c774a 9370
5ee8ee86 9371#define TRANS_DDI_FUNC_ENABLE (1 << 31)
e7e104c3 9372/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
26804afd 9373#define TRANS_DDI_PORT_SHIFT 28
df16b636
MK
9374#define TGL_TRANS_DDI_PORT_SHIFT 27
9375#define TRANS_DDI_PORT_MASK (7 << TRANS_DDI_PORT_SHIFT)
9376#define TGL_TRANS_DDI_PORT_MASK (0xf << TGL_TRANS_DDI_PORT_SHIFT)
9377#define TRANS_DDI_SELECT_PORT(x) ((x) << TRANS_DDI_PORT_SHIFT)
9378#define TGL_TRANS_DDI_SELECT_PORT(x) (((x) + 1) << TGL_TRANS_DDI_PORT_SHIFT)
9749a5b6 9379#define TRANS_DDI_FUNC_CTL_VAL_TO_PORT(val) (((val) & TRANS_DDI_PORT_MASK) >> TRANS_DDI_PORT_SHIFT)
1cdd8705 9380#define TGL_TRANS_DDI_FUNC_CTL_VAL_TO_PORT(val) ((((val) & TGL_TRANS_DDI_PORT_MASK) >> TGL_TRANS_DDI_PORT_SHIFT) - 1)
5ee8ee86
PZ
9381#define TRANS_DDI_MODE_SELECT_MASK (7 << 24)
9382#define TRANS_DDI_MODE_SELECT_HDMI (0 << 24)
9383#define TRANS_DDI_MODE_SELECT_DVI (1 << 24)
9384#define TRANS_DDI_MODE_SELECT_DP_SST (2 << 24)
9385#define TRANS_DDI_MODE_SELECT_DP_MST (3 << 24)
9386#define TRANS_DDI_MODE_SELECT_FDI (4 << 24)
9387#define TRANS_DDI_BPC_MASK (7 << 20)
9388#define TRANS_DDI_BPC_8 (0 << 20)
9389#define TRANS_DDI_BPC_10 (1 << 20)
9390#define TRANS_DDI_BPC_6 (2 << 20)
9391#define TRANS_DDI_BPC_12 (3 << 20)
9392#define TRANS_DDI_PVSYNC (1 << 17)
9393#define TRANS_DDI_PHSYNC (1 << 16)
9394#define TRANS_DDI_EDP_INPUT_MASK (7 << 12)
9395#define TRANS_DDI_EDP_INPUT_A_ON (0 << 12)
9396#define TRANS_DDI_EDP_INPUT_A_ONOFF (4 << 12)
9397#define TRANS_DDI_EDP_INPUT_B_ONOFF (5 << 12)
9398#define TRANS_DDI_EDP_INPUT_C_ONOFF (6 << 12)
9399#define TRANS_DDI_HDCP_SIGNALLING (1 << 9)
9400#define TRANS_DDI_DP_VC_PAYLOAD_ALLOC (1 << 8)
9401#define TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE (1 << 7)
9402#define TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ (1 << 6)
9403#define TRANS_DDI_BFI_ENABLE (1 << 4)
9404#define TRANS_DDI_HIGH_TMDS_CHAR_RATE (1 << 4)
9405#define TRANS_DDI_HDMI_SCRAMBLING (1 << 0)
15953637
SS
9406#define TRANS_DDI_HDMI_SCRAMBLING_MASK (TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE \
9407 | TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ \
9408 | TRANS_DDI_HDMI_SCRAMBLING)
e7e104c3 9409
49edbd49
MC
9410#define _TRANS_DDI_FUNC_CTL2_A 0x60404
9411#define _TRANS_DDI_FUNC_CTL2_B 0x61404
9412#define _TRANS_DDI_FUNC_CTL2_C 0x62404
9413#define _TRANS_DDI_FUNC_CTL2_EDP 0x6f404
9414#define _TRANS_DDI_FUNC_CTL2_DSI0 0x6b404
9415#define _TRANS_DDI_FUNC_CTL2_DSI1 0x6bc04
9416#define TRANS_DDI_FUNC_CTL2(tran) _MMIO_TRANS2(tran, \
9417 _TRANS_DDI_FUNC_CTL2_A)
9418#define PORT_SYNC_MODE_ENABLE (1 << 4)
7264aebb 9419#define PORT_SYNC_MODE_MASTER_SELECT(x) ((x) << 0)
49edbd49
MC
9420#define PORT_SYNC_MODE_MASTER_SELECT_MASK (0x7 << 0)
9421#define PORT_SYNC_MODE_MASTER_SELECT_SHIFT 0
9422
0e87f667 9423/* DisplayPort Transport Control */
086f8e84
VS
9424#define _DP_TP_CTL_A 0x64040
9425#define _DP_TP_CTL_B 0x64140
f0f59a00 9426#define DP_TP_CTL(port) _MMIO_PORT(port, _DP_TP_CTL_A, _DP_TP_CTL_B)
5ee8ee86 9427#define DP_TP_CTL_ENABLE (1 << 31)
5c44b938 9428#define DP_TP_CTL_FEC_ENABLE (1 << 30)
5ee8ee86
PZ
9429#define DP_TP_CTL_MODE_SST (0 << 27)
9430#define DP_TP_CTL_MODE_MST (1 << 27)
9431#define DP_TP_CTL_FORCE_ACT (1 << 25)
9432#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1 << 18)
9433#define DP_TP_CTL_FDI_AUTOTRAIN (1 << 15)
9434#define DP_TP_CTL_LINK_TRAIN_MASK (7 << 8)
9435#define DP_TP_CTL_LINK_TRAIN_PAT1 (0 << 8)
9436#define DP_TP_CTL_LINK_TRAIN_PAT2 (1 << 8)
9437#define DP_TP_CTL_LINK_TRAIN_PAT3 (4 << 8)
9438#define DP_TP_CTL_LINK_TRAIN_PAT4 (5 << 8)
9439#define DP_TP_CTL_LINK_TRAIN_IDLE (2 << 8)
9440#define DP_TP_CTL_LINK_TRAIN_NORMAL (3 << 8)
9441#define DP_TP_CTL_SCRAMBLE_DISABLE (1 << 7)
0e87f667 9442
e411b2c1 9443/* DisplayPort Transport Status */
086f8e84
VS
9444#define _DP_TP_STATUS_A 0x64044
9445#define _DP_TP_STATUS_B 0x64144
f0f59a00 9446#define DP_TP_STATUS(port) _MMIO_PORT(port, _DP_TP_STATUS_A, _DP_TP_STATUS_B)
5c44b938 9447#define DP_TP_STATUS_FEC_ENABLE_LIVE (1 << 28)
5ee8ee86
PZ
9448#define DP_TP_STATUS_IDLE_DONE (1 << 25)
9449#define DP_TP_STATUS_ACT_SENT (1 << 24)
9450#define DP_TP_STATUS_MODE_STATUS_MST (1 << 23)
9451#define DP_TP_STATUS_AUTOTRAIN_DONE (1 << 12)
01b887c3
DA
9452#define DP_TP_STATUS_PAYLOAD_MAPPING_VC2 (3 << 8)
9453#define DP_TP_STATUS_PAYLOAD_MAPPING_VC1 (3 << 4)
9454#define DP_TP_STATUS_PAYLOAD_MAPPING_VC0 (3 << 0)
e411b2c1 9455
03f896a1 9456/* DDI Buffer Control */
086f8e84
VS
9457#define _DDI_BUF_CTL_A 0x64000
9458#define _DDI_BUF_CTL_B 0x64100
f0f59a00 9459#define DDI_BUF_CTL(port) _MMIO_PORT(port, _DDI_BUF_CTL_A, _DDI_BUF_CTL_B)
5ee8ee86 9460#define DDI_BUF_CTL_ENABLE (1 << 31)
c5fe6a06 9461#define DDI_BUF_TRANS_SELECT(n) ((n) << 24)
5ee8ee86
PZ
9462#define DDI_BUF_EMP_MASK (0xf << 24)
9463#define DDI_BUF_PORT_REVERSAL (1 << 16)
9464#define DDI_BUF_IS_IDLE (1 << 7)
9465#define DDI_A_4_LANES (1 << 4)
17aa6be9 9466#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
90a6b7b0
VS
9467#define DDI_PORT_WIDTH_MASK (7 << 1)
9468#define DDI_PORT_WIDTH_SHIFT 1
5ee8ee86 9469#define DDI_INIT_DISPLAY_DETECTED (1 << 0)
03f896a1 9470
bb879a44 9471/* DDI Buffer Translations */
086f8e84
VS
9472#define _DDI_BUF_TRANS_A 0x64E00
9473#define _DDI_BUF_TRANS_B 0x64E60
f0f59a00 9474#define DDI_BUF_TRANS_LO(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8)
c110ae6c 9475#define DDI_BUF_BALANCE_LEG_ENABLE (1 << 31)
f0f59a00 9476#define DDI_BUF_TRANS_HI(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8 + 4)
bb879a44 9477
7501a4d8
ED
9478/* Sideband Interface (SBI) is programmed indirectly, via
9479 * SBI_ADDR, which contains the register offset; and SBI_DATA,
9480 * which contains the payload */
f0f59a00
VS
9481#define SBI_ADDR _MMIO(0xC6000)
9482#define SBI_DATA _MMIO(0xC6004)
9483#define SBI_CTL_STAT _MMIO(0xC6008)
5ee8ee86
PZ
9484#define SBI_CTL_DEST_ICLK (0x0 << 16)
9485#define SBI_CTL_DEST_MPHY (0x1 << 16)
9486#define SBI_CTL_OP_IORD (0x2 << 8)
9487#define SBI_CTL_OP_IOWR (0x3 << 8)
9488#define SBI_CTL_OP_CRRD (0x6 << 8)
9489#define SBI_CTL_OP_CRWR (0x7 << 8)
9490#define SBI_RESPONSE_FAIL (0x1 << 1)
9491#define SBI_RESPONSE_SUCCESS (0x0 << 1)
9492#define SBI_BUSY (0x1 << 0)
9493#define SBI_READY (0x0 << 0)
52f025ef 9494
ccf1c867 9495/* SBI offsets */
f7be2c21 9496#define SBI_SSCDIVINTPHASE 0x0200
5e49cea6 9497#define SBI_SSCDIVINTPHASE6 0x0600
8802e5b6 9498#define SBI_SSCDIVINTPHASE_DIVSEL_SHIFT 1
5ee8ee86
PZ
9499#define SBI_SSCDIVINTPHASE_DIVSEL_MASK (0x7f << 1)
9500#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x) << 1)
8802e5b6 9501#define SBI_SSCDIVINTPHASE_INCVAL_SHIFT 8
5ee8ee86
PZ
9502#define SBI_SSCDIVINTPHASE_INCVAL_MASK (0x7f << 8)
9503#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x) << 8)
9504#define SBI_SSCDIVINTPHASE_DIR(x) ((x) << 15)
9505#define SBI_SSCDIVINTPHASE_PROPAGATE (1 << 0)
f7be2c21 9506#define SBI_SSCDITHPHASE 0x0204
5e49cea6 9507#define SBI_SSCCTL 0x020c
ccf1c867 9508#define SBI_SSCCTL6 0x060C
5ee8ee86
PZ
9509#define SBI_SSCCTL_PATHALT (1 << 3)
9510#define SBI_SSCCTL_DISABLE (1 << 0)
ccf1c867 9511#define SBI_SSCAUXDIV6 0x0610
8802e5b6 9512#define SBI_SSCAUXDIV_FINALDIV2SEL_SHIFT 4
5ee8ee86
PZ
9513#define SBI_SSCAUXDIV_FINALDIV2SEL_MASK (1 << 4)
9514#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x) << 4)
5e49cea6 9515#define SBI_DBUFF0 0x2a00
2fa86a1f 9516#define SBI_GEN0 0x1f00
5ee8ee86 9517#define SBI_GEN0_CFG_BUFFENABLE_DISABLE (1 << 0)
ccf1c867 9518
52f025ef 9519/* LPT PIXCLK_GATE */
f0f59a00 9520#define PIXCLK_GATE _MMIO(0xC6020)
5ee8ee86
PZ
9521#define PIXCLK_GATE_UNGATE (1 << 0)
9522#define PIXCLK_GATE_GATE (0 << 0)
52f025ef 9523
e93ea06a 9524/* SPLL */
f0f59a00 9525#define SPLL_CTL _MMIO(0x46020)
5ee8ee86 9526#define SPLL_PLL_ENABLE (1 << 31)
4a95e36f
VS
9527#define SPLL_REF_BCLK (0 << 28)
9528#define SPLL_REF_MUXED_SSC (1 << 28) /* CPU SSC if fused enabled, PCH SSC otherwise */
9529#define SPLL_REF_NON_SSC_HSW (2 << 28)
9530#define SPLL_REF_PCH_SSC_BDW (2 << 28)
9531#define SPLL_REF_LCPLL (3 << 28)
9532#define SPLL_REF_MASK (3 << 28)
9533#define SPLL_FREQ_810MHz (0 << 26)
9534#define SPLL_FREQ_1350MHz (1 << 26)
9535#define SPLL_FREQ_2700MHz (2 << 26)
9536#define SPLL_FREQ_MASK (3 << 26)
e93ea06a 9537
4dffc404 9538/* WRPLL */
086f8e84
VS
9539#define _WRPLL_CTL1 0x46040
9540#define _WRPLL_CTL2 0x46060
f0f59a00 9541#define WRPLL_CTL(pll) _MMIO_PIPE(pll, _WRPLL_CTL1, _WRPLL_CTL2)
5ee8ee86 9542#define WRPLL_PLL_ENABLE (1 << 31)
4a95e36f
VS
9543#define WRPLL_REF_BCLK (0 << 28)
9544#define WRPLL_REF_PCH_SSC (1 << 28)
9545#define WRPLL_REF_MUXED_SSC_BDW (2 << 28) /* CPU SSC if fused enabled, PCH SSC otherwise */
9546#define WRPLL_REF_SPECIAL_HSW (2 << 28) /* muxed SSC (ULT), non-SSC (non-ULT) */
9547#define WRPLL_REF_LCPLL (3 << 28)
9548#define WRPLL_REF_MASK (3 << 28)
ef4d084f 9549/* WRPLL divider programming */
5ee8ee86 9550#define WRPLL_DIVIDER_REFERENCE(x) ((x) << 0)
11578553 9551#define WRPLL_DIVIDER_REF_MASK (0xff)
5ee8ee86
PZ
9552#define WRPLL_DIVIDER_POST(x) ((x) << 8)
9553#define WRPLL_DIVIDER_POST_MASK (0x3f << 8)
11578553 9554#define WRPLL_DIVIDER_POST_SHIFT 8
5ee8ee86 9555#define WRPLL_DIVIDER_FEEDBACK(x) ((x) << 16)
11578553 9556#define WRPLL_DIVIDER_FB_SHIFT 16
5ee8ee86 9557#define WRPLL_DIVIDER_FB_MASK (0xff << 16)
4dffc404 9558
fec9181c 9559/* Port clock selection */
086f8e84
VS
9560#define _PORT_CLK_SEL_A 0x46100
9561#define _PORT_CLK_SEL_B 0x46104
f0f59a00 9562#define PORT_CLK_SEL(port) _MMIO_PORT(port, _PORT_CLK_SEL_A, _PORT_CLK_SEL_B)
5ee8ee86
PZ
9563#define PORT_CLK_SEL_LCPLL_2700 (0 << 29)
9564#define PORT_CLK_SEL_LCPLL_1350 (1 << 29)
9565#define PORT_CLK_SEL_LCPLL_810 (2 << 29)
9566#define PORT_CLK_SEL_SPLL (3 << 29)
9567#define PORT_CLK_SEL_WRPLL(pll) (((pll) + 4) << 29)
9568#define PORT_CLK_SEL_WRPLL1 (4 << 29)
9569#define PORT_CLK_SEL_WRPLL2 (5 << 29)
9570#define PORT_CLK_SEL_NONE (7 << 29)
9571#define PORT_CLK_SEL_MASK (7 << 29)
fec9181c 9572
78b60ce7
PZ
9573/* On ICL+ this is the same as PORT_CLK_SEL, but all bits change. */
9574#define DDI_CLK_SEL(port) PORT_CLK_SEL(port)
9575#define DDI_CLK_SEL_NONE (0x0 << 28)
9576#define DDI_CLK_SEL_MG (0x8 << 28)
1fa11ee2
PZ
9577#define DDI_CLK_SEL_TBT_162 (0xC << 28)
9578#define DDI_CLK_SEL_TBT_270 (0xD << 28)
9579#define DDI_CLK_SEL_TBT_540 (0xE << 28)
9580#define DDI_CLK_SEL_TBT_810 (0xF << 28)
78b60ce7
PZ
9581#define DDI_CLK_SEL_MASK (0xF << 28)
9582
bb523fc0 9583/* Transcoder clock selection */
086f8e84
VS
9584#define _TRANS_CLK_SEL_A 0x46140
9585#define _TRANS_CLK_SEL_B 0x46144
f0f59a00 9586#define TRANS_CLK_SEL(tran) _MMIO_TRANS(tran, _TRANS_CLK_SEL_A, _TRANS_CLK_SEL_B)
bb523fc0 9587/* For each transcoder, we need to select the corresponding port clock */
5ee8ee86
PZ
9588#define TRANS_CLK_SEL_DISABLED (0x0 << 29)
9589#define TRANS_CLK_SEL_PORT(x) (((x) + 1) << 29)
df16b636
MK
9590#define TGL_TRANS_CLK_SEL_DISABLED (0x0 << 28)
9591#define TGL_TRANS_CLK_SEL_PORT(x) (((x) + 1) << 28)
9592
fec9181c 9593
7f1052a8
VS
9594#define CDCLK_FREQ _MMIO(0x46200)
9595
086f8e84
VS
9596#define _TRANSA_MSA_MISC 0x60410
9597#define _TRANSB_MSA_MISC 0x61410
9598#define _TRANSC_MSA_MISC 0x62410
9599#define _TRANS_EDP_MSA_MISC 0x6f410
f0f59a00 9600#define TRANS_MSA_MISC(tran) _MMIO_TRANS2(tran, _TRANSA_MSA_MISC)
a57c774a 9601
5ee8ee86 9602#define TRANS_MSA_SYNC_CLK (1 << 0)
668b6c17
SS
9603#define TRANS_MSA_SAMPLING_444 (2 << 1)
9604#define TRANS_MSA_CLRSP_YCBCR (2 << 3)
5ee8ee86
PZ
9605#define TRANS_MSA_6_BPC (0 << 5)
9606#define TRANS_MSA_8_BPC (1 << 5)
9607#define TRANS_MSA_10_BPC (2 << 5)
9608#define TRANS_MSA_12_BPC (3 << 5)
9609#define TRANS_MSA_16_BPC (4 << 5)
dc5977da 9610#define TRANS_MSA_CEA_RANGE (1 << 3)
ec4401d3 9611#define TRANS_MSA_USE_VSC_SDP (1 << 14)
dae84799 9612
90e8d31c 9613/* LCPLL Control */
f0f59a00 9614#define LCPLL_CTL _MMIO(0x130040)
5ee8ee86
PZ
9615#define LCPLL_PLL_DISABLE (1 << 31)
9616#define LCPLL_PLL_LOCK (1 << 30)
4a95e36f
VS
9617#define LCPLL_REF_NON_SSC (0 << 28)
9618#define LCPLL_REF_BCLK (2 << 28)
9619#define LCPLL_REF_PCH_SSC (3 << 28)
9620#define LCPLL_REF_MASK (3 << 28)
5ee8ee86
PZ
9621#define LCPLL_CLK_FREQ_MASK (3 << 26)
9622#define LCPLL_CLK_FREQ_450 (0 << 26)
9623#define LCPLL_CLK_FREQ_54O_BDW (1 << 26)
9624#define LCPLL_CLK_FREQ_337_5_BDW (2 << 26)
9625#define LCPLL_CLK_FREQ_675_BDW (3 << 26)
9626#define LCPLL_CD_CLOCK_DISABLE (1 << 25)
9627#define LCPLL_ROOT_CD_CLOCK_DISABLE (1 << 24)
9628#define LCPLL_CD2X_CLOCK_DISABLE (1 << 23)
9629#define LCPLL_POWER_DOWN_ALLOW (1 << 22)
9630#define LCPLL_CD_SOURCE_FCLK (1 << 21)
9631#define LCPLL_CD_SOURCE_FCLK_DONE (1 << 19)
be256dc7 9632
326ac39b
S
9633/*
9634 * SKL Clocks
9635 */
9636
9637/* CDCLK_CTL */
f0f59a00 9638#define CDCLK_CTL _MMIO(0x46000)
186a277e
PZ
9639#define CDCLK_FREQ_SEL_MASK (3 << 26)
9640#define CDCLK_FREQ_450_432 (0 << 26)
9641#define CDCLK_FREQ_540 (1 << 26)
9642#define CDCLK_FREQ_337_308 (2 << 26)
9643#define CDCLK_FREQ_675_617 (3 << 26)
9644#define BXT_CDCLK_CD2X_DIV_SEL_MASK (3 << 22)
9645#define BXT_CDCLK_CD2X_DIV_SEL_1 (0 << 22)
9646#define BXT_CDCLK_CD2X_DIV_SEL_1_5 (1 << 22)
9647#define BXT_CDCLK_CD2X_DIV_SEL_2 (2 << 22)
9648#define BXT_CDCLK_CD2X_DIV_SEL_4 (3 << 22)
9649#define BXT_CDCLK_CD2X_PIPE(pipe) ((pipe) << 20)
9650#define CDCLK_DIVMUX_CD_OVERRIDE (1 << 19)
7fe62757 9651#define BXT_CDCLK_CD2X_PIPE_NONE BXT_CDCLK_CD2X_PIPE(3)
186a277e
PZ
9652#define ICL_CDCLK_CD2X_PIPE_NONE (7 << 19)
9653#define BXT_CDCLK_SSA_PRECHARGE_ENABLE (1 << 16)
7fe62757 9654#define CDCLK_FREQ_DECIMAL_MASK (0x7ff)
f8437dd1 9655
326ac39b 9656/* LCPLL_CTL */
f0f59a00
VS
9657#define LCPLL1_CTL _MMIO(0x46010)
9658#define LCPLL2_CTL _MMIO(0x46014)
5ee8ee86 9659#define LCPLL_PLL_ENABLE (1 << 31)
326ac39b
S
9660
9661/* DPLL control1 */
f0f59a00 9662#define DPLL_CTRL1 _MMIO(0x6C058)
5ee8ee86
PZ
9663#define DPLL_CTRL1_HDMI_MODE(id) (1 << ((id) * 6 + 5))
9664#define DPLL_CTRL1_SSC(id) (1 << ((id) * 6 + 4))
9665#define DPLL_CTRL1_LINK_RATE_MASK(id) (7 << ((id) * 6 + 1))
9666#define DPLL_CTRL1_LINK_RATE_SHIFT(id) ((id) * 6 + 1)
9667#define DPLL_CTRL1_LINK_RATE(linkrate, id) ((linkrate) << ((id) * 6 + 1))
9668#define DPLL_CTRL1_OVERRIDE(id) (1 << ((id) * 6))
71cd8423
DL
9669#define DPLL_CTRL1_LINK_RATE_2700 0
9670#define DPLL_CTRL1_LINK_RATE_1350 1
9671#define DPLL_CTRL1_LINK_RATE_810 2
9672#define DPLL_CTRL1_LINK_RATE_1620 3
9673#define DPLL_CTRL1_LINK_RATE_1080 4
9674#define DPLL_CTRL1_LINK_RATE_2160 5
326ac39b
S
9675
9676/* DPLL control2 */
f0f59a00 9677#define DPLL_CTRL2 _MMIO(0x6C05C)
5ee8ee86
PZ
9678#define DPLL_CTRL2_DDI_CLK_OFF(port) (1 << ((port) + 15))
9679#define DPLL_CTRL2_DDI_CLK_SEL_MASK(port) (3 << ((port) * 3 + 1))
9680#define DPLL_CTRL2_DDI_CLK_SEL_SHIFT(port) ((port) * 3 + 1)
9681#define DPLL_CTRL2_DDI_CLK_SEL(clk, port) ((clk) << ((port) * 3 + 1))
9682#define DPLL_CTRL2_DDI_SEL_OVERRIDE(port) (1 << ((port) * 3))
326ac39b
S
9683
9684/* DPLL Status */
f0f59a00 9685#define DPLL_STATUS _MMIO(0x6C060)
5ee8ee86 9686#define DPLL_LOCK(id) (1 << ((id) * 8))
326ac39b
S
9687
9688/* DPLL cfg */
086f8e84
VS
9689#define _DPLL1_CFGCR1 0x6C040
9690#define _DPLL2_CFGCR1 0x6C048
9691#define _DPLL3_CFGCR1 0x6C050
5ee8ee86
PZ
9692#define DPLL_CFGCR1_FREQ_ENABLE (1 << 31)
9693#define DPLL_CFGCR1_DCO_FRACTION_MASK (0x7fff << 9)
9694#define DPLL_CFGCR1_DCO_FRACTION(x) ((x) << 9)
326ac39b
S
9695#define DPLL_CFGCR1_DCO_INTEGER_MASK (0x1ff)
9696
086f8e84
VS
9697#define _DPLL1_CFGCR2 0x6C044
9698#define _DPLL2_CFGCR2 0x6C04C
9699#define _DPLL3_CFGCR2 0x6C054
5ee8ee86
PZ
9700#define DPLL_CFGCR2_QDIV_RATIO_MASK (0xff << 8)
9701#define DPLL_CFGCR2_QDIV_RATIO(x) ((x) << 8)
9702#define DPLL_CFGCR2_QDIV_MODE(x) ((x) << 7)
9703#define DPLL_CFGCR2_KDIV_MASK (3 << 5)
9704#define DPLL_CFGCR2_KDIV(x) ((x) << 5)
9705#define DPLL_CFGCR2_KDIV_5 (0 << 5)
9706#define DPLL_CFGCR2_KDIV_2 (1 << 5)
9707#define DPLL_CFGCR2_KDIV_3 (2 << 5)
9708#define DPLL_CFGCR2_KDIV_1 (3 << 5)
9709#define DPLL_CFGCR2_PDIV_MASK (7 << 2)
9710#define DPLL_CFGCR2_PDIV(x) ((x) << 2)
9711#define DPLL_CFGCR2_PDIV_1 (0 << 2)
9712#define DPLL_CFGCR2_PDIV_2 (1 << 2)
9713#define DPLL_CFGCR2_PDIV_3 (2 << 2)
9714#define DPLL_CFGCR2_PDIV_7 (4 << 2)
326ac39b
S
9715#define DPLL_CFGCR2_CENTRAL_FREQ_MASK (3)
9716
da3b891b 9717#define DPLL_CFGCR1(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR1, _DPLL2_CFGCR1)
f0f59a00 9718#define DPLL_CFGCR2(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR2, _DPLL2_CFGCR2)
540e732c 9719
555e38d2
RV
9720/*
9721 * CNL Clocks
9722 */
9723#define DPCLKA_CFGCR0 _MMIO(0x6C200)
376faf8a 9724#define DPCLKA_CFGCR0_DDI_CLK_OFF(port) (1 << ((port) == PORT_F ? 23 : \
5ee8ee86 9725 (port) + 10))
376faf8a 9726#define DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port) ((port) == PORT_F ? 21 : \
5ee8ee86 9727 (port) * 2)
376faf8a
RV
9728#define DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(port) (3 << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
9729#define DPCLKA_CFGCR0_DDI_CLK_SEL(pll, port) ((pll) << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
555e38d2 9730
befa372b
MR
9731#define ICL_DPCLKA_CFGCR0 _MMIO(0x164280)
9732#define ICL_DPCLKA_CFGCR0_DDI_CLK_OFF(phy) (1 << _PICK(phy, 10, 11, 24))
aaf70b90
MK
9733#define ICL_DPCLKA_CFGCR0_TC_CLK_OFF(tc_port) (1 << ((tc_port) < PORT_TC4 ? \
9734 (tc_port) + 12 : \
9735 (tc_port) - PORT_TC4 + 21))
befa372b
MR
9736#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy) ((phy) * 2)
9737#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(phy) (3 << ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy))
9738#define ICL_DPCLKA_CFGCR0_DDI_CLK_SEL(pll, phy) ((pll) << ICL_DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(phy))
9739
a927c927
RV
9740/* CNL PLL */
9741#define DPLL0_ENABLE 0x46010
9742#define DPLL1_ENABLE 0x46014
9743#define PLL_ENABLE (1 << 31)
9744#define PLL_LOCK (1 << 30)
9745#define PLL_POWER_ENABLE (1 << 27)
9746#define PLL_POWER_STATE (1 << 26)
9747#define CNL_DPLL_ENABLE(pll) _MMIO_PLL(pll, DPLL0_ENABLE, DPLL1_ENABLE)
9748
1fa11ee2
PZ
9749#define TBT_PLL_ENABLE _MMIO(0x46020)
9750
78b60ce7
PZ
9751#define _MG_PLL1_ENABLE 0x46030
9752#define _MG_PLL2_ENABLE 0x46034
9753#define _MG_PLL3_ENABLE 0x46038
9754#define _MG_PLL4_ENABLE 0x4603C
9755/* Bits are the same as DPLL0_ENABLE */
584fca11 9756#define MG_PLL_ENABLE(tc_port) _MMIO_PORT((tc_port), _MG_PLL1_ENABLE, \
78b60ce7
PZ
9757 _MG_PLL2_ENABLE)
9758
9759#define _MG_REFCLKIN_CTL_PORT1 0x16892C
9760#define _MG_REFCLKIN_CTL_PORT2 0x16992C
9761#define _MG_REFCLKIN_CTL_PORT3 0x16A92C
9762#define _MG_REFCLKIN_CTL_PORT4 0x16B92C
9763#define MG_REFCLKIN_CTL_OD_2_MUX(x) ((x) << 8)
bd99ce08 9764#define MG_REFCLKIN_CTL_OD_2_MUX_MASK (0x7 << 8)
584fca11
LDM
9765#define MG_REFCLKIN_CTL(tc_port) _MMIO_PORT((tc_port), \
9766 _MG_REFCLKIN_CTL_PORT1, \
9767 _MG_REFCLKIN_CTL_PORT2)
78b60ce7
PZ
9768
9769#define _MG_CLKTOP2_CORECLKCTL1_PORT1 0x1688D8
9770#define _MG_CLKTOP2_CORECLKCTL1_PORT2 0x1698D8
9771#define _MG_CLKTOP2_CORECLKCTL1_PORT3 0x16A8D8
9772#define _MG_CLKTOP2_CORECLKCTL1_PORT4 0x16B8D8
9773#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO(x) ((x) << 16)
bd99ce08 9774#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO_MASK (0xff << 16)
78b60ce7 9775#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO(x) ((x) << 8)
bd99ce08 9776#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO_MASK (0xff << 8)
584fca11
LDM
9777#define MG_CLKTOP2_CORECLKCTL1(tc_port) _MMIO_PORT((tc_port), \
9778 _MG_CLKTOP2_CORECLKCTL1_PORT1, \
9779 _MG_CLKTOP2_CORECLKCTL1_PORT2)
78b60ce7
PZ
9780
9781#define _MG_CLKTOP2_HSCLKCTL_PORT1 0x1688D4
9782#define _MG_CLKTOP2_HSCLKCTL_PORT2 0x1698D4
9783#define _MG_CLKTOP2_HSCLKCTL_PORT3 0x16A8D4
9784#define _MG_CLKTOP2_HSCLKCTL_PORT4 0x16B8D4
9785#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL(x) ((x) << 16)
bd99ce08 9786#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL_MASK (0x1 << 16)
78b60ce7 9787#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL(x) ((x) << 14)
bd99ce08 9788#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL_MASK (0x3 << 14)
bd99ce08 9789#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_MASK (0x3 << 12)
bcaad532
MN
9790#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_2 (0 << 12)
9791#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_3 (1 << 12)
9792#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_5 (2 << 12)
9793#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_7 (3 << 12)
78b60ce7 9794#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO(x) ((x) << 8)
7b19f544 9795#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_SHIFT 8
bd99ce08 9796#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_MASK (0xf << 8)
584fca11
LDM
9797#define MG_CLKTOP2_HSCLKCTL(tc_port) _MMIO_PORT((tc_port), \
9798 _MG_CLKTOP2_HSCLKCTL_PORT1, \
9799 _MG_CLKTOP2_HSCLKCTL_PORT2)
78b60ce7
PZ
9800
9801#define _MG_PLL_DIV0_PORT1 0x168A00
9802#define _MG_PLL_DIV0_PORT2 0x169A00
9803#define _MG_PLL_DIV0_PORT3 0x16AA00
9804#define _MG_PLL_DIV0_PORT4 0x16BA00
9805#define MG_PLL_DIV0_FRACNEN_H (1 << 30)
7b19f544
MN
9806#define MG_PLL_DIV0_FBDIV_FRAC_MASK (0x3fffff << 8)
9807#define MG_PLL_DIV0_FBDIV_FRAC_SHIFT 8
78b60ce7 9808#define MG_PLL_DIV0_FBDIV_FRAC(x) ((x) << 8)
7b19f544 9809#define MG_PLL_DIV0_FBDIV_INT_MASK (0xff << 0)
78b60ce7 9810#define MG_PLL_DIV0_FBDIV_INT(x) ((x) << 0)
584fca11
LDM
9811#define MG_PLL_DIV0(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV0_PORT1, \
9812 _MG_PLL_DIV0_PORT2)
78b60ce7
PZ
9813
9814#define _MG_PLL_DIV1_PORT1 0x168A04
9815#define _MG_PLL_DIV1_PORT2 0x169A04
9816#define _MG_PLL_DIV1_PORT3 0x16AA04
9817#define _MG_PLL_DIV1_PORT4 0x16BA04
9818#define MG_PLL_DIV1_IREF_NDIVRATIO(x) ((x) << 16)
9819#define MG_PLL_DIV1_DITHER_DIV_1 (0 << 12)
9820#define MG_PLL_DIV1_DITHER_DIV_2 (1 << 12)
9821#define MG_PLL_DIV1_DITHER_DIV_4 (2 << 12)
9822#define MG_PLL_DIV1_DITHER_DIV_8 (3 << 12)
9823#define MG_PLL_DIV1_NDIVRATIO(x) ((x) << 4)
7b19f544 9824#define MG_PLL_DIV1_FBPREDIV_MASK (0xf << 0)
78b60ce7 9825#define MG_PLL_DIV1_FBPREDIV(x) ((x) << 0)
584fca11
LDM
9826#define MG_PLL_DIV1(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV1_PORT1, \
9827 _MG_PLL_DIV1_PORT2)
78b60ce7
PZ
9828
9829#define _MG_PLL_LF_PORT1 0x168A08
9830#define _MG_PLL_LF_PORT2 0x169A08
9831#define _MG_PLL_LF_PORT3 0x16AA08
9832#define _MG_PLL_LF_PORT4 0x16BA08
9833#define MG_PLL_LF_TDCTARGETCNT(x) ((x) << 24)
9834#define MG_PLL_LF_AFCCNTSEL_256 (0 << 20)
9835#define MG_PLL_LF_AFCCNTSEL_512 (1 << 20)
9836#define MG_PLL_LF_GAINCTRL(x) ((x) << 16)
9837#define MG_PLL_LF_INT_COEFF(x) ((x) << 8)
9838#define MG_PLL_LF_PROP_COEFF(x) ((x) << 0)
584fca11
LDM
9839#define MG_PLL_LF(tc_port) _MMIO_PORT((tc_port), _MG_PLL_LF_PORT1, \
9840 _MG_PLL_LF_PORT2)
78b60ce7
PZ
9841
9842#define _MG_PLL_FRAC_LOCK_PORT1 0x168A0C
9843#define _MG_PLL_FRAC_LOCK_PORT2 0x169A0C
9844#define _MG_PLL_FRAC_LOCK_PORT3 0x16AA0C
9845#define _MG_PLL_FRAC_LOCK_PORT4 0x16BA0C
9846#define MG_PLL_FRAC_LOCK_TRUELOCK_CRIT_32 (1 << 18)
9847#define MG_PLL_FRAC_LOCK_EARLYLOCK_CRIT_32 (1 << 16)
9848#define MG_PLL_FRAC_LOCK_LOCKTHRESH(x) ((x) << 11)
9849#define MG_PLL_FRAC_LOCK_DCODITHEREN (1 << 10)
9850#define MG_PLL_FRAC_LOCK_FEEDFWRDCAL_EN (1 << 8)
9851#define MG_PLL_FRAC_LOCK_FEEDFWRDGAIN(x) ((x) << 0)
584fca11
LDM
9852#define MG_PLL_FRAC_LOCK(tc_port) _MMIO_PORT((tc_port), \
9853 _MG_PLL_FRAC_LOCK_PORT1, \
9854 _MG_PLL_FRAC_LOCK_PORT2)
78b60ce7
PZ
9855
9856#define _MG_PLL_SSC_PORT1 0x168A10
9857#define _MG_PLL_SSC_PORT2 0x169A10
9858#define _MG_PLL_SSC_PORT3 0x16AA10
9859#define _MG_PLL_SSC_PORT4 0x16BA10
9860#define MG_PLL_SSC_EN (1 << 28)
9861#define MG_PLL_SSC_TYPE(x) ((x) << 26)
9862#define MG_PLL_SSC_STEPLENGTH(x) ((x) << 16)
9863#define MG_PLL_SSC_STEPNUM(x) ((x) << 10)
9864#define MG_PLL_SSC_FLLEN (1 << 9)
9865#define MG_PLL_SSC_STEPSIZE(x) ((x) << 0)
584fca11
LDM
9866#define MG_PLL_SSC(tc_port) _MMIO_PORT((tc_port), _MG_PLL_SSC_PORT1, \
9867 _MG_PLL_SSC_PORT2)
78b60ce7
PZ
9868
9869#define _MG_PLL_BIAS_PORT1 0x168A14
9870#define _MG_PLL_BIAS_PORT2 0x169A14
9871#define _MG_PLL_BIAS_PORT3 0x16AA14
9872#define _MG_PLL_BIAS_PORT4 0x16BA14
9873#define MG_PLL_BIAS_BIAS_GB_SEL(x) ((x) << 30)
bd99ce08 9874#define MG_PLL_BIAS_BIAS_GB_SEL_MASK (0x3 << 30)
78b60ce7 9875#define MG_PLL_BIAS_INIT_DCOAMP(x) ((x) << 24)
bd99ce08 9876#define MG_PLL_BIAS_INIT_DCOAMP_MASK (0x3f << 24)
78b60ce7 9877#define MG_PLL_BIAS_BIAS_BONUS(x) ((x) << 16)
bd99ce08 9878#define MG_PLL_BIAS_BIAS_BONUS_MASK (0xff << 16)
78b60ce7
PZ
9879#define MG_PLL_BIAS_BIASCAL_EN (1 << 15)
9880#define MG_PLL_BIAS_CTRIM(x) ((x) << 8)
bd99ce08 9881#define MG_PLL_BIAS_CTRIM_MASK (0x1f << 8)
78b60ce7 9882#define MG_PLL_BIAS_VREF_RDAC(x) ((x) << 5)
bd99ce08 9883#define MG_PLL_BIAS_VREF_RDAC_MASK (0x7 << 5)
78b60ce7 9884#define MG_PLL_BIAS_IREFTRIM(x) ((x) << 0)
bd99ce08 9885#define MG_PLL_BIAS_IREFTRIM_MASK (0x1f << 0)
584fca11
LDM
9886#define MG_PLL_BIAS(tc_port) _MMIO_PORT((tc_port), _MG_PLL_BIAS_PORT1, \
9887 _MG_PLL_BIAS_PORT2)
78b60ce7
PZ
9888
9889#define _MG_PLL_TDC_COLDST_BIAS_PORT1 0x168A18
9890#define _MG_PLL_TDC_COLDST_BIAS_PORT2 0x169A18
9891#define _MG_PLL_TDC_COLDST_BIAS_PORT3 0x16AA18
9892#define _MG_PLL_TDC_COLDST_BIAS_PORT4 0x16BA18
9893#define MG_PLL_TDC_COLDST_IREFINT_EN (1 << 27)
9894#define MG_PLL_TDC_COLDST_REFBIAS_START_PULSE_W(x) ((x) << 17)
9895#define MG_PLL_TDC_COLDST_COLDSTART (1 << 16)
9896#define MG_PLL_TDC_TDCOVCCORR_EN (1 << 2)
9897#define MG_PLL_TDC_TDCSEL(x) ((x) << 0)
584fca11
LDM
9898#define MG_PLL_TDC_COLDST_BIAS(tc_port) _MMIO_PORT((tc_port), \
9899 _MG_PLL_TDC_COLDST_BIAS_PORT1, \
9900 _MG_PLL_TDC_COLDST_BIAS_PORT2)
78b60ce7 9901
a927c927
RV
9902#define _CNL_DPLL0_CFGCR0 0x6C000
9903#define _CNL_DPLL1_CFGCR0 0x6C080
9904#define DPLL_CFGCR0_HDMI_MODE (1 << 30)
9905#define DPLL_CFGCR0_SSC_ENABLE (1 << 29)
78b60ce7 9906#define DPLL_CFGCR0_SSC_ENABLE_ICL (1 << 25)
a927c927
RV
9907#define DPLL_CFGCR0_LINK_RATE_MASK (0xf << 25)
9908#define DPLL_CFGCR0_LINK_RATE_2700 (0 << 25)
9909#define DPLL_CFGCR0_LINK_RATE_1350 (1 << 25)
9910#define DPLL_CFGCR0_LINK_RATE_810 (2 << 25)
9911#define DPLL_CFGCR0_LINK_RATE_1620 (3 << 25)
9912#define DPLL_CFGCR0_LINK_RATE_1080 (4 << 25)
9913#define DPLL_CFGCR0_LINK_RATE_2160 (5 << 25)
9914#define DPLL_CFGCR0_LINK_RATE_3240 (6 << 25)
9915#define DPLL_CFGCR0_LINK_RATE_4050 (7 << 25)
9916#define DPLL_CFGCR0_DCO_FRACTION_MASK (0x7fff << 10)
442aa277 9917#define DPLL_CFGCR0_DCO_FRACTION_SHIFT (10)
a927c927
RV
9918#define DPLL_CFGCR0_DCO_FRACTION(x) ((x) << 10)
9919#define DPLL_CFGCR0_DCO_INTEGER_MASK (0x3ff)
9920#define CNL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR0, _CNL_DPLL1_CFGCR0)
9921
9922#define _CNL_DPLL0_CFGCR1 0x6C004
9923#define _CNL_DPLL1_CFGCR1 0x6C084
9924#define DPLL_CFGCR1_QDIV_RATIO_MASK (0xff << 10)
a9701a89 9925#define DPLL_CFGCR1_QDIV_RATIO_SHIFT (10)
a927c927 9926#define DPLL_CFGCR1_QDIV_RATIO(x) ((x) << 10)
51c83cfa 9927#define DPLL_CFGCR1_QDIV_MODE_SHIFT (9)
a927c927
RV
9928#define DPLL_CFGCR1_QDIV_MODE(x) ((x) << 9)
9929#define DPLL_CFGCR1_KDIV_MASK (7 << 6)
51c83cfa 9930#define DPLL_CFGCR1_KDIV_SHIFT (6)
a927c927
RV
9931#define DPLL_CFGCR1_KDIV(x) ((x) << 6)
9932#define DPLL_CFGCR1_KDIV_1 (1 << 6)
9933#define DPLL_CFGCR1_KDIV_2 (2 << 6)
2ee7fd1e 9934#define DPLL_CFGCR1_KDIV_3 (4 << 6)
a927c927 9935#define DPLL_CFGCR1_PDIV_MASK (0xf << 2)
51c83cfa 9936#define DPLL_CFGCR1_PDIV_SHIFT (2)
a927c927
RV
9937#define DPLL_CFGCR1_PDIV(x) ((x) << 2)
9938#define DPLL_CFGCR1_PDIV_2 (1 << 2)
9939#define DPLL_CFGCR1_PDIV_3 (2 << 2)
9940#define DPLL_CFGCR1_PDIV_5 (4 << 2)
9941#define DPLL_CFGCR1_PDIV_7 (8 << 2)
9942#define DPLL_CFGCR1_CENTRAL_FREQ (3 << 0)
78b60ce7 9943#define DPLL_CFGCR1_CENTRAL_FREQ_8400 (3 << 0)
a1c5f151 9944#define TGL_DPLL_CFGCR1_CFSELOVRD_NORMAL_XTAL (0 << 0)
a927c927
RV
9945#define CNL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR1, _CNL_DPLL1_CFGCR1)
9946
78b60ce7
PZ
9947#define _ICL_DPLL0_CFGCR0 0x164000
9948#define _ICL_DPLL1_CFGCR0 0x164080
9949#define ICL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR0, \
9950 _ICL_DPLL1_CFGCR0)
9951
9952#define _ICL_DPLL0_CFGCR1 0x164004
9953#define _ICL_DPLL1_CFGCR1 0x164084
9954#define ICL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR1, \
9955 _ICL_DPLL1_CFGCR1)
9956
36ca5335
LDM
9957#define _TGL_DPLL0_CFGCR0 0x164284
9958#define _TGL_DPLL1_CFGCR0 0x16428C
9959/* TODO: add DPLL4 */
9960#define _TGL_TBTPLL_CFGCR0 0x16429C
9961#define TGL_DPLL_CFGCR0(pll) _MMIO_PLL3(pll, _TGL_DPLL0_CFGCR0, \
9962 _TGL_DPLL1_CFGCR0, \
9963 _TGL_TBTPLL_CFGCR0)
9964
9965#define _TGL_DPLL0_CFGCR1 0x164288
9966#define _TGL_DPLL1_CFGCR1 0x164290
9967/* TODO: add DPLL4 */
9968#define _TGL_TBTPLL_CFGCR1 0x1642A0
9969#define TGL_DPLL_CFGCR1(pll) _MMIO_PLL3(pll, _TGL_DPLL0_CFGCR1, \
9970 _TGL_DPLL1_CFGCR1, \
9971 _TGL_TBTPLL_CFGCR1)
9972
f8437dd1 9973/* BXT display engine PLL */
f0f59a00 9974#define BXT_DE_PLL_CTL _MMIO(0x6d000)
f8437dd1
VK
9975#define BXT_DE_PLL_RATIO(x) (x) /* {60,65,100} * 19.2MHz */
9976#define BXT_DE_PLL_RATIO_MASK 0xff
9977
f0f59a00 9978#define BXT_DE_PLL_ENABLE _MMIO(0x46070)
f8437dd1
VK
9979#define BXT_DE_PLL_PLL_ENABLE (1 << 31)
9980#define BXT_DE_PLL_LOCK (1 << 30)
945f2672
VS
9981#define CNL_CDCLK_PLL_RATIO(x) (x)
9982#define CNL_CDCLK_PLL_RATIO_MASK 0xff
f8437dd1 9983
664326f8 9984/* GEN9 DC */
f0f59a00 9985#define DC_STATE_EN _MMIO(0x45504)
13ae3a0d 9986#define DC_STATE_DISABLE 0
5ee8ee86
PZ
9987#define DC_STATE_EN_UPTO_DC5 (1 << 0)
9988#define DC_STATE_EN_DC9 (1 << 3)
9989#define DC_STATE_EN_UPTO_DC6 (2 << 0)
6b457d31
SK
9990#define DC_STATE_EN_UPTO_DC5_DC6_MASK 0x3
9991
f0f59a00 9992#define DC_STATE_DEBUG _MMIO(0x45520)
5ee8ee86
PZ
9993#define DC_STATE_DEBUG_MASK_CORES (1 << 0)
9994#define DC_STATE_DEBUG_MASK_MEMORY_UP (1 << 1)
6b457d31 9995
cbfa59d4
MK
9996#define BXT_P_CR_MC_BIOS_REQ_0_0_0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7114)
9997#define BXT_REQ_DATA_MASK 0x3F
9998#define BXT_DRAM_CHANNEL_ACTIVE_SHIFT 12
9999#define BXT_DRAM_CHANNEL_ACTIVE_MASK (0xF << 12)
10000#define BXT_MEMORY_FREQ_MULTIPLIER_HZ 133333333
10001
10002#define BXT_D_CR_DRP0_DUNIT8 0x1000
10003#define BXT_D_CR_DRP0_DUNIT9 0x1200
10004#define BXT_D_CR_DRP0_DUNIT_START 8
10005#define BXT_D_CR_DRP0_DUNIT_END 11
10006#define BXT_D_CR_DRP0_DUNIT(x) _MMIO(MCHBAR_MIRROR_BASE_SNB + \
10007 _PICK_EVEN((x) - 8, BXT_D_CR_DRP0_DUNIT8,\
10008 BXT_D_CR_DRP0_DUNIT9))
10009#define BXT_DRAM_RANK_MASK 0x3
10010#define BXT_DRAM_RANK_SINGLE 0x1
10011#define BXT_DRAM_RANK_DUAL 0x3
10012#define BXT_DRAM_WIDTH_MASK (0x3 << 4)
10013#define BXT_DRAM_WIDTH_SHIFT 4
10014#define BXT_DRAM_WIDTH_X8 (0x0 << 4)
10015#define BXT_DRAM_WIDTH_X16 (0x1 << 4)
10016#define BXT_DRAM_WIDTH_X32 (0x2 << 4)
10017#define BXT_DRAM_WIDTH_X64 (0x3 << 4)
10018#define BXT_DRAM_SIZE_MASK (0x7 << 6)
10019#define BXT_DRAM_SIZE_SHIFT 6
8860343c
VS
10020#define BXT_DRAM_SIZE_4GBIT (0x0 << 6)
10021#define BXT_DRAM_SIZE_6GBIT (0x1 << 6)
10022#define BXT_DRAM_SIZE_8GBIT (0x2 << 6)
10023#define BXT_DRAM_SIZE_12GBIT (0x3 << 6)
10024#define BXT_DRAM_SIZE_16GBIT (0x4 << 6)
b185a352
VS
10025#define BXT_DRAM_TYPE_MASK (0x7 << 22)
10026#define BXT_DRAM_TYPE_SHIFT 22
10027#define BXT_DRAM_TYPE_DDR3 (0x0 << 22)
10028#define BXT_DRAM_TYPE_LPDDR3 (0x1 << 22)
10029#define BXT_DRAM_TYPE_LPDDR4 (0x2 << 22)
10030#define BXT_DRAM_TYPE_DDR4 (0x4 << 22)
cbfa59d4 10031
5771caf8
MK
10032#define SKL_MEMORY_FREQ_MULTIPLIER_HZ 266666666
10033#define SKL_MC_BIOS_DATA_0_0_0_MCHBAR_PCU _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5E04)
10034#define SKL_REQ_DATA_MASK (0xF << 0)
10035
b185a352
VS
10036#define SKL_MAD_INTER_CHANNEL_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5000)
10037#define SKL_DRAM_DDR_TYPE_MASK (0x3 << 0)
10038#define SKL_DRAM_DDR_TYPE_DDR4 (0 << 0)
10039#define SKL_DRAM_DDR_TYPE_DDR3 (1 << 0)
10040#define SKL_DRAM_DDR_TYPE_LPDDR3 (2 << 0)
10041#define SKL_DRAM_DDR_TYPE_LPDDR4 (3 << 0)
10042
5771caf8
MK
10043#define SKL_MAD_DIMM_CH0_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
10044#define SKL_MAD_DIMM_CH1_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5010)
10045#define SKL_DRAM_S_SHIFT 16
10046#define SKL_DRAM_SIZE_MASK 0x3F
10047#define SKL_DRAM_WIDTH_MASK (0x3 << 8)
10048#define SKL_DRAM_WIDTH_SHIFT 8
10049#define SKL_DRAM_WIDTH_X8 (0x0 << 8)
10050#define SKL_DRAM_WIDTH_X16 (0x1 << 8)
10051#define SKL_DRAM_WIDTH_X32 (0x2 << 8)
10052#define SKL_DRAM_RANK_MASK (0x1 << 10)
10053#define SKL_DRAM_RANK_SHIFT 10
6d9c1e92
VS
10054#define SKL_DRAM_RANK_1 (0x0 << 10)
10055#define SKL_DRAM_RANK_2 (0x1 << 10)
10056#define SKL_DRAM_RANK_MASK (0x1 << 10)
10057#define CNL_DRAM_SIZE_MASK 0x7F
10058#define CNL_DRAM_WIDTH_MASK (0x3 << 7)
10059#define CNL_DRAM_WIDTH_SHIFT 7
10060#define CNL_DRAM_WIDTH_X8 (0x0 << 7)
10061#define CNL_DRAM_WIDTH_X16 (0x1 << 7)
10062#define CNL_DRAM_WIDTH_X32 (0x2 << 7)
10063#define CNL_DRAM_RANK_MASK (0x3 << 9)
10064#define CNL_DRAM_RANK_SHIFT 9
10065#define CNL_DRAM_RANK_1 (0x0 << 9)
10066#define CNL_DRAM_RANK_2 (0x1 << 9)
10067#define CNL_DRAM_RANK_3 (0x2 << 9)
10068#define CNL_DRAM_RANK_4 (0x3 << 9)
5771caf8 10069
9ccd5aeb
PZ
10070/* Please see hsw_read_dcomp() and hsw_write_dcomp() before using this register,
10071 * since on HSW we can't write to it using I915_WRITE. */
f0f59a00
VS
10072#define D_COMP_HSW _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5F0C)
10073#define D_COMP_BDW _MMIO(0x138144)
5ee8ee86
PZ
10074#define D_COMP_RCOMP_IN_PROGRESS (1 << 9)
10075#define D_COMP_COMP_FORCE (1 << 8)
10076#define D_COMP_COMP_DISABLE (1 << 0)
90e8d31c 10077
69e94b7e 10078/* Pipe WM_LINETIME - watermark line time */
086f8e84
VS
10079#define _PIPE_WM_LINETIME_A 0x45270
10080#define _PIPE_WM_LINETIME_B 0x45274
f0f59a00 10081#define PIPE_WM_LINETIME(pipe) _MMIO_PIPE(pipe, _PIPE_WM_LINETIME_A, _PIPE_WM_LINETIME_B)
5e49cea6
PZ
10082#define PIPE_WM_LINETIME_MASK (0x1ff)
10083#define PIPE_WM_LINETIME_TIME(x) ((x))
5ee8ee86
PZ
10084#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff << 16)
10085#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x) << 16)
96d6e350
ED
10086
10087/* SFUSE_STRAP */
f0f59a00 10088#define SFUSE_STRAP _MMIO(0xc2014)
5ee8ee86
PZ
10089#define SFUSE_STRAP_FUSE_LOCK (1 << 13)
10090#define SFUSE_STRAP_RAW_FREQUENCY (1 << 8)
10091#define SFUSE_STRAP_DISPLAY_DISABLED (1 << 7)
10092#define SFUSE_STRAP_CRT_DISABLED (1 << 6)
10093#define SFUSE_STRAP_DDIF_DETECTED (1 << 3)
10094#define SFUSE_STRAP_DDIB_DETECTED (1 << 2)
10095#define SFUSE_STRAP_DDIC_DETECTED (1 << 1)
10096#define SFUSE_STRAP_DDID_DETECTED (1 << 0)
96d6e350 10097
f0f59a00 10098#define WM_MISC _MMIO(0x45260)
801bcfff
PZ
10099#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
10100
f0f59a00 10101#define WM_DBG _MMIO(0x45280)
5ee8ee86
PZ
10102#define WM_DBG_DISALLOW_MULTIPLE_LP (1 << 0)
10103#define WM_DBG_DISALLOW_MAXFIFO (1 << 1)
10104#define WM_DBG_DISALLOW_SPRITE (1 << 2)
1544d9d5 10105
86d3efce
VS
10106/* pipe CSC */
10107#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
10108#define _PIPE_A_CSC_COEFF_BY 0x49014
10109#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
10110#define _PIPE_A_CSC_COEFF_BU 0x4901c
10111#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
10112#define _PIPE_A_CSC_COEFF_BV 0x49024
255fcfbc 10113
86d3efce 10114#define _PIPE_A_CSC_MODE 0x49028
255fcfbc 10115#define ICL_CSC_ENABLE (1 << 31)
a91de580 10116#define ICL_OUTPUT_CSC_ENABLE (1 << 30)
255fcfbc
US
10117#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
10118#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
10119#define CSC_MODE_YUV_TO_RGB (1 << 0)
10120
86d3efce
VS
10121#define _PIPE_A_CSC_PREOFF_HI 0x49030
10122#define _PIPE_A_CSC_PREOFF_ME 0x49034
10123#define _PIPE_A_CSC_PREOFF_LO 0x49038
10124#define _PIPE_A_CSC_POSTOFF_HI 0x49040
10125#define _PIPE_A_CSC_POSTOFF_ME 0x49044
10126#define _PIPE_A_CSC_POSTOFF_LO 0x49048
10127
10128#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
10129#define _PIPE_B_CSC_COEFF_BY 0x49114
10130#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
10131#define _PIPE_B_CSC_COEFF_BU 0x4911c
10132#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
10133#define _PIPE_B_CSC_COEFF_BV 0x49124
10134#define _PIPE_B_CSC_MODE 0x49128
10135#define _PIPE_B_CSC_PREOFF_HI 0x49130
10136#define _PIPE_B_CSC_PREOFF_ME 0x49134
10137#define _PIPE_B_CSC_PREOFF_LO 0x49138
10138#define _PIPE_B_CSC_POSTOFF_HI 0x49140
10139#define _PIPE_B_CSC_POSTOFF_ME 0x49144
10140#define _PIPE_B_CSC_POSTOFF_LO 0x49148
10141
f0f59a00
VS
10142#define PIPE_CSC_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
10143#define PIPE_CSC_COEFF_BY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
10144#define PIPE_CSC_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
10145#define PIPE_CSC_COEFF_BU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
10146#define PIPE_CSC_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
10147#define PIPE_CSC_COEFF_BV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
10148#define PIPE_CSC_MODE(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
10149#define PIPE_CSC_PREOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
10150#define PIPE_CSC_PREOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
10151#define PIPE_CSC_PREOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
10152#define PIPE_CSC_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
10153#define PIPE_CSC_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
10154#define PIPE_CSC_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
86d3efce 10155
a91de580
US
10156/* Pipe Output CSC */
10157#define _PIPE_A_OUTPUT_CSC_COEFF_RY_GY 0x49050
10158#define _PIPE_A_OUTPUT_CSC_COEFF_BY 0x49054
10159#define _PIPE_A_OUTPUT_CSC_COEFF_RU_GU 0x49058
10160#define _PIPE_A_OUTPUT_CSC_COEFF_BU 0x4905c
10161#define _PIPE_A_OUTPUT_CSC_COEFF_RV_GV 0x49060
10162#define _PIPE_A_OUTPUT_CSC_COEFF_BV 0x49064
10163#define _PIPE_A_OUTPUT_CSC_PREOFF_HI 0x49068
10164#define _PIPE_A_OUTPUT_CSC_PREOFF_ME 0x4906c
10165#define _PIPE_A_OUTPUT_CSC_PREOFF_LO 0x49070
10166#define _PIPE_A_OUTPUT_CSC_POSTOFF_HI 0x49074
10167#define _PIPE_A_OUTPUT_CSC_POSTOFF_ME 0x49078
10168#define _PIPE_A_OUTPUT_CSC_POSTOFF_LO 0x4907c
10169
10170#define _PIPE_B_OUTPUT_CSC_COEFF_RY_GY 0x49150
10171#define _PIPE_B_OUTPUT_CSC_COEFF_BY 0x49154
10172#define _PIPE_B_OUTPUT_CSC_COEFF_RU_GU 0x49158
10173#define _PIPE_B_OUTPUT_CSC_COEFF_BU 0x4915c
10174#define _PIPE_B_OUTPUT_CSC_COEFF_RV_GV 0x49160
10175#define _PIPE_B_OUTPUT_CSC_COEFF_BV 0x49164
10176#define _PIPE_B_OUTPUT_CSC_PREOFF_HI 0x49168
10177#define _PIPE_B_OUTPUT_CSC_PREOFF_ME 0x4916c
10178#define _PIPE_B_OUTPUT_CSC_PREOFF_LO 0x49170
10179#define _PIPE_B_OUTPUT_CSC_POSTOFF_HI 0x49174
10180#define _PIPE_B_OUTPUT_CSC_POSTOFF_ME 0x49178
10181#define _PIPE_B_OUTPUT_CSC_POSTOFF_LO 0x4917c
10182
10183#define PIPE_CSC_OUTPUT_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe,\
10184 _PIPE_A_OUTPUT_CSC_COEFF_RY_GY,\
10185 _PIPE_B_OUTPUT_CSC_COEFF_RY_GY)
10186#define PIPE_CSC_OUTPUT_COEFF_BY(pipe) _MMIO_PIPE(pipe, \
10187 _PIPE_A_OUTPUT_CSC_COEFF_BY, \
10188 _PIPE_B_OUTPUT_CSC_COEFF_BY)
10189#define PIPE_CSC_OUTPUT_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, \
10190 _PIPE_A_OUTPUT_CSC_COEFF_RU_GU, \
10191 _PIPE_B_OUTPUT_CSC_COEFF_RU_GU)
10192#define PIPE_CSC_OUTPUT_COEFF_BU(pipe) _MMIO_PIPE(pipe, \
10193 _PIPE_A_OUTPUT_CSC_COEFF_BU, \
10194 _PIPE_B_OUTPUT_CSC_COEFF_BU)
10195#define PIPE_CSC_OUTPUT_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, \
10196 _PIPE_A_OUTPUT_CSC_COEFF_RV_GV, \
10197 _PIPE_B_OUTPUT_CSC_COEFF_RV_GV)
10198#define PIPE_CSC_OUTPUT_COEFF_BV(pipe) _MMIO_PIPE(pipe, \
10199 _PIPE_A_OUTPUT_CSC_COEFF_BV, \
10200 _PIPE_B_OUTPUT_CSC_COEFF_BV)
10201#define PIPE_CSC_OUTPUT_PREOFF_HI(pipe) _MMIO_PIPE(pipe, \
10202 _PIPE_A_OUTPUT_CSC_PREOFF_HI, \
10203 _PIPE_B_OUTPUT_CSC_PREOFF_HI)
10204#define PIPE_CSC_OUTPUT_PREOFF_ME(pipe) _MMIO_PIPE(pipe, \
10205 _PIPE_A_OUTPUT_CSC_PREOFF_ME, \
10206 _PIPE_B_OUTPUT_CSC_PREOFF_ME)
10207#define PIPE_CSC_OUTPUT_PREOFF_LO(pipe) _MMIO_PIPE(pipe, \
10208 _PIPE_A_OUTPUT_CSC_PREOFF_LO, \
10209 _PIPE_B_OUTPUT_CSC_PREOFF_LO)
10210#define PIPE_CSC_OUTPUT_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, \
10211 _PIPE_A_OUTPUT_CSC_POSTOFF_HI, \
10212 _PIPE_B_OUTPUT_CSC_POSTOFF_HI)
10213#define PIPE_CSC_OUTPUT_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, \
10214 _PIPE_A_OUTPUT_CSC_POSTOFF_ME, \
10215 _PIPE_B_OUTPUT_CSC_POSTOFF_ME)
10216#define PIPE_CSC_OUTPUT_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, \
10217 _PIPE_A_OUTPUT_CSC_POSTOFF_LO, \
10218 _PIPE_B_OUTPUT_CSC_POSTOFF_LO)
10219
82cf435b
LL
10220/* pipe degamma/gamma LUTs on IVB+ */
10221#define _PAL_PREC_INDEX_A 0x4A400
10222#define _PAL_PREC_INDEX_B 0x4AC00
10223#define _PAL_PREC_INDEX_C 0x4B400
10224#define PAL_PREC_10_12_BIT (0 << 31)
10225#define PAL_PREC_SPLIT_MODE (1 << 31)
10226#define PAL_PREC_AUTO_INCREMENT (1 << 15)
2fcb2066 10227#define PAL_PREC_INDEX_VALUE_MASK (0x3ff << 0)
5bda1aca 10228#define PAL_PREC_INDEX_VALUE(x) ((x) << 0)
82cf435b
LL
10229#define _PAL_PREC_DATA_A 0x4A404
10230#define _PAL_PREC_DATA_B 0x4AC04
10231#define _PAL_PREC_DATA_C 0x4B404
10232#define _PAL_PREC_GC_MAX_A 0x4A410
10233#define _PAL_PREC_GC_MAX_B 0x4AC10
10234#define _PAL_PREC_GC_MAX_C 0x4B410
10235#define _PAL_PREC_EXT_GC_MAX_A 0x4A420
10236#define _PAL_PREC_EXT_GC_MAX_B 0x4AC20
10237#define _PAL_PREC_EXT_GC_MAX_C 0x4B420
9751bafc
ACO
10238#define _PAL_PREC_EXT2_GC_MAX_A 0x4A430
10239#define _PAL_PREC_EXT2_GC_MAX_B 0x4AC30
10240#define _PAL_PREC_EXT2_GC_MAX_C 0x4B430
82cf435b
LL
10241
10242#define PREC_PAL_INDEX(pipe) _MMIO_PIPE(pipe, _PAL_PREC_INDEX_A, _PAL_PREC_INDEX_B)
10243#define PREC_PAL_DATA(pipe) _MMIO_PIPE(pipe, _PAL_PREC_DATA_A, _PAL_PREC_DATA_B)
10244#define PREC_PAL_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_GC_MAX_A, _PAL_PREC_GC_MAX_B) + (i) * 4)
10245#define PREC_PAL_EXT_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT_GC_MAX_A, _PAL_PREC_EXT_GC_MAX_B) + (i) * 4)
502da13a 10246#define PREC_PAL_EXT2_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT2_GC_MAX_A, _PAL_PREC_EXT2_GC_MAX_B) + (i) * 4)
82cf435b 10247
9751bafc
ACO
10248#define _PRE_CSC_GAMC_INDEX_A 0x4A484
10249#define _PRE_CSC_GAMC_INDEX_B 0x4AC84
10250#define _PRE_CSC_GAMC_INDEX_C 0x4B484
10251#define PRE_CSC_GAMC_AUTO_INCREMENT (1 << 10)
10252#define _PRE_CSC_GAMC_DATA_A 0x4A488
10253#define _PRE_CSC_GAMC_DATA_B 0x4AC88
10254#define _PRE_CSC_GAMC_DATA_C 0x4B488
10255
10256#define PRE_CSC_GAMC_INDEX(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_INDEX_A, _PRE_CSC_GAMC_INDEX_B)
10257#define PRE_CSC_GAMC_DATA(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_DATA_A, _PRE_CSC_GAMC_DATA_B)
10258
377c70ed
US
10259/* ICL Multi segmented gamma */
10260#define _PAL_PREC_MULTI_SEG_INDEX_A 0x4A408
10261#define _PAL_PREC_MULTI_SEG_INDEX_B 0x4AC08
10262#define PAL_PREC_MULTI_SEGMENT_AUTO_INCREMENT REG_BIT(15)
10263#define PAL_PREC_MULTI_SEGMENT_INDEX_VALUE_MASK REG_GENMASK(4, 0)
10264
10265#define _PAL_PREC_MULTI_SEG_DATA_A 0x4A40C
10266#define _PAL_PREC_MULTI_SEG_DATA_B 0x4AC0C
10267
10268#define PREC_PAL_MULTI_SEG_INDEX(pipe) _MMIO_PIPE(pipe, \
10269 _PAL_PREC_MULTI_SEG_INDEX_A, \
10270 _PAL_PREC_MULTI_SEG_INDEX_B)
10271#define PREC_PAL_MULTI_SEG_DATA(pipe) _MMIO_PIPE(pipe, \
10272 _PAL_PREC_MULTI_SEG_DATA_A, \
10273 _PAL_PREC_MULTI_SEG_DATA_B)
10274
29dc3739
LL
10275/* pipe CSC & degamma/gamma LUTs on CHV */
10276#define _CGM_PIPE_A_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x67900)
10277#define _CGM_PIPE_A_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x67904)
10278#define _CGM_PIPE_A_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x67908)
10279#define _CGM_PIPE_A_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6790C)
10280#define _CGM_PIPE_A_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x67910)
10281#define _CGM_PIPE_A_DEGAMMA (VLV_DISPLAY_BASE + 0x66000)
10282#define _CGM_PIPE_A_GAMMA (VLV_DISPLAY_BASE + 0x67000)
10283#define _CGM_PIPE_A_MODE (VLV_DISPLAY_BASE + 0x67A00)
10284#define CGM_PIPE_MODE_GAMMA (1 << 2)
10285#define CGM_PIPE_MODE_CSC (1 << 1)
10286#define CGM_PIPE_MODE_DEGAMMA (1 << 0)
10287
10288#define _CGM_PIPE_B_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x69900)
10289#define _CGM_PIPE_B_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x69904)
10290#define _CGM_PIPE_B_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x69908)
10291#define _CGM_PIPE_B_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6990C)
10292#define _CGM_PIPE_B_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x69910)
10293#define _CGM_PIPE_B_DEGAMMA (VLV_DISPLAY_BASE + 0x68000)
10294#define _CGM_PIPE_B_GAMMA (VLV_DISPLAY_BASE + 0x69000)
10295#define _CGM_PIPE_B_MODE (VLV_DISPLAY_BASE + 0x69A00)
10296
10297#define CGM_PIPE_CSC_COEFF01(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF01, _CGM_PIPE_B_CSC_COEFF01)
10298#define CGM_PIPE_CSC_COEFF23(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF23, _CGM_PIPE_B_CSC_COEFF23)
10299#define CGM_PIPE_CSC_COEFF45(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF45, _CGM_PIPE_B_CSC_COEFF45)
10300#define CGM_PIPE_CSC_COEFF67(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF67, _CGM_PIPE_B_CSC_COEFF67)
10301#define CGM_PIPE_CSC_COEFF8(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF8, _CGM_PIPE_B_CSC_COEFF8)
10302#define CGM_PIPE_DEGAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_DEGAMMA, _CGM_PIPE_B_DEGAMMA) + (i) * 8 + (w) * 4)
10303#define CGM_PIPE_GAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_GAMMA, _CGM_PIPE_B_GAMMA) + (i) * 8 + (w) * 4)
10304#define CGM_PIPE_MODE(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_MODE, _CGM_PIPE_B_MODE)
10305
e7d7cad0
JN
10306/* MIPI DSI registers */
10307
0ad4dc88 10308#define _MIPI_PORT(port, a, c) (((port) == PORT_A) ? a : c) /* ports A and C only */
f0f59a00 10309#define _MMIO_MIPI(port, a, c) _MMIO(_MIPI_PORT(port, a, c))
3230bf14 10310
292272ee
MC
10311/* Gen11 DSI */
10312#define _MMIO_DSI(tc, dsi0, dsi1) _MMIO_TRANS((tc) - TRANSCODER_DSI_0, \
10313 dsi0, dsi1)
10314
bcc65700
D
10315#define MIPIO_TXESC_CLK_DIV1 _MMIO(0x160004)
10316#define GLK_TX_ESC_CLK_DIV1_MASK 0x3FF
10317#define MIPIO_TXESC_CLK_DIV2 _MMIO(0x160008)
10318#define GLK_TX_ESC_CLK_DIV2_MASK 0x3FF
10319
27efd256
MC
10320#define _ICL_DSI_ESC_CLK_DIV0 0x6b090
10321#define _ICL_DSI_ESC_CLK_DIV1 0x6b890
10322#define ICL_DSI_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10323 _ICL_DSI_ESC_CLK_DIV0, \
10324 _ICL_DSI_ESC_CLK_DIV1)
10325#define _ICL_DPHY_ESC_CLK_DIV0 0x162190
10326#define _ICL_DPHY_ESC_CLK_DIV1 0x6C190
10327#define ICL_DPHY_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10328 _ICL_DPHY_ESC_CLK_DIV0, \
10329 _ICL_DPHY_ESC_CLK_DIV1)
10330#define ICL_BYTE_CLK_PER_ESC_CLK_MASK (0x1f << 16)
10331#define ICL_BYTE_CLK_PER_ESC_CLK_SHIFT 16
10332#define ICL_ESC_CLK_DIV_MASK 0x1ff
10333#define ICL_ESC_CLK_DIV_SHIFT 0
fcfe0bdc 10334#define DSI_MAX_ESC_CLK 20000 /* in KHz */
27efd256 10335
aec0246f
US
10336/* Gen4+ Timestamp and Pipe Frame time stamp registers */
10337#define GEN4_TIMESTAMP _MMIO(0x2358)
10338#define ILK_TIMESTAMP_HI _MMIO(0x70070)
10339#define IVB_TIMESTAMP_CTR _MMIO(0x44070)
10340
dab91783
LL
10341#define GEN9_TIMESTAMP_OVERRIDE _MMIO(0x44074)
10342#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_SHIFT 0
10343#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_MASK 0x3ff
10344#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_SHIFT 12
10345#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_MASK (0xf << 12)
10346
aec0246f
US
10347#define _PIPE_FRMTMSTMP_A 0x70048
10348#define PIPE_FRMTMSTMP(pipe) \
10349 _MMIO_PIPE2(pipe, _PIPE_FRMTMSTMP_A)
10350
11b8e4f5
SS
10351/* BXT MIPI clock controls */
10352#define BXT_MAX_VAR_OUTPUT_KHZ 39500
10353
f0f59a00 10354#define BXT_MIPI_CLOCK_CTL _MMIO(0x46090)
11b8e4f5
SS
10355#define BXT_MIPI1_DIV_SHIFT 26
10356#define BXT_MIPI2_DIV_SHIFT 10
10357#define BXT_MIPI_DIV_SHIFT(port) \
10358 _MIPI_PORT(port, BXT_MIPI1_DIV_SHIFT, \
10359 BXT_MIPI2_DIV_SHIFT)
782d25ca 10360
11b8e4f5 10361/* TX control divider to select actual TX clock output from (8x/var) */
782d25ca
D
10362#define BXT_MIPI1_TX_ESCLK_SHIFT 26
10363#define BXT_MIPI2_TX_ESCLK_SHIFT 10
11b8e4f5
SS
10364#define BXT_MIPI_TX_ESCLK_SHIFT(port) \
10365 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_SHIFT, \
10366 BXT_MIPI2_TX_ESCLK_SHIFT)
782d25ca
D
10367#define BXT_MIPI1_TX_ESCLK_FIXDIV_MASK (0x3F << 26)
10368#define BXT_MIPI2_TX_ESCLK_FIXDIV_MASK (0x3F << 10)
11b8e4f5
SS
10369#define BXT_MIPI_TX_ESCLK_FIXDIV_MASK(port) \
10370 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_FIXDIV_MASK, \
782d25ca
D
10371 BXT_MIPI2_TX_ESCLK_FIXDIV_MASK)
10372#define BXT_MIPI_TX_ESCLK_DIVIDER(port, val) \
9e8789ec 10373 (((val) & 0x3F) << BXT_MIPI_TX_ESCLK_SHIFT(port))
782d25ca
D
10374/* RX upper control divider to select actual RX clock output from 8x */
10375#define BXT_MIPI1_RX_ESCLK_UPPER_SHIFT 21
10376#define BXT_MIPI2_RX_ESCLK_UPPER_SHIFT 5
10377#define BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port) \
10378 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_SHIFT, \
10379 BXT_MIPI2_RX_ESCLK_UPPER_SHIFT)
10380#define BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 21)
10381#define BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 5)
10382#define BXT_MIPI_RX_ESCLK_UPPER_FIXDIV_MASK(port) \
10383 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK, \
10384 BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK)
10385#define BXT_MIPI_RX_ESCLK_UPPER_DIVIDER(port, val) \
9e8789ec 10386 (((val) & 3) << BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port))
782d25ca
D
10387/* 8/3X divider to select the actual 8/3X clock output from 8x */
10388#define BXT_MIPI1_8X_BY3_SHIFT 19
10389#define BXT_MIPI2_8X_BY3_SHIFT 3
10390#define BXT_MIPI_8X_BY3_SHIFT(port) \
10391 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_SHIFT, \
10392 BXT_MIPI2_8X_BY3_SHIFT)
10393#define BXT_MIPI1_8X_BY3_DIVIDER_MASK (3 << 19)
10394#define BXT_MIPI2_8X_BY3_DIVIDER_MASK (3 << 3)
10395#define BXT_MIPI_8X_BY3_DIVIDER_MASK(port) \
10396 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_DIVIDER_MASK, \
10397 BXT_MIPI2_8X_BY3_DIVIDER_MASK)
10398#define BXT_MIPI_8X_BY3_DIVIDER(port, val) \
9e8789ec 10399 (((val) & 3) << BXT_MIPI_8X_BY3_SHIFT(port))
782d25ca
D
10400/* RX lower control divider to select actual RX clock output from 8x */
10401#define BXT_MIPI1_RX_ESCLK_LOWER_SHIFT 16
10402#define BXT_MIPI2_RX_ESCLK_LOWER_SHIFT 0
10403#define BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port) \
10404 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_SHIFT, \
10405 BXT_MIPI2_RX_ESCLK_LOWER_SHIFT)
10406#define BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 16)
10407#define BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 0)
10408#define BXT_MIPI_RX_ESCLK_LOWER_FIXDIV_MASK(port) \
10409 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK, \
10410 BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK)
10411#define BXT_MIPI_RX_ESCLK_LOWER_DIVIDER(port, val) \
9e8789ec 10412 (((val) & 3) << BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port))
782d25ca
D
10413
10414#define RX_DIVIDER_BIT_1_2 0x3
10415#define RX_DIVIDER_BIT_3_4 0xC
11b8e4f5 10416
d2e08c0f
SS
10417/* BXT MIPI mode configure */
10418#define _BXT_MIPIA_TRANS_HACTIVE 0x6B0F8
10419#define _BXT_MIPIC_TRANS_HACTIVE 0x6B8F8
f0f59a00 10420#define BXT_MIPI_TRANS_HACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10421 _BXT_MIPIA_TRANS_HACTIVE, _BXT_MIPIC_TRANS_HACTIVE)
10422
10423#define _BXT_MIPIA_TRANS_VACTIVE 0x6B0FC
10424#define _BXT_MIPIC_TRANS_VACTIVE 0x6B8FC
f0f59a00 10425#define BXT_MIPI_TRANS_VACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10426 _BXT_MIPIA_TRANS_VACTIVE, _BXT_MIPIC_TRANS_VACTIVE)
10427
10428#define _BXT_MIPIA_TRANS_VTOTAL 0x6B100
10429#define _BXT_MIPIC_TRANS_VTOTAL 0x6B900
f0f59a00 10430#define BXT_MIPI_TRANS_VTOTAL(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10431 _BXT_MIPIA_TRANS_VTOTAL, _BXT_MIPIC_TRANS_VTOTAL)
10432
f0f59a00 10433#define BXT_DSI_PLL_CTL _MMIO(0x161000)
cfe01a5e
SS
10434#define BXT_DSI_PLL_PVD_RATIO_SHIFT 16
10435#define BXT_DSI_PLL_PVD_RATIO_MASK (3 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
10436#define BXT_DSI_PLL_PVD_RATIO_1 (1 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
f340c2ff 10437#define BXT_DSIC_16X_BY1 (0 << 10)
cfe01a5e
SS
10438#define BXT_DSIC_16X_BY2 (1 << 10)
10439#define BXT_DSIC_16X_BY3 (2 << 10)
10440#define BXT_DSIC_16X_BY4 (3 << 10)
db18b6a6 10441#define BXT_DSIC_16X_MASK (3 << 10)
f340c2ff 10442#define BXT_DSIA_16X_BY1 (0 << 8)
cfe01a5e
SS
10443#define BXT_DSIA_16X_BY2 (1 << 8)
10444#define BXT_DSIA_16X_BY3 (2 << 8)
10445#define BXT_DSIA_16X_BY4 (3 << 8)
db18b6a6 10446#define BXT_DSIA_16X_MASK (3 << 8)
cfe01a5e
SS
10447#define BXT_DSI_FREQ_SEL_SHIFT 8
10448#define BXT_DSI_FREQ_SEL_MASK (0xF << BXT_DSI_FREQ_SEL_SHIFT)
10449
10450#define BXT_DSI_PLL_RATIO_MAX 0x7D
10451#define BXT_DSI_PLL_RATIO_MIN 0x22
f340c2ff
D
10452#define GLK_DSI_PLL_RATIO_MAX 0x6F
10453#define GLK_DSI_PLL_RATIO_MIN 0x22
cfe01a5e 10454#define BXT_DSI_PLL_RATIO_MASK 0xFF
61ad9928 10455#define BXT_REF_CLOCK_KHZ 19200
cfe01a5e 10456
f0f59a00 10457#define BXT_DSI_PLL_ENABLE _MMIO(0x46080)
cfe01a5e
SS
10458#define BXT_DSI_PLL_DO_ENABLE (1 << 31)
10459#define BXT_DSI_PLL_LOCKED (1 << 30)
10460
3230bf14 10461#define _MIPIA_PORT_CTRL (VLV_DISPLAY_BASE + 0x61190)
e7d7cad0 10462#define _MIPIC_PORT_CTRL (VLV_DISPLAY_BASE + 0x61700)
f0f59a00 10463#define MIPI_PORT_CTRL(port) _MMIO_MIPI(port, _MIPIA_PORT_CTRL, _MIPIC_PORT_CTRL)
37ab0810
SS
10464
10465 /* BXT port control */
10466#define _BXT_MIPIA_PORT_CTRL 0x6B0C0
10467#define _BXT_MIPIC_PORT_CTRL 0x6B8C0
f0f59a00 10468#define BXT_MIPI_PORT_CTRL(tc) _MMIO_MIPI(tc, _BXT_MIPIA_PORT_CTRL, _BXT_MIPIC_PORT_CTRL)
37ab0810 10469
21652f3b
MC
10470/* ICL DSI MODE control */
10471#define _ICL_DSI_IO_MODECTL_0 0x6B094
10472#define _ICL_DSI_IO_MODECTL_1 0x6B894
10473#define ICL_DSI_IO_MODECTL(port) _MMIO_PORT(port, \
10474 _ICL_DSI_IO_MODECTL_0, \
10475 _ICL_DSI_IO_MODECTL_1)
10476#define COMBO_PHY_MODE_DSI (1 << 0)
10477
8b1b558d
AS
10478/* Display Stream Splitter Control */
10479#define DSS_CTL1 _MMIO(0x67400)
10480#define SPLITTER_ENABLE (1 << 31)
10481#define JOINER_ENABLE (1 << 30)
10482#define DUAL_LINK_MODE_INTERLEAVE (1 << 24)
10483#define DUAL_LINK_MODE_FRONTBACK (0 << 24)
10484#define OVERLAP_PIXELS_MASK (0xf << 16)
10485#define OVERLAP_PIXELS(pixels) ((pixels) << 16)
10486#define LEFT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10487#define LEFT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
18cde299 10488#define MAX_DL_BUFFER_TARGET_DEPTH 0x5a0
8b1b558d
AS
10489
10490#define DSS_CTL2 _MMIO(0x67404)
10491#define LEFT_BRANCH_VDSC_ENABLE (1 << 31)
10492#define RIGHT_BRANCH_VDSC_ENABLE (1 << 15)
10493#define RIGHT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10494#define RIGHT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
10495
18cde299
AS
10496#define _ICL_PIPE_DSS_CTL1_PB 0x78200
10497#define _ICL_PIPE_DSS_CTL1_PC 0x78400
10498#define ICL_PIPE_DSS_CTL1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10499 _ICL_PIPE_DSS_CTL1_PB, \
10500 _ICL_PIPE_DSS_CTL1_PC)
8b1b558d
AS
10501#define BIG_JOINER_ENABLE (1 << 29)
10502#define MASTER_BIG_JOINER_ENABLE (1 << 28)
10503#define VGA_CENTERING_ENABLE (1 << 27)
10504
18cde299
AS
10505#define _ICL_PIPE_DSS_CTL2_PB 0x78204
10506#define _ICL_PIPE_DSS_CTL2_PC 0x78404
10507#define ICL_PIPE_DSS_CTL2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10508 _ICL_PIPE_DSS_CTL2_PB, \
10509 _ICL_PIPE_DSS_CTL2_PC)
8b1b558d 10510
1881a423
US
10511#define BXT_P_DSI_REGULATOR_CFG _MMIO(0x160020)
10512#define STAP_SELECT (1 << 0)
10513
10514#define BXT_P_DSI_REGULATOR_TX_CTRL _MMIO(0x160054)
10515#define HS_IO_CTRL_SELECT (1 << 0)
10516
e7d7cad0 10517#define DPI_ENABLE (1 << 31) /* A + C */
3230bf14
JN
10518#define MIPIA_MIPI4DPHY_DELAY_COUNT_SHIFT 27
10519#define MIPIA_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 27)
369602d3 10520#define DUAL_LINK_MODE_SHIFT 26
3230bf14
JN
10521#define DUAL_LINK_MODE_MASK (1 << 26)
10522#define DUAL_LINK_MODE_FRONT_BACK (0 << 26)
10523#define DUAL_LINK_MODE_PIXEL_ALTERNATIVE (1 << 26)
e7d7cad0 10524#define DITHERING_ENABLE (1 << 25) /* A + C */
3230bf14
JN
10525#define FLOPPED_HSTX (1 << 23)
10526#define DE_INVERT (1 << 19) /* XXX */
10527#define MIPIA_FLISDSI_DELAY_COUNT_SHIFT 18
10528#define MIPIA_FLISDSI_DELAY_COUNT_MASK (0xf << 18)
10529#define AFE_LATCHOUT (1 << 17)
10530#define LP_OUTPUT_HOLD (1 << 16)
e7d7cad0
JN
10531#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_SHIFT 15
10532#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_MASK (1 << 15)
10533#define MIPIC_MIPI4DPHY_DELAY_COUNT_SHIFT 11
10534#define MIPIC_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 11)
3230bf14
JN
10535#define CSB_SHIFT 9
10536#define CSB_MASK (3 << 9)
10537#define CSB_20MHZ (0 << 9)
10538#define CSB_10MHZ (1 << 9)
10539#define CSB_40MHZ (2 << 9)
10540#define BANDGAP_MASK (1 << 8)
10541#define BANDGAP_PNW_CIRCUIT (0 << 8)
10542#define BANDGAP_LNC_CIRCUIT (1 << 8)
e7d7cad0
JN
10543#define MIPIC_FLISDSI_DELAY_COUNT_LOW_SHIFT 5
10544#define MIPIC_FLISDSI_DELAY_COUNT_LOW_MASK (7 << 5)
10545#define TEARING_EFFECT_DELAY (1 << 4) /* A + C */
10546#define TEARING_EFFECT_SHIFT 2 /* A + C */
3230bf14
JN
10547#define TEARING_EFFECT_MASK (3 << 2)
10548#define TEARING_EFFECT_OFF (0 << 2)
10549#define TEARING_EFFECT_DSI (1 << 2)
10550#define TEARING_EFFECT_GPIO (2 << 2)
10551#define LANE_CONFIGURATION_SHIFT 0
10552#define LANE_CONFIGURATION_MASK (3 << 0)
10553#define LANE_CONFIGURATION_4LANE (0 << 0)
10554#define LANE_CONFIGURATION_DUAL_LINK_A (1 << 0)
10555#define LANE_CONFIGURATION_DUAL_LINK_B (2 << 0)
10556
10557#define _MIPIA_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61194)
e7d7cad0 10558#define _MIPIC_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61704)
f0f59a00 10559#define MIPI_TEARING_CTRL(port) _MMIO_MIPI(port, _MIPIA_TEARING_CTRL, _MIPIC_TEARING_CTRL)
3230bf14
JN
10560#define TEARING_EFFECT_DELAY_SHIFT 0
10561#define TEARING_EFFECT_DELAY_MASK (0xffff << 0)
10562
10563/* XXX: all bits reserved */
4ad83e94 10564#define _MIPIA_AUTOPWG (VLV_DISPLAY_BASE + 0x611a0)
3230bf14
JN
10565
10566/* MIPI DSI Controller and D-PHY registers */
10567
4ad83e94 10568#define _MIPIA_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb000)
e7d7cad0 10569#define _MIPIC_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb800)
f0f59a00 10570#define MIPI_DEVICE_READY(port) _MMIO_MIPI(port, _MIPIA_DEVICE_READY, _MIPIC_DEVICE_READY)
3230bf14
JN
10571#define BUS_POSSESSION (1 << 3) /* set to give bus to receiver */
10572#define ULPS_STATE_MASK (3 << 1)
10573#define ULPS_STATE_ENTER (2 << 1)
10574#define ULPS_STATE_EXIT (1 << 1)
10575#define ULPS_STATE_NORMAL_OPERATION (0 << 1)
10576#define DEVICE_READY (1 << 0)
10577
4ad83e94 10578#define _MIPIA_INTR_STAT (dev_priv->mipi_mmio_base + 0xb004)
e7d7cad0 10579#define _MIPIC_INTR_STAT (dev_priv->mipi_mmio_base + 0xb804)
f0f59a00 10580#define MIPI_INTR_STAT(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT, _MIPIC_INTR_STAT)
4ad83e94 10581#define _MIPIA_INTR_EN (dev_priv->mipi_mmio_base + 0xb008)
e7d7cad0 10582#define _MIPIC_INTR_EN (dev_priv->mipi_mmio_base + 0xb808)
f0f59a00 10583#define MIPI_INTR_EN(port) _MMIO_MIPI(port, _MIPIA_INTR_EN, _MIPIC_INTR_EN)
3230bf14
JN
10584#define TEARING_EFFECT (1 << 31)
10585#define SPL_PKT_SENT_INTERRUPT (1 << 30)
10586#define GEN_READ_DATA_AVAIL (1 << 29)
10587#define LP_GENERIC_WR_FIFO_FULL (1 << 28)
10588#define HS_GENERIC_WR_FIFO_FULL (1 << 27)
10589#define RX_PROT_VIOLATION (1 << 26)
10590#define RX_INVALID_TX_LENGTH (1 << 25)
10591#define ACK_WITH_NO_ERROR (1 << 24)
10592#define TURN_AROUND_ACK_TIMEOUT (1 << 23)
10593#define LP_RX_TIMEOUT (1 << 22)
10594#define HS_TX_TIMEOUT (1 << 21)
10595#define DPI_FIFO_UNDERRUN (1 << 20)
10596#define LOW_CONTENTION (1 << 19)
10597#define HIGH_CONTENTION (1 << 18)
10598#define TXDSI_VC_ID_INVALID (1 << 17)
10599#define TXDSI_DATA_TYPE_NOT_RECOGNISED (1 << 16)
10600#define TXCHECKSUM_ERROR (1 << 15)
10601#define TXECC_MULTIBIT_ERROR (1 << 14)
10602#define TXECC_SINGLE_BIT_ERROR (1 << 13)
10603#define TXFALSE_CONTROL_ERROR (1 << 12)
10604#define RXDSI_VC_ID_INVALID (1 << 11)
10605#define RXDSI_DATA_TYPE_NOT_REGOGNISED (1 << 10)
10606#define RXCHECKSUM_ERROR (1 << 9)
10607#define RXECC_MULTIBIT_ERROR (1 << 8)
10608#define RXECC_SINGLE_BIT_ERROR (1 << 7)
10609#define RXFALSE_CONTROL_ERROR (1 << 6)
10610#define RXHS_RECEIVE_TIMEOUT_ERROR (1 << 5)
10611#define RX_LP_TX_SYNC_ERROR (1 << 4)
10612#define RXEXCAPE_MODE_ENTRY_ERROR (1 << 3)
10613#define RXEOT_SYNC_ERROR (1 << 2)
10614#define RXSOT_SYNC_ERROR (1 << 1)
10615#define RXSOT_ERROR (1 << 0)
10616
4ad83e94 10617#define _MIPIA_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb00c)
e7d7cad0 10618#define _MIPIC_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb80c)
f0f59a00 10619#define MIPI_DSI_FUNC_PRG(port) _MMIO_MIPI(port, _MIPIA_DSI_FUNC_PRG, _MIPIC_DSI_FUNC_PRG)
3230bf14
JN
10620#define CMD_MODE_DATA_WIDTH_MASK (7 << 13)
10621#define CMD_MODE_NOT_SUPPORTED (0 << 13)
10622#define CMD_MODE_DATA_WIDTH_16_BIT (1 << 13)
10623#define CMD_MODE_DATA_WIDTH_9_BIT (2 << 13)
10624#define CMD_MODE_DATA_WIDTH_8_BIT (3 << 13)
10625#define CMD_MODE_DATA_WIDTH_OPTION1 (4 << 13)
10626#define CMD_MODE_DATA_WIDTH_OPTION2 (5 << 13)
10627#define VID_MODE_FORMAT_MASK (0xf << 7)
10628#define VID_MODE_NOT_SUPPORTED (0 << 7)
10629#define VID_MODE_FORMAT_RGB565 (1 << 7)
42c151e6
JN
10630#define VID_MODE_FORMAT_RGB666_PACKED (2 << 7)
10631#define VID_MODE_FORMAT_RGB666 (3 << 7)
3230bf14
JN
10632#define VID_MODE_FORMAT_RGB888 (4 << 7)
10633#define CMD_MODE_CHANNEL_NUMBER_SHIFT 5
10634#define CMD_MODE_CHANNEL_NUMBER_MASK (3 << 5)
10635#define VID_MODE_CHANNEL_NUMBER_SHIFT 3
10636#define VID_MODE_CHANNEL_NUMBER_MASK (3 << 3)
10637#define DATA_LANES_PRG_REG_SHIFT 0
10638#define DATA_LANES_PRG_REG_MASK (7 << 0)
10639
4ad83e94 10640#define _MIPIA_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb010)
e7d7cad0 10641#define _MIPIC_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb810)
f0f59a00 10642#define MIPI_HS_TX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_HS_TX_TIMEOUT, _MIPIC_HS_TX_TIMEOUT)
3230bf14
JN
10643#define HIGH_SPEED_TX_TIMEOUT_COUNTER_MASK 0xffffff
10644
4ad83e94 10645#define _MIPIA_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb014)
e7d7cad0 10646#define _MIPIC_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb814)
f0f59a00 10647#define MIPI_LP_RX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_LP_RX_TIMEOUT, _MIPIC_LP_RX_TIMEOUT)
3230bf14
JN
10648#define LOW_POWER_RX_TIMEOUT_COUNTER_MASK 0xffffff
10649
4ad83e94 10650#define _MIPIA_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb018)
e7d7cad0 10651#define _MIPIC_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb818)
f0f59a00 10652#define MIPI_TURN_AROUND_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_TURN_AROUND_TIMEOUT, _MIPIC_TURN_AROUND_TIMEOUT)
3230bf14
JN
10653#define TURN_AROUND_TIMEOUT_MASK 0x3f
10654
4ad83e94 10655#define _MIPIA_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb01c)
e7d7cad0 10656#define _MIPIC_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb81c)
f0f59a00 10657#define MIPI_DEVICE_RESET_TIMER(port) _MMIO_MIPI(port, _MIPIA_DEVICE_RESET_TIMER, _MIPIC_DEVICE_RESET_TIMER)
3230bf14
JN
10658#define DEVICE_RESET_TIMER_MASK 0xffff
10659
4ad83e94 10660#define _MIPIA_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb020)
e7d7cad0 10661#define _MIPIC_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb820)
f0f59a00 10662#define MIPI_DPI_RESOLUTION(port) _MMIO_MIPI(port, _MIPIA_DPI_RESOLUTION, _MIPIC_DPI_RESOLUTION)
3230bf14
JN
10663#define VERTICAL_ADDRESS_SHIFT 16
10664#define VERTICAL_ADDRESS_MASK (0xffff << 16)
10665#define HORIZONTAL_ADDRESS_SHIFT 0
10666#define HORIZONTAL_ADDRESS_MASK 0xffff
10667
4ad83e94 10668#define _MIPIA_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb024)
e7d7cad0 10669#define _MIPIC_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb824)
f0f59a00 10670#define MIPI_DBI_FIFO_THROTTLE(port) _MMIO_MIPI(port, _MIPIA_DBI_FIFO_THROTTLE, _MIPIC_DBI_FIFO_THROTTLE)
3230bf14
JN
10671#define DBI_FIFO_EMPTY_HALF (0 << 0)
10672#define DBI_FIFO_EMPTY_QUARTER (1 << 0)
10673#define DBI_FIFO_EMPTY_7_LOCATIONS (2 << 0)
10674
10675/* regs below are bits 15:0 */
4ad83e94 10676#define _MIPIA_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb028)
e7d7cad0 10677#define _MIPIC_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb828)
f0f59a00 10678#define MIPI_HSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_HSYNC_PADDING_COUNT, _MIPIC_HSYNC_PADDING_COUNT)
3230bf14 10679
4ad83e94 10680#define _MIPIA_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb02c)
e7d7cad0 10681#define _MIPIC_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb82c)
f0f59a00 10682#define MIPI_HBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HBP_COUNT, _MIPIC_HBP_COUNT)
3230bf14 10683
4ad83e94 10684#define _MIPIA_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb030)
e7d7cad0 10685#define _MIPIC_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb830)
f0f59a00 10686#define MIPI_HFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HFP_COUNT, _MIPIC_HFP_COUNT)
3230bf14 10687
4ad83e94 10688#define _MIPIA_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb034)
e7d7cad0 10689#define _MIPIC_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb834)
f0f59a00 10690#define MIPI_HACTIVE_AREA_COUNT(port) _MMIO_MIPI(port, _MIPIA_HACTIVE_AREA_COUNT, _MIPIC_HACTIVE_AREA_COUNT)
3230bf14 10691
4ad83e94 10692#define _MIPIA_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb038)
e7d7cad0 10693#define _MIPIC_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb838)
f0f59a00 10694#define MIPI_VSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_VSYNC_PADDING_COUNT, _MIPIC_VSYNC_PADDING_COUNT)
3230bf14 10695
4ad83e94 10696#define _MIPIA_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb03c)
e7d7cad0 10697#define _MIPIC_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb83c)
f0f59a00 10698#define MIPI_VBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VBP_COUNT, _MIPIC_VBP_COUNT)
3230bf14 10699
4ad83e94 10700#define _MIPIA_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb040)
e7d7cad0 10701#define _MIPIC_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb840)
f0f59a00 10702#define MIPI_VFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VFP_COUNT, _MIPIC_VFP_COUNT)
3230bf14 10703
4ad83e94 10704#define _MIPIA_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb044)
e7d7cad0 10705#define _MIPIC_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb844)
f0f59a00 10706#define MIPI_HIGH_LOW_SWITCH_COUNT(port) _MMIO_MIPI(port, _MIPIA_HIGH_LOW_SWITCH_COUNT, _MIPIC_HIGH_LOW_SWITCH_COUNT)
4ad83e94 10707
3230bf14
JN
10708/* regs above are bits 15:0 */
10709
4ad83e94 10710#define _MIPIA_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb048)
e7d7cad0 10711#define _MIPIC_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb848)
f0f59a00 10712#define MIPI_DPI_CONTROL(port) _MMIO_MIPI(port, _MIPIA_DPI_CONTROL, _MIPIC_DPI_CONTROL)
3230bf14
JN
10713#define DPI_LP_MODE (1 << 6)
10714#define BACKLIGHT_OFF (1 << 5)
10715#define BACKLIGHT_ON (1 << 4)
10716#define COLOR_MODE_OFF (1 << 3)
10717#define COLOR_MODE_ON (1 << 2)
10718#define TURN_ON (1 << 1)
10719#define SHUTDOWN (1 << 0)
10720
4ad83e94 10721#define _MIPIA_DPI_DATA (dev_priv->mipi_mmio_base + 0xb04c)
e7d7cad0 10722#define _MIPIC_DPI_DATA (dev_priv->mipi_mmio_base + 0xb84c)
f0f59a00 10723#define MIPI_DPI_DATA(port) _MMIO_MIPI(port, _MIPIA_DPI_DATA, _MIPIC_DPI_DATA)
3230bf14
JN
10724#define COMMAND_BYTE_SHIFT 0
10725#define COMMAND_BYTE_MASK (0x3f << 0)
10726
4ad83e94 10727#define _MIPIA_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb050)
e7d7cad0 10728#define _MIPIC_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb850)
f0f59a00 10729#define MIPI_INIT_COUNT(port) _MMIO_MIPI(port, _MIPIA_INIT_COUNT, _MIPIC_INIT_COUNT)
3230bf14
JN
10730#define MASTER_INIT_TIMER_SHIFT 0
10731#define MASTER_INIT_TIMER_MASK (0xffff << 0)
10732
4ad83e94 10733#define _MIPIA_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb054)
e7d7cad0 10734#define _MIPIC_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb854)
f0f59a00 10735#define MIPI_MAX_RETURN_PKT_SIZE(port) _MMIO_MIPI(port, \
e7d7cad0 10736 _MIPIA_MAX_RETURN_PKT_SIZE, _MIPIC_MAX_RETURN_PKT_SIZE)
3230bf14
JN
10737#define MAX_RETURN_PKT_SIZE_SHIFT 0
10738#define MAX_RETURN_PKT_SIZE_MASK (0x3ff << 0)
10739
4ad83e94 10740#define _MIPIA_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb058)
e7d7cad0 10741#define _MIPIC_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb858)
f0f59a00 10742#define MIPI_VIDEO_MODE_FORMAT(port) _MMIO_MIPI(port, _MIPIA_VIDEO_MODE_FORMAT, _MIPIC_VIDEO_MODE_FORMAT)
3230bf14
JN
10743#define RANDOM_DPI_DISPLAY_RESOLUTION (1 << 4)
10744#define DISABLE_VIDEO_BTA (1 << 3)
10745#define IP_TG_CONFIG (1 << 2)
10746#define VIDEO_MODE_NON_BURST_WITH_SYNC_PULSE (1 << 0)
10747#define VIDEO_MODE_NON_BURST_WITH_SYNC_EVENTS (2 << 0)
10748#define VIDEO_MODE_BURST (3 << 0)
10749
4ad83e94 10750#define _MIPIA_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb05c)
e7d7cad0 10751#define _MIPIC_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb85c)
f0f59a00 10752#define MIPI_EOT_DISABLE(port) _MMIO_MIPI(port, _MIPIA_EOT_DISABLE, _MIPIC_EOT_DISABLE)
f90e8c36
JN
10753#define BXT_DEFEATURE_DPI_FIFO_CTR (1 << 9)
10754#define BXT_DPHY_DEFEATURE_EN (1 << 8)
3230bf14
JN
10755#define LP_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 7)
10756#define HS_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 6)
10757#define LOW_CONTENTION_RECOVERY_DISABLE (1 << 5)
10758#define HIGH_CONTENTION_RECOVERY_DISABLE (1 << 4)
10759#define TXDSI_TYPE_NOT_RECOGNISED_ERROR_RECOVERY_DISABLE (1 << 3)
10760#define TXECC_MULTIBIT_ERROR_RECOVERY_DISABLE (1 << 2)
10761#define CLOCKSTOP (1 << 1)
10762#define EOT_DISABLE (1 << 0)
10763
4ad83e94 10764#define _MIPIA_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb060)
e7d7cad0 10765#define _MIPIC_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb860)
f0f59a00 10766#define MIPI_LP_BYTECLK(port) _MMIO_MIPI(port, _MIPIA_LP_BYTECLK, _MIPIC_LP_BYTECLK)
3230bf14
JN
10767#define LP_BYTECLK_SHIFT 0
10768#define LP_BYTECLK_MASK (0xffff << 0)
10769
b426f985
D
10770#define _MIPIA_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb0a4)
10771#define _MIPIC_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb8a4)
10772#define MIPI_TLPX_TIME_COUNT(port) _MMIO_MIPI(port, _MIPIA_TLPX_TIME_COUNT, _MIPIC_TLPX_TIME_COUNT)
10773
10774#define _MIPIA_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb098)
10775#define _MIPIC_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb898)
10776#define MIPI_CLK_LANE_TIMING(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_TIMING, _MIPIC_CLK_LANE_TIMING)
10777
3230bf14 10778/* bits 31:0 */
4ad83e94 10779#define _MIPIA_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb064)
e7d7cad0 10780#define _MIPIC_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb864)
f0f59a00 10781#define MIPI_LP_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_DATA, _MIPIC_LP_GEN_DATA)
3230bf14
JN
10782
10783/* bits 31:0 */
4ad83e94 10784#define _MIPIA_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb068)
e7d7cad0 10785#define _MIPIC_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb868)
f0f59a00 10786#define MIPI_HS_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_DATA, _MIPIC_HS_GEN_DATA)
3230bf14 10787
4ad83e94 10788#define _MIPIA_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb06c)
e7d7cad0 10789#define _MIPIC_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb86c)
f0f59a00 10790#define MIPI_LP_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_CTRL, _MIPIC_LP_GEN_CTRL)
4ad83e94 10791#define _MIPIA_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb070)
e7d7cad0 10792#define _MIPIC_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb870)
f0f59a00 10793#define MIPI_HS_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_CTRL, _MIPIC_HS_GEN_CTRL)
3230bf14
JN
10794#define LONG_PACKET_WORD_COUNT_SHIFT 8
10795#define LONG_PACKET_WORD_COUNT_MASK (0xffff << 8)
10796#define SHORT_PACKET_PARAM_SHIFT 8
10797#define SHORT_PACKET_PARAM_MASK (0xffff << 8)
10798#define VIRTUAL_CHANNEL_SHIFT 6
10799#define VIRTUAL_CHANNEL_MASK (3 << 6)
10800#define DATA_TYPE_SHIFT 0
395b2913 10801#define DATA_TYPE_MASK (0x3f << 0)
3230bf14
JN
10802/* data type values, see include/video/mipi_display.h */
10803
4ad83e94 10804#define _MIPIA_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb074)
e7d7cad0 10805#define _MIPIC_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb874)
f0f59a00 10806#define MIPI_GEN_FIFO_STAT(port) _MMIO_MIPI(port, _MIPIA_GEN_FIFO_STAT, _MIPIC_GEN_FIFO_STAT)
3230bf14
JN
10807#define DPI_FIFO_EMPTY (1 << 28)
10808#define DBI_FIFO_EMPTY (1 << 27)
10809#define LP_CTRL_FIFO_EMPTY (1 << 26)
10810#define LP_CTRL_FIFO_HALF_EMPTY (1 << 25)
10811#define LP_CTRL_FIFO_FULL (1 << 24)
10812#define HS_CTRL_FIFO_EMPTY (1 << 18)
10813#define HS_CTRL_FIFO_HALF_EMPTY (1 << 17)
10814#define HS_CTRL_FIFO_FULL (1 << 16)
10815#define LP_DATA_FIFO_EMPTY (1 << 10)
10816#define LP_DATA_FIFO_HALF_EMPTY (1 << 9)
10817#define LP_DATA_FIFO_FULL (1 << 8)
10818#define HS_DATA_FIFO_EMPTY (1 << 2)
10819#define HS_DATA_FIFO_HALF_EMPTY (1 << 1)
10820#define HS_DATA_FIFO_FULL (1 << 0)
10821
4ad83e94 10822#define _MIPIA_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb078)
e7d7cad0 10823#define _MIPIC_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb878)
f0f59a00 10824#define MIPI_HS_LP_DBI_ENABLE(port) _MMIO_MIPI(port, _MIPIA_HS_LS_DBI_ENABLE, _MIPIC_HS_LS_DBI_ENABLE)
3230bf14
JN
10825#define DBI_HS_LP_MODE_MASK (1 << 0)
10826#define DBI_LP_MODE (1 << 0)
10827#define DBI_HS_MODE (0 << 0)
10828
4ad83e94 10829#define _MIPIA_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb080)
e7d7cad0 10830#define _MIPIC_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb880)
f0f59a00 10831#define MIPI_DPHY_PARAM(port) _MMIO_MIPI(port, _MIPIA_DPHY_PARAM, _MIPIC_DPHY_PARAM)
3230bf14
JN
10832#define EXIT_ZERO_COUNT_SHIFT 24
10833#define EXIT_ZERO_COUNT_MASK (0x3f << 24)
10834#define TRAIL_COUNT_SHIFT 16
10835#define TRAIL_COUNT_MASK (0x1f << 16)
10836#define CLK_ZERO_COUNT_SHIFT 8
10837#define CLK_ZERO_COUNT_MASK (0xff << 8)
10838#define PREPARE_COUNT_SHIFT 0
10839#define PREPARE_COUNT_MASK (0x3f << 0)
10840
146cdf3f
MC
10841#define _ICL_DSI_T_INIT_MASTER_0 0x6b088
10842#define _ICL_DSI_T_INIT_MASTER_1 0x6b888
10843#define ICL_DSI_T_INIT_MASTER(port) _MMIO_PORT(port, \
10844 _ICL_DSI_T_INIT_MASTER_0,\
10845 _ICL_DSI_T_INIT_MASTER_1)
10846
33868a91
MC
10847#define _DPHY_CLK_TIMING_PARAM_0 0x162180
10848#define _DPHY_CLK_TIMING_PARAM_1 0x6c180
10849#define DPHY_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10850 _DPHY_CLK_TIMING_PARAM_0,\
10851 _DPHY_CLK_TIMING_PARAM_1)
10852#define _DSI_CLK_TIMING_PARAM_0 0x6b080
10853#define _DSI_CLK_TIMING_PARAM_1 0x6b880
10854#define DSI_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10855 _DSI_CLK_TIMING_PARAM_0,\
10856 _DSI_CLK_TIMING_PARAM_1)
10857#define CLK_PREPARE_OVERRIDE (1 << 31)
10858#define CLK_PREPARE(x) ((x) << 28)
10859#define CLK_PREPARE_MASK (0x7 << 28)
10860#define CLK_PREPARE_SHIFT 28
10861#define CLK_ZERO_OVERRIDE (1 << 27)
10862#define CLK_ZERO(x) ((x) << 20)
10863#define CLK_ZERO_MASK (0xf << 20)
10864#define CLK_ZERO_SHIFT 20
10865#define CLK_PRE_OVERRIDE (1 << 19)
10866#define CLK_PRE(x) ((x) << 16)
10867#define CLK_PRE_MASK (0x3 << 16)
10868#define CLK_PRE_SHIFT 16
10869#define CLK_POST_OVERRIDE (1 << 15)
10870#define CLK_POST(x) ((x) << 8)
10871#define CLK_POST_MASK (0x7 << 8)
10872#define CLK_POST_SHIFT 8
10873#define CLK_TRAIL_OVERRIDE (1 << 7)
10874#define CLK_TRAIL(x) ((x) << 0)
10875#define CLK_TRAIL_MASK (0xf << 0)
10876#define CLK_TRAIL_SHIFT 0
10877
10878#define _DPHY_DATA_TIMING_PARAM_0 0x162184
10879#define _DPHY_DATA_TIMING_PARAM_1 0x6c184
10880#define DPHY_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
10881 _DPHY_DATA_TIMING_PARAM_0,\
10882 _DPHY_DATA_TIMING_PARAM_1)
10883#define _DSI_DATA_TIMING_PARAM_0 0x6B084
10884#define _DSI_DATA_TIMING_PARAM_1 0x6B884
10885#define DSI_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
10886 _DSI_DATA_TIMING_PARAM_0,\
10887 _DSI_DATA_TIMING_PARAM_1)
10888#define HS_PREPARE_OVERRIDE (1 << 31)
10889#define HS_PREPARE(x) ((x) << 24)
10890#define HS_PREPARE_MASK (0x7 << 24)
10891#define HS_PREPARE_SHIFT 24
10892#define HS_ZERO_OVERRIDE (1 << 23)
10893#define HS_ZERO(x) ((x) << 16)
10894#define HS_ZERO_MASK (0xf << 16)
10895#define HS_ZERO_SHIFT 16
10896#define HS_TRAIL_OVERRIDE (1 << 15)
10897#define HS_TRAIL(x) ((x) << 8)
10898#define HS_TRAIL_MASK (0x7 << 8)
10899#define HS_TRAIL_SHIFT 8
10900#define HS_EXIT_OVERRIDE (1 << 7)
10901#define HS_EXIT(x) ((x) << 0)
10902#define HS_EXIT_MASK (0x7 << 0)
10903#define HS_EXIT_SHIFT 0
10904
35c37ade
MC
10905#define _DPHY_TA_TIMING_PARAM_0 0x162188
10906#define _DPHY_TA_TIMING_PARAM_1 0x6c188
10907#define DPHY_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
10908 _DPHY_TA_TIMING_PARAM_0,\
10909 _DPHY_TA_TIMING_PARAM_1)
10910#define _DSI_TA_TIMING_PARAM_0 0x6b098
10911#define _DSI_TA_TIMING_PARAM_1 0x6b898
10912#define DSI_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
10913 _DSI_TA_TIMING_PARAM_0,\
10914 _DSI_TA_TIMING_PARAM_1)
10915#define TA_SURE_OVERRIDE (1 << 31)
10916#define TA_SURE(x) ((x) << 16)
10917#define TA_SURE_MASK (0x1f << 16)
10918#define TA_SURE_SHIFT 16
10919#define TA_GO_OVERRIDE (1 << 15)
10920#define TA_GO(x) ((x) << 8)
10921#define TA_GO_MASK (0xf << 8)
10922#define TA_GO_SHIFT 8
10923#define TA_GET_OVERRIDE (1 << 7)
10924#define TA_GET(x) ((x) << 0)
10925#define TA_GET_MASK (0xf << 0)
10926#define TA_GET_SHIFT 0
10927
5ffce254
MC
10928/* DSI transcoder configuration */
10929#define _DSI_TRANS_FUNC_CONF_0 0x6b030
10930#define _DSI_TRANS_FUNC_CONF_1 0x6b830
10931#define DSI_TRANS_FUNC_CONF(tc) _MMIO_DSI(tc, \
10932 _DSI_TRANS_FUNC_CONF_0,\
10933 _DSI_TRANS_FUNC_CONF_1)
10934#define OP_MODE_MASK (0x3 << 28)
10935#define OP_MODE_SHIFT 28
10936#define CMD_MODE_NO_GATE (0x0 << 28)
10937#define CMD_MODE_TE_GATE (0x1 << 28)
10938#define VIDEO_MODE_SYNC_EVENT (0x2 << 28)
10939#define VIDEO_MODE_SYNC_PULSE (0x3 << 28)
10940#define LINK_READY (1 << 20)
10941#define PIX_FMT_MASK (0x3 << 16)
10942#define PIX_FMT_SHIFT 16
10943#define PIX_FMT_RGB565 (0x0 << 16)
10944#define PIX_FMT_RGB666_PACKED (0x1 << 16)
10945#define PIX_FMT_RGB666_LOOSE (0x2 << 16)
10946#define PIX_FMT_RGB888 (0x3 << 16)
10947#define PIX_FMT_RGB101010 (0x4 << 16)
10948#define PIX_FMT_RGB121212 (0x5 << 16)
10949#define PIX_FMT_COMPRESSED (0x6 << 16)
10950#define BGR_TRANSMISSION (1 << 15)
10951#define PIX_VIRT_CHAN(x) ((x) << 12)
10952#define PIX_VIRT_CHAN_MASK (0x3 << 12)
10953#define PIX_VIRT_CHAN_SHIFT 12
10954#define PIX_BUF_THRESHOLD_MASK (0x3 << 10)
10955#define PIX_BUF_THRESHOLD_SHIFT 10
10956#define PIX_BUF_THRESHOLD_1_4 (0x0 << 10)
10957#define PIX_BUF_THRESHOLD_1_2 (0x1 << 10)
10958#define PIX_BUF_THRESHOLD_3_4 (0x2 << 10)
10959#define PIX_BUF_THRESHOLD_FULL (0x3 << 10)
10960#define CONTINUOUS_CLK_MASK (0x3 << 8)
10961#define CONTINUOUS_CLK_SHIFT 8
10962#define CLK_ENTER_LP_AFTER_DATA (0x0 << 8)
10963#define CLK_HS_OR_LP (0x2 << 8)
10964#define CLK_HS_CONTINUOUS (0x3 << 8)
10965#define LINK_CALIBRATION_MASK (0x3 << 4)
10966#define LINK_CALIBRATION_SHIFT 4
10967#define CALIBRATION_DISABLED (0x0 << 4)
10968#define CALIBRATION_ENABLED_INITIAL_ONLY (0x2 << 4)
10969#define CALIBRATION_ENABLED_INITIAL_PERIODIC (0x3 << 4)
32d38e6c 10970#define BLANKING_PACKET_ENABLE (1 << 2)
5ffce254
MC
10971#define S3D_ORIENTATION_LANDSCAPE (1 << 1)
10972#define EOTP_DISABLED (1 << 0)
10973
60230aac
MC
10974#define _DSI_CMD_RXCTL_0 0x6b0d4
10975#define _DSI_CMD_RXCTL_1 0x6b8d4
10976#define DSI_CMD_RXCTL(tc) _MMIO_DSI(tc, \
10977 _DSI_CMD_RXCTL_0,\
10978 _DSI_CMD_RXCTL_1)
10979#define READ_UNLOADS_DW (1 << 16)
10980#define RECEIVED_UNASSIGNED_TRIGGER (1 << 15)
10981#define RECEIVED_ACKNOWLEDGE_TRIGGER (1 << 14)
10982#define RECEIVED_TEAR_EFFECT_TRIGGER (1 << 13)
10983#define RECEIVED_RESET_TRIGGER (1 << 12)
10984#define RECEIVED_PAYLOAD_WAS_LOST (1 << 11)
10985#define RECEIVED_CRC_WAS_LOST (1 << 10)
10986#define NUMBER_RX_PLOAD_DW_MASK (0xff << 0)
10987#define NUMBER_RX_PLOAD_DW_SHIFT 0
10988
10989#define _DSI_CMD_TXCTL_0 0x6b0d0
10990#define _DSI_CMD_TXCTL_1 0x6b8d0
10991#define DSI_CMD_TXCTL(tc) _MMIO_DSI(tc, \
10992 _DSI_CMD_TXCTL_0,\
10993 _DSI_CMD_TXCTL_1)
10994#define KEEP_LINK_IN_HS (1 << 24)
10995#define FREE_HEADER_CREDIT_MASK (0x1f << 8)
10996#define FREE_HEADER_CREDIT_SHIFT 0x8
10997#define FREE_PLOAD_CREDIT_MASK (0xff << 0)
10998#define FREE_PLOAD_CREDIT_SHIFT 0
10999#define MAX_HEADER_CREDIT 0x10
11000#define MAX_PLOAD_CREDIT 0x40
11001
808517e2
MC
11002#define _DSI_CMD_TXHDR_0 0x6b100
11003#define _DSI_CMD_TXHDR_1 0x6b900
11004#define DSI_CMD_TXHDR(tc) _MMIO_DSI(tc, \
11005 _DSI_CMD_TXHDR_0,\
11006 _DSI_CMD_TXHDR_1)
11007#define PAYLOAD_PRESENT (1 << 31)
11008#define LP_DATA_TRANSFER (1 << 30)
11009#define VBLANK_FENCE (1 << 29)
11010#define PARAM_WC_MASK (0xffff << 8)
11011#define PARAM_WC_LOWER_SHIFT 8
11012#define PARAM_WC_UPPER_SHIFT 16
11013#define VC_MASK (0x3 << 6)
11014#define VC_SHIFT 6
11015#define DT_MASK (0x3f << 0)
11016#define DT_SHIFT 0
11017
11018#define _DSI_CMD_TXPYLD_0 0x6b104
11019#define _DSI_CMD_TXPYLD_1 0x6b904
11020#define DSI_CMD_TXPYLD(tc) _MMIO_DSI(tc, \
11021 _DSI_CMD_TXPYLD_0,\
11022 _DSI_CMD_TXPYLD_1)
11023
60230aac
MC
11024#define _DSI_LP_MSG_0 0x6b0d8
11025#define _DSI_LP_MSG_1 0x6b8d8
11026#define DSI_LP_MSG(tc) _MMIO_DSI(tc, \
11027 _DSI_LP_MSG_0,\
11028 _DSI_LP_MSG_1)
11029#define LPTX_IN_PROGRESS (1 << 17)
11030#define LINK_IN_ULPS (1 << 16)
11031#define LINK_ULPS_TYPE_LP11 (1 << 8)
11032#define LINK_ENTER_ULPS (1 << 0)
11033
8bffd204
MC
11034/* DSI timeout registers */
11035#define _DSI_HSTX_TO_0 0x6b044
11036#define _DSI_HSTX_TO_1 0x6b844
11037#define DSI_HSTX_TO(tc) _MMIO_DSI(tc, \
11038 _DSI_HSTX_TO_0,\
11039 _DSI_HSTX_TO_1)
11040#define HSTX_TIMEOUT_VALUE_MASK (0xffff << 16)
11041#define HSTX_TIMEOUT_VALUE_SHIFT 16
11042#define HSTX_TIMEOUT_VALUE(x) ((x) << 16)
11043#define HSTX_TIMED_OUT (1 << 0)
11044
11045#define _DSI_LPRX_HOST_TO_0 0x6b048
11046#define _DSI_LPRX_HOST_TO_1 0x6b848
11047#define DSI_LPRX_HOST_TO(tc) _MMIO_DSI(tc, \
11048 _DSI_LPRX_HOST_TO_0,\
11049 _DSI_LPRX_HOST_TO_1)
11050#define LPRX_TIMED_OUT (1 << 16)
11051#define LPRX_TIMEOUT_VALUE_MASK (0xffff << 0)
11052#define LPRX_TIMEOUT_VALUE_SHIFT 0
11053#define LPRX_TIMEOUT_VALUE(x) ((x) << 0)
11054
11055#define _DSI_PWAIT_TO_0 0x6b040
11056#define _DSI_PWAIT_TO_1 0x6b840
11057#define DSI_PWAIT_TO(tc) _MMIO_DSI(tc, \
11058 _DSI_PWAIT_TO_0,\
11059 _DSI_PWAIT_TO_1)
11060#define PRESET_TIMEOUT_VALUE_MASK (0xffff << 16)
11061#define PRESET_TIMEOUT_VALUE_SHIFT 16
11062#define PRESET_TIMEOUT_VALUE(x) ((x) << 16)
11063#define PRESPONSE_TIMEOUT_VALUE_MASK (0xffff << 0)
11064#define PRESPONSE_TIMEOUT_VALUE_SHIFT 0
11065#define PRESPONSE_TIMEOUT_VALUE(x) ((x) << 0)
11066
11067#define _DSI_TA_TO_0 0x6b04c
11068#define _DSI_TA_TO_1 0x6b84c
11069#define DSI_TA_TO(tc) _MMIO_DSI(tc, \
11070 _DSI_TA_TO_0,\
11071 _DSI_TA_TO_1)
11072#define TA_TIMED_OUT (1 << 16)
11073#define TA_TIMEOUT_VALUE_MASK (0xffff << 0)
11074#define TA_TIMEOUT_VALUE_SHIFT 0
11075#define TA_TIMEOUT_VALUE(x) ((x) << 0)
11076
3230bf14 11077/* bits 31:0 */
4ad83e94 11078#define _MIPIA_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb084)
e7d7cad0 11079#define _MIPIC_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb884)
f0f59a00
VS
11080#define MIPI_DBI_BW_CTRL(port) _MMIO_MIPI(port, _MIPIA_DBI_BW_CTRL, _MIPIC_DBI_BW_CTRL)
11081
11082#define _MIPIA_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb088)
11083#define _MIPIC_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb888)
11084#define MIPI_CLK_LANE_SWITCH_TIME_CNT(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_SWITCH_TIME_CNT, _MIPIC_CLK_LANE_SWITCH_TIME_CNT)
3230bf14
JN
11085#define LP_HS_SSW_CNT_SHIFT 16
11086#define LP_HS_SSW_CNT_MASK (0xffff << 16)
11087#define HS_LP_PWR_SW_CNT_SHIFT 0
11088#define HS_LP_PWR_SW_CNT_MASK (0xffff << 0)
11089
4ad83e94 11090#define _MIPIA_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb08c)
e7d7cad0 11091#define _MIPIC_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb88c)
f0f59a00 11092#define MIPI_STOP_STATE_STALL(port) _MMIO_MIPI(port, _MIPIA_STOP_STATE_STALL, _MIPIC_STOP_STATE_STALL)
3230bf14
JN
11093#define STOP_STATE_STALL_COUNTER_SHIFT 0
11094#define STOP_STATE_STALL_COUNTER_MASK (0xff << 0)
11095
4ad83e94 11096#define _MIPIA_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb090)
e7d7cad0 11097#define _MIPIC_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb890)
f0f59a00 11098#define MIPI_INTR_STAT_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT_REG_1, _MIPIC_INTR_STAT_REG_1)
4ad83e94 11099#define _MIPIA_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb094)
e7d7cad0 11100#define _MIPIC_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb894)
f0f59a00 11101#define MIPI_INTR_EN_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_EN_REG_1, _MIPIC_INTR_EN_REG_1)
3230bf14
JN
11102#define RX_CONTENTION_DETECTED (1 << 0)
11103
11104/* XXX: only pipe A ?!? */
4ad83e94 11105#define MIPIA_DBI_TYPEC_CTRL (dev_priv->mipi_mmio_base + 0xb100)
3230bf14
JN
11106#define DBI_TYPEC_ENABLE (1 << 31)
11107#define DBI_TYPEC_WIP (1 << 30)
11108#define DBI_TYPEC_OPTION_SHIFT 28
11109#define DBI_TYPEC_OPTION_MASK (3 << 28)
11110#define DBI_TYPEC_FREQ_SHIFT 24
11111#define DBI_TYPEC_FREQ_MASK (0xf << 24)
11112#define DBI_TYPEC_OVERRIDE (1 << 8)
11113#define DBI_TYPEC_OVERRIDE_COUNTER_SHIFT 0
11114#define DBI_TYPEC_OVERRIDE_COUNTER_MASK (0xff << 0)
11115
11116
11117/* MIPI adapter registers */
11118
4ad83e94 11119#define _MIPIA_CTRL (dev_priv->mipi_mmio_base + 0xb104)
e7d7cad0 11120#define _MIPIC_CTRL (dev_priv->mipi_mmio_base + 0xb904)
f0f59a00 11121#define MIPI_CTRL(port) _MMIO_MIPI(port, _MIPIA_CTRL, _MIPIC_CTRL)
3230bf14
JN
11122#define ESCAPE_CLOCK_DIVIDER_SHIFT 5 /* A only */
11123#define ESCAPE_CLOCK_DIVIDER_MASK (3 << 5)
11124#define ESCAPE_CLOCK_DIVIDER_1 (0 << 5)
11125#define ESCAPE_CLOCK_DIVIDER_2 (1 << 5)
11126#define ESCAPE_CLOCK_DIVIDER_4 (2 << 5)
11127#define READ_REQUEST_PRIORITY_SHIFT 3
11128#define READ_REQUEST_PRIORITY_MASK (3 << 3)
11129#define READ_REQUEST_PRIORITY_LOW (0 << 3)
11130#define READ_REQUEST_PRIORITY_HIGH (3 << 3)
11131#define RGB_FLIP_TO_BGR (1 << 2)
11132
6b93e9c8 11133#define BXT_PIPE_SELECT_SHIFT 7
d2e08c0f 11134#define BXT_PIPE_SELECT_MASK (7 << 7)
56c48978 11135#define BXT_PIPE_SELECT(pipe) ((pipe) << 7)
093d680a
D
11136#define GLK_PHY_STATUS_PORT_READY (1 << 31) /* RO */
11137#define GLK_ULPS_NOT_ACTIVE (1 << 30) /* RO */
11138#define GLK_MIPIIO_RESET_RELEASED (1 << 28)
11139#define GLK_CLOCK_LANE_STOP_STATE (1 << 27) /* RO */
11140#define GLK_DATA_LANE_STOP_STATE (1 << 26) /* RO */
11141#define GLK_LP_WAKE (1 << 22)
11142#define GLK_LP11_LOW_PWR_MODE (1 << 21)
11143#define GLK_LP00_LOW_PWR_MODE (1 << 20)
11144#define GLK_FIREWALL_ENABLE (1 << 16)
11145#define BXT_PIXEL_OVERLAP_CNT_MASK (0xf << 10)
11146#define BXT_PIXEL_OVERLAP_CNT_SHIFT 10
11147#define BXT_DSC_ENABLE (1 << 3)
11148#define BXT_RGB_FLIP (1 << 2)
11149#define GLK_MIPIIO_PORT_POWERED (1 << 1) /* RO */
11150#define GLK_MIPIIO_ENABLE (1 << 0)
d2e08c0f 11151
4ad83e94 11152#define _MIPIA_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb108)
e7d7cad0 11153#define _MIPIC_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb908)
f0f59a00 11154#define MIPI_DATA_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_DATA_ADDRESS, _MIPIC_DATA_ADDRESS)
3230bf14
JN
11155#define DATA_MEM_ADDRESS_SHIFT 5
11156#define DATA_MEM_ADDRESS_MASK (0x7ffffff << 5)
11157#define DATA_VALID (1 << 0)
11158
4ad83e94 11159#define _MIPIA_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb10c)
e7d7cad0 11160#define _MIPIC_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb90c)
f0f59a00 11161#define MIPI_DATA_LENGTH(port) _MMIO_MIPI(port, _MIPIA_DATA_LENGTH, _MIPIC_DATA_LENGTH)
3230bf14
JN
11162#define DATA_LENGTH_SHIFT 0
11163#define DATA_LENGTH_MASK (0xfffff << 0)
11164
4ad83e94 11165#define _MIPIA_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb110)
e7d7cad0 11166#define _MIPIC_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb910)
f0f59a00 11167#define MIPI_COMMAND_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_COMMAND_ADDRESS, _MIPIC_COMMAND_ADDRESS)
3230bf14
JN
11168#define COMMAND_MEM_ADDRESS_SHIFT 5
11169#define COMMAND_MEM_ADDRESS_MASK (0x7ffffff << 5)
11170#define AUTO_PWG_ENABLE (1 << 2)
11171#define MEMORY_WRITE_DATA_FROM_PIPE_RENDERING (1 << 1)
11172#define COMMAND_VALID (1 << 0)
11173
4ad83e94 11174#define _MIPIA_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb114)
e7d7cad0 11175#define _MIPIC_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb914)
f0f59a00 11176#define MIPI_COMMAND_LENGTH(port) _MMIO_MIPI(port, _MIPIA_COMMAND_LENGTH, _MIPIC_COMMAND_LENGTH)
3230bf14
JN
11177#define COMMAND_LENGTH_SHIFT(n) (8 * (n)) /* n: 0...3 */
11178#define COMMAND_LENGTH_MASK(n) (0xff << (8 * (n)))
11179
4ad83e94 11180#define _MIPIA_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb118)
e7d7cad0 11181#define _MIPIC_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb918)
f0f59a00 11182#define MIPI_READ_DATA_RETURN(port, n) _MMIO(_MIPI(port, _MIPIA_READ_DATA_RETURN0, _MIPIC_READ_DATA_RETURN0) + 4 * (n)) /* n: 0...7 */
3230bf14 11183
4ad83e94 11184#define _MIPIA_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb138)
e7d7cad0 11185#define _MIPIC_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb938)
f0f59a00 11186#define MIPI_READ_DATA_VALID(port) _MMIO_MIPI(port, _MIPIA_READ_DATA_VALID, _MIPIC_READ_DATA_VALID)
3230bf14
JN
11187#define READ_DATA_VALID(n) (1 << (n))
11188
3bbaba0c 11189/* MOCS (Memory Object Control State) registers */
f0f59a00 11190#define GEN9_LNCFCMOCS(i) _MMIO(0xb020 + (i) * 4) /* L3 Cache Control */
3bbaba0c 11191
f0f59a00
VS
11192#define GEN9_GFX_MOCS(i) _MMIO(0xc800 + (i) * 4) /* Graphics MOCS registers */
11193#define GEN9_MFX0_MOCS(i) _MMIO(0xc900 + (i) * 4) /* Media 0 MOCS registers */
11194#define GEN9_MFX1_MOCS(i) _MMIO(0xca00 + (i) * 4) /* Media 1 MOCS registers */
11195#define GEN9_VEBOX_MOCS(i) _MMIO(0xcb00 + (i) * 4) /* Video MOCS registers */
11196#define GEN9_BLT_MOCS(i) _MMIO(0xcc00 + (i) * 4) /* Blitter MOCS registers */
74ba22ea
TL
11197/* Media decoder 2 MOCS registers */
11198#define GEN11_MFX2_MOCS(i) _MMIO(0x10000 + (i) * 4)
3bbaba0c 11199
73f4e8a3
OM
11200#define GEN10_SCRATCH_LNCF2 _MMIO(0xb0a0)
11201#define PMFLUSHDONE_LNICRSDROP (1 << 20)
11202#define PMFLUSH_GAPL3UNBLOCK (1 << 21)
11203#define PMFLUSHDONE_LNEBLK (1 << 22)
11204
a7a7a0e6
MT
11205#define GEN12_GLOBAL_MOCS(i) _MMIO(0x4000 + (i) * 4) /* Global MOCS regs */
11206
d5165ebd
TG
11207/* gamt regs */
11208#define GEN8_L3_LRA_1_GPGPU _MMIO(0x4dd4)
11209#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_BDW 0x67F1427F /* max/min for LRA1/2 */
11210#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_CHV 0x5FF101FF /* max/min for LRA1/2 */
11211#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_SKL 0x67F1427F /* " " */
11212#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_BXT 0x5FF101FF /* " " */
11213
93564044
VS
11214#define MMCD_MISC_CTRL _MMIO(0x4ddc) /* skl+ */
11215#define MMCD_PCLA (1 << 31)
11216#define MMCD_HOTSPOT_EN (1 << 27)
11217
ad186f3f
PZ
11218#define _ICL_PHY_MISC_A 0x64C00
11219#define _ICL_PHY_MISC_B 0x64C04
11220#define ICL_PHY_MISC(port) _MMIO_PORT(port, _ICL_PHY_MISC_A, \
11221 _ICL_PHY_MISC_B)
bdeb18db 11222#define ICL_PHY_MISC_MUX_DDID (1 << 28)
ad186f3f
PZ
11223#define ICL_PHY_MISC_DE_IO_COMP_PWR_DOWN (1 << 23)
11224
2efbb2f0 11225/* Icelake Display Stream Compression Registers */
6f15a7de
AS
11226#define DSCA_PICTURE_PARAMETER_SET_0 _MMIO(0x6B200)
11227#define DSCC_PICTURE_PARAMETER_SET_0 _MMIO(0x6BA00)
2efbb2f0
AS
11228#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB 0x78270
11229#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB 0x78370
11230#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC 0x78470
11231#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC 0x78570
11232#define ICL_DSC0_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11233 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB, \
11234 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC)
11235#define ICL_DSC1_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11236 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB, \
11237 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC)
11238#define DSC_VBR_ENABLE (1 << 19)
11239#define DSC_422_ENABLE (1 << 18)
11240#define DSC_COLOR_SPACE_CONVERSION (1 << 17)
11241#define DSC_BLOCK_PREDICTION (1 << 16)
11242#define DSC_LINE_BUF_DEPTH_SHIFT 12
11243#define DSC_BPC_SHIFT 8
11244#define DSC_VER_MIN_SHIFT 4
11245#define DSC_VER_MAJ (0x1 << 0)
11246
6f15a7de
AS
11247#define DSCA_PICTURE_PARAMETER_SET_1 _MMIO(0x6B204)
11248#define DSCC_PICTURE_PARAMETER_SET_1 _MMIO(0x6BA04)
2efbb2f0
AS
11249#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB 0x78274
11250#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB 0x78374
11251#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC 0x78474
11252#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC 0x78574
11253#define ICL_DSC0_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11254 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB, \
11255 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC)
11256#define ICL_DSC1_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11257 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB, \
11258 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC)
11259#define DSC_BPP(bpp) ((bpp) << 0)
11260
6f15a7de
AS
11261#define DSCA_PICTURE_PARAMETER_SET_2 _MMIO(0x6B208)
11262#define DSCC_PICTURE_PARAMETER_SET_2 _MMIO(0x6BA08)
2efbb2f0
AS
11263#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB 0x78278
11264#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB 0x78378
11265#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC 0x78478
11266#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC 0x78578
11267#define ICL_DSC0_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11268 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB, \
11269 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC)
11270#define ICL_DSC1_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11271 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB, \
11272 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC)
11273#define DSC_PIC_WIDTH(pic_width) ((pic_width) << 16)
11274#define DSC_PIC_HEIGHT(pic_height) ((pic_height) << 0)
11275
6f15a7de
AS
11276#define DSCA_PICTURE_PARAMETER_SET_3 _MMIO(0x6B20C)
11277#define DSCC_PICTURE_PARAMETER_SET_3 _MMIO(0x6BA0C)
2efbb2f0
AS
11278#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB 0x7827C
11279#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB 0x7837C
11280#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC 0x7847C
11281#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC 0x7857C
11282#define ICL_DSC0_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11283 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB, \
11284 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC)
11285#define ICL_DSC1_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11286 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB, \
11287 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC)
11288#define DSC_SLICE_WIDTH(slice_width) ((slice_width) << 16)
11289#define DSC_SLICE_HEIGHT(slice_height) ((slice_height) << 0)
11290
6f15a7de
AS
11291#define DSCA_PICTURE_PARAMETER_SET_4 _MMIO(0x6B210)
11292#define DSCC_PICTURE_PARAMETER_SET_4 _MMIO(0x6BA10)
2efbb2f0
AS
11293#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB 0x78280
11294#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB 0x78380
11295#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC 0x78480
11296#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC 0x78580
11297#define ICL_DSC0_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11298 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB, \
11299 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC)
11300#define ICL_DSC1_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11301 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB, \
2efbb2f0
AS
11302 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC)
11303#define DSC_INITIAL_DEC_DELAY(dec_delay) ((dec_delay) << 16)
11304#define DSC_INITIAL_XMIT_DELAY(xmit_delay) ((xmit_delay) << 0)
11305
6f15a7de
AS
11306#define DSCA_PICTURE_PARAMETER_SET_5 _MMIO(0x6B214)
11307#define DSCC_PICTURE_PARAMETER_SET_5 _MMIO(0x6BA14)
2efbb2f0
AS
11308#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB 0x78284
11309#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB 0x78384
11310#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC 0x78484
11311#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC 0x78584
11312#define ICL_DSC0_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11313 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB, \
11314 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC)
11315#define ICL_DSC1_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11316 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB, \
2efbb2f0 11317 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC)
6f15a7de 11318#define DSC_SCALE_DEC_INT(scale_dec) ((scale_dec) << 16)
2efbb2f0
AS
11319#define DSC_SCALE_INC_INT(scale_inc) ((scale_inc) << 0)
11320
6f15a7de
AS
11321#define DSCA_PICTURE_PARAMETER_SET_6 _MMIO(0x6B218)
11322#define DSCC_PICTURE_PARAMETER_SET_6 _MMIO(0x6BA18)
2efbb2f0
AS
11323#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB 0x78288
11324#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB 0x78388
11325#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC 0x78488
11326#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC 0x78588
11327#define ICL_DSC0_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11328 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB, \
11329 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC)
11330#define ICL_DSC1_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11331 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB, \
11332 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC)
6f15a7de
AS
11333#define DSC_FLATNESS_MAX_QP(max_qp) ((max_qp) << 24)
11334#define DSC_FLATNESS_MIN_QP(min_qp) ((min_qp) << 16)
2efbb2f0
AS
11335#define DSC_FIRST_LINE_BPG_OFFSET(offset) ((offset) << 8)
11336#define DSC_INITIAL_SCALE_VALUE(value) ((value) << 0)
11337
6f15a7de
AS
11338#define DSCA_PICTURE_PARAMETER_SET_7 _MMIO(0x6B21C)
11339#define DSCC_PICTURE_PARAMETER_SET_7 _MMIO(0x6BA1C)
2efbb2f0
AS
11340#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB 0x7828C
11341#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB 0x7838C
11342#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC 0x7848C
11343#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC 0x7858C
11344#define ICL_DSC0_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11345 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB, \
11346 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC)
11347#define ICL_DSC1_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11348 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB, \
11349 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC)
11350#define DSC_NFL_BPG_OFFSET(bpg_offset) ((bpg_offset) << 16)
11351#define DSC_SLICE_BPG_OFFSET(bpg_offset) ((bpg_offset) << 0)
11352
6f15a7de
AS
11353#define DSCA_PICTURE_PARAMETER_SET_8 _MMIO(0x6B220)
11354#define DSCC_PICTURE_PARAMETER_SET_8 _MMIO(0x6BA20)
2efbb2f0
AS
11355#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB 0x78290
11356#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB 0x78390
11357#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC 0x78490
11358#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC 0x78590
11359#define ICL_DSC0_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11360 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB, \
11361 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC)
11362#define ICL_DSC1_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11363 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB, \
11364 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC)
11365#define DSC_INITIAL_OFFSET(initial_offset) ((initial_offset) << 16)
11366#define DSC_FINAL_OFFSET(final_offset) ((final_offset) << 0)
11367
6f15a7de
AS
11368#define DSCA_PICTURE_PARAMETER_SET_9 _MMIO(0x6B224)
11369#define DSCC_PICTURE_PARAMETER_SET_9 _MMIO(0x6BA24)
2efbb2f0
AS
11370#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB 0x78294
11371#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB 0x78394
11372#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC 0x78494
11373#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC 0x78594
11374#define ICL_DSC0_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11375 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB, \
11376 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC)
11377#define ICL_DSC1_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11378 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB, \
11379 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC)
11380#define DSC_RC_EDGE_FACTOR(rc_edge_fact) ((rc_edge_fact) << 16)
11381#define DSC_RC_MODEL_SIZE(rc_model_size) ((rc_model_size) << 0)
11382
6f15a7de
AS
11383#define DSCA_PICTURE_PARAMETER_SET_10 _MMIO(0x6B228)
11384#define DSCC_PICTURE_PARAMETER_SET_10 _MMIO(0x6BA28)
2efbb2f0
AS
11385#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB 0x78298
11386#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB 0x78398
11387#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC 0x78498
11388#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC 0x78598
11389#define ICL_DSC0_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11390 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB, \
11391 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC)
11392#define ICL_DSC1_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11393 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB, \
11394 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC)
11395#define DSC_RC_TARGET_OFF_LOW(rc_tgt_off_low) ((rc_tgt_off_low) << 20)
11396#define DSC_RC_TARGET_OFF_HIGH(rc_tgt_off_high) ((rc_tgt_off_high) << 16)
11397#define DSC_RC_QUANT_INC_LIMIT1(lim) ((lim) << 8)
11398#define DSC_RC_QUANT_INC_LIMIT0(lim) ((lim) << 0)
11399
6f15a7de
AS
11400#define DSCA_PICTURE_PARAMETER_SET_11 _MMIO(0x6B22C)
11401#define DSCC_PICTURE_PARAMETER_SET_11 _MMIO(0x6BA2C)
2efbb2f0
AS
11402#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB 0x7829C
11403#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB 0x7839C
11404#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC 0x7849C
11405#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC 0x7859C
11406#define ICL_DSC0_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11407 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB, \
11408 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC)
11409#define ICL_DSC1_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11410 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB, \
11411 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC)
11412
6f15a7de
AS
11413#define DSCA_PICTURE_PARAMETER_SET_12 _MMIO(0x6B260)
11414#define DSCC_PICTURE_PARAMETER_SET_12 _MMIO(0x6BA60)
2efbb2f0
AS
11415#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB 0x782A0
11416#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB 0x783A0
11417#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC 0x784A0
11418#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC 0x785A0
11419#define ICL_DSC0_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11420 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB, \
11421 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC)
11422#define ICL_DSC1_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11423 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB, \
11424 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC)
11425
6f15a7de
AS
11426#define DSCA_PICTURE_PARAMETER_SET_13 _MMIO(0x6B264)
11427#define DSCC_PICTURE_PARAMETER_SET_13 _MMIO(0x6BA64)
2efbb2f0
AS
11428#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB 0x782A4
11429#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB 0x783A4
11430#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC 0x784A4
11431#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC 0x785A4
11432#define ICL_DSC0_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11433 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB, \
11434 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC)
11435#define ICL_DSC1_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11436 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB, \
11437 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC)
11438
6f15a7de
AS
11439#define DSCA_PICTURE_PARAMETER_SET_14 _MMIO(0x6B268)
11440#define DSCC_PICTURE_PARAMETER_SET_14 _MMIO(0x6BA68)
2efbb2f0
AS
11441#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB 0x782A8
11442#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB 0x783A8
11443#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC 0x784A8
11444#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC 0x785A8
11445#define ICL_DSC0_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11446 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB, \
11447 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC)
11448#define ICL_DSC1_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11449 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB, \
11450 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC)
11451
6f15a7de
AS
11452#define DSCA_PICTURE_PARAMETER_SET_15 _MMIO(0x6B26C)
11453#define DSCC_PICTURE_PARAMETER_SET_15 _MMIO(0x6BA6C)
2efbb2f0
AS
11454#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB 0x782AC
11455#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB 0x783AC
11456#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC 0x784AC
11457#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC 0x785AC
11458#define ICL_DSC0_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11459 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB, \
11460 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC)
11461#define ICL_DSC1_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11462 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB, \
11463 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC)
11464
6f15a7de
AS
11465#define DSCA_PICTURE_PARAMETER_SET_16 _MMIO(0x6B270)
11466#define DSCC_PICTURE_PARAMETER_SET_16 _MMIO(0x6BA70)
2efbb2f0
AS
11467#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB 0x782B0
11468#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB 0x783B0
11469#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC 0x784B0
11470#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC 0x785B0
11471#define ICL_DSC0_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11472 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB, \
11473 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC)
11474#define ICL_DSC1_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11475 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB, \
11476 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC)
35b876db 11477#define DSC_SLICE_ROW_PER_FRAME(slice_row_per_frame) ((slice_row_per_frame) << 20)
2efbb2f0 11478#define DSC_SLICE_PER_LINE(slice_per_line) ((slice_per_line) << 16)
6f15a7de 11479#define DSC_SLICE_CHUNK_SIZE(slice_chunk_size) ((slice_chunk_size) << 0)
2efbb2f0 11480
dbda5111
AS
11481/* Icelake Rate Control Buffer Threshold Registers */
11482#define DSCA_RC_BUF_THRESH_0 _MMIO(0x6B230)
11483#define DSCA_RC_BUF_THRESH_0_UDW _MMIO(0x6B230 + 4)
11484#define DSCC_RC_BUF_THRESH_0 _MMIO(0x6BA30)
11485#define DSCC_RC_BUF_THRESH_0_UDW _MMIO(0x6BA30 + 4)
11486#define _ICL_DSC0_RC_BUF_THRESH_0_PB (0x78254)
11487#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB (0x78254 + 4)
11488#define _ICL_DSC1_RC_BUF_THRESH_0_PB (0x78354)
11489#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB (0x78354 + 4)
11490#define _ICL_DSC0_RC_BUF_THRESH_0_PC (0x78454)
11491#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC (0x78454 + 4)
11492#define _ICL_DSC1_RC_BUF_THRESH_0_PC (0x78554)
11493#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC (0x78554 + 4)
11494#define ICL_DSC0_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11495 _ICL_DSC0_RC_BUF_THRESH_0_PB, \
11496 _ICL_DSC0_RC_BUF_THRESH_0_PC)
11497#define ICL_DSC0_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11498 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB, \
11499 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC)
11500#define ICL_DSC1_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11501 _ICL_DSC1_RC_BUF_THRESH_0_PB, \
11502 _ICL_DSC1_RC_BUF_THRESH_0_PC)
11503#define ICL_DSC1_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11504 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB, \
11505 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC)
11506
11507#define DSCA_RC_BUF_THRESH_1 _MMIO(0x6B238)
11508#define DSCA_RC_BUF_THRESH_1_UDW _MMIO(0x6B238 + 4)
11509#define DSCC_RC_BUF_THRESH_1 _MMIO(0x6BA38)
11510#define DSCC_RC_BUF_THRESH_1_UDW _MMIO(0x6BA38 + 4)
11511#define _ICL_DSC0_RC_BUF_THRESH_1_PB (0x7825C)
11512#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB (0x7825C + 4)
11513#define _ICL_DSC1_RC_BUF_THRESH_1_PB (0x7835C)
11514#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB (0x7835C + 4)
11515#define _ICL_DSC0_RC_BUF_THRESH_1_PC (0x7845C)
11516#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC (0x7845C + 4)
11517#define _ICL_DSC1_RC_BUF_THRESH_1_PC (0x7855C)
11518#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC (0x7855C + 4)
11519#define ICL_DSC0_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11520 _ICL_DSC0_RC_BUF_THRESH_1_PB, \
11521 _ICL_DSC0_RC_BUF_THRESH_1_PC)
11522#define ICL_DSC0_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11523 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB, \
11524 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC)
11525#define ICL_DSC1_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11526 _ICL_DSC1_RC_BUF_THRESH_1_PB, \
11527 _ICL_DSC1_RC_BUF_THRESH_1_PC)
11528#define ICL_DSC1_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11529 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB, \
11530 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC)
11531
0caf6257
AS
11532#define PORT_TX_DFLEXDPSP(fia) _MMIO_FIA((fia), 0x008A0)
11533#define MODULAR_FIA_MASK (1 << 4)
b9fcddab
PZ
11534#define TC_LIVE_STATE_TBT(tc_port) (1 << ((tc_port) * 8 + 6))
11535#define TC_LIVE_STATE_TC(tc_port) (1 << ((tc_port) * 8 + 5))
db7295c2
AM
11536#define DP_LANE_ASSIGNMENT_SHIFT(tc_port) ((tc_port) * 8)
11537#define DP_LANE_ASSIGNMENT_MASK(tc_port) (0xf << ((tc_port) * 8))
11538#define DP_LANE_ASSIGNMENT(tc_port, x) ((x) << ((tc_port) * 8))
b9fcddab 11539
0caf6257 11540#define PORT_TX_DFLEXDPPMS(fia) _MMIO_FIA((fia), 0x00890)
39d1e234
PZ
11541#define DP_PHY_MODE_STATUS_COMPLETED(tc_port) (1 << (tc_port))
11542
0caf6257 11543#define PORT_TX_DFLEXDPCSSS(fia) _MMIO_FIA((fia), 0x00894)
39d1e234
PZ
11544#define DP_PHY_MODE_STATUS_NOT_SAFE(tc_port) (1 << (tc_port))
11545
585fb111 11546#endif /* _I915_REG_H_ */