]> git.proxmox.com Git - mirror_ubuntu-bionic-kernel.git/blame - drivers/gpu/drm/i915/i915_reg.h
drm/i915/psr: set CHICKEN_TRANS for psr2
[mirror_ubuntu-bionic-kernel.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
f0f59a00
VS
28typedef struct {
29 uint32_t reg;
30} i915_reg_t;
31
32#define _MMIO(r) ((const i915_reg_t){ .reg = (r) })
33
34#define INVALID_MMIO_REG _MMIO(0)
35
36static inline uint32_t i915_mmio_reg_offset(i915_reg_t reg)
37{
38 return reg.reg;
39}
40
41static inline bool i915_mmio_reg_equal(i915_reg_t a, i915_reg_t b)
42{
43 return i915_mmio_reg_offset(a) == i915_mmio_reg_offset(b);
44}
45
46static inline bool i915_mmio_reg_valid(i915_reg_t reg)
47{
48 return !i915_mmio_reg_equal(reg, INVALID_MMIO_REG);
49}
50
5eddb70b 51#define _PIPE(pipe, a, b) ((a) + (pipe)*((b)-(a)))
f0f59a00 52#define _MMIO_PIPE(pipe, a, b) _MMIO(_PIPE(pipe, a, b))
70d21f0e 53#define _PLANE(plane, a, b) _PIPE(plane, a, b)
f0f59a00
VS
54#define _MMIO_PLANE(plane, a, b) _MMIO_PIPE(plane, a, b)
55#define _TRANS(tran, a, b) ((a) + (tran)*((b)-(a)))
56#define _MMIO_TRANS(tran, a, b) _MMIO(_TRANS(tran, a, b))
2b139522 57#define _PORT(port, a, b) ((a) + (port)*((b)-(a)))
f0f59a00 58#define _MMIO_PORT(port, a, b) _MMIO(_PORT(port, a, b))
2d401b17
VS
59#define _PIPE3(pipe, a, b, c) ((pipe) == PIPE_A ? (a) : \
60 (pipe) == PIPE_B ? (b) : (c))
f0f59a00 61#define _MMIO_PIPE3(pipe, a, b, c) _MMIO(_PIPE3(pipe, a, b, c))
e7d7cad0
JN
62#define _PORT3(port, a, b, c) ((port) == PORT_A ? (a) : \
63 (port) == PORT_B ? (b) : (c))
f0f59a00 64#define _MMIO_PORT3(pipe, a, b, c) _MMIO(_PORT3(pipe, a, b, c))
0a116ce8
ACO
65#define _PHY3(phy, a, b, c) ((phy) == DPIO_PHY0 ? (a) : \
66 (phy) == DPIO_PHY1 ? (b) : (c))
67#define _MMIO_PHY3(phy, a, b, c) _MMIO(_PHY3(phy, a, b, c))
2b139522 68
98533251
DL
69#define _MASKED_FIELD(mask, value) ({ \
70 if (__builtin_constant_p(mask)) \
71 BUILD_BUG_ON_MSG(((mask) & 0xffff0000), "Incorrect mask"); \
72 if (__builtin_constant_p(value)) \
73 BUILD_BUG_ON_MSG((value) & 0xffff0000, "Incorrect value"); \
74 if (__builtin_constant_p(mask) && __builtin_constant_p(value)) \
75 BUILD_BUG_ON_MSG((value) & ~(mask), \
76 "Incorrect value for mask"); \
77 (mask) << 16 | (value); })
78#define _MASKED_BIT_ENABLE(a) ({ typeof(a) _a = (a); _MASKED_FIELD(_a, _a); })
79#define _MASKED_BIT_DISABLE(a) (_MASKED_FIELD((a), 0))
80
81
6b26c86d 82
585fb111
JB
83/* PCI config space */
84
e10fa551
JL
85#define MCHBAR_I915 0x44
86#define MCHBAR_I965 0x48
87#define MCHBAR_SIZE (4 * 4096)
88
89#define DEVEN 0x54
90#define DEVEN_MCHBAR_EN (1 << 28)
91
40006c43 92/* BSM in include/drm/i915_drm.h */
e10fa551 93
1b1d2716
VS
94#define HPLLCC 0xc0 /* 85x only */
95#define GC_CLOCK_CONTROL_MASK (0x7 << 0)
585fb111
JB
96#define GC_CLOCK_133_200 (0 << 0)
97#define GC_CLOCK_100_200 (1 << 0)
98#define GC_CLOCK_100_133 (2 << 0)
1b1d2716
VS
99#define GC_CLOCK_133_266 (3 << 0)
100#define GC_CLOCK_133_200_2 (4 << 0)
101#define GC_CLOCK_133_266_2 (5 << 0)
102#define GC_CLOCK_166_266 (6 << 0)
103#define GC_CLOCK_166_250 (7 << 0)
104
e10fa551
JL
105#define I915_GDRST 0xc0 /* PCI config register */
106#define GRDOM_FULL (0 << 2)
107#define GRDOM_RENDER (1 << 2)
108#define GRDOM_MEDIA (3 << 2)
109#define GRDOM_MASK (3 << 2)
110#define GRDOM_RESET_STATUS (1 << 1)
111#define GRDOM_RESET_ENABLE (1 << 0)
112
8fdded82
VS
113/* BSpec only has register offset, PCI device and bit found empirically */
114#define I830_CLOCK_GATE 0xc8 /* device 0 */
115#define I830_L2_CACHE_CLOCK_GATE_DISABLE (1 << 2)
116
e10fa551
JL
117#define GCDGMBUS 0xcc
118
f97108d1 119#define GCFGC2 0xda
585fb111
JB
120#define GCFGC 0xf0 /* 915+ only */
121#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
122#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
123#define GC_DISPLAY_CLOCK_333_MHZ (4 << 4)
257a7ffc
DV
124#define GC_DISPLAY_CLOCK_267_MHZ_PNV (0 << 4)
125#define GC_DISPLAY_CLOCK_333_MHZ_PNV (1 << 4)
126#define GC_DISPLAY_CLOCK_444_MHZ_PNV (2 << 4)
127#define GC_DISPLAY_CLOCK_200_MHZ_PNV (5 << 4)
128#define GC_DISPLAY_CLOCK_133_MHZ_PNV (6 << 4)
129#define GC_DISPLAY_CLOCK_167_MHZ_PNV (7 << 4)
585fb111 130#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
131#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
132#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
133#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
134#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
135#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
136#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
137#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
138#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
139#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
140#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
141#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
142#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
143#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
144#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
145#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
146#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
147#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
148#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
149#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
7f1bdbcb 150
e10fa551
JL
151#define ASLE 0xe4
152#define ASLS 0xfc
153
154#define SWSCI 0xe8
155#define SWSCI_SCISEL (1 << 15)
156#define SWSCI_GSSCIE (1 << 0)
157
158#define LBPC 0xf4 /* legacy/combination backlight modes, also called LBB */
eeccdcac 159
585fb111 160
f0f59a00 161#define ILK_GDSR _MMIO(MCHBAR_MIRROR_BASE + 0x2ca4)
b3a3f03d
VS
162#define ILK_GRDOM_FULL (0<<1)
163#define ILK_GRDOM_RENDER (1<<1)
164#define ILK_GRDOM_MEDIA (3<<1)
165#define ILK_GRDOM_MASK (3<<1)
166#define ILK_GRDOM_RESET_ENABLE (1<<0)
167
f0f59a00 168#define GEN6_MBCUNIT_SNPCR _MMIO(0x900c) /* for LLC config */
07b7ddd9
JB
169#define GEN6_MBC_SNPCR_SHIFT 21
170#define GEN6_MBC_SNPCR_MASK (3<<21)
171#define GEN6_MBC_SNPCR_MAX (0<<21)
172#define GEN6_MBC_SNPCR_MED (1<<21)
173#define GEN6_MBC_SNPCR_LOW (2<<21)
174#define GEN6_MBC_SNPCR_MIN (3<<21) /* only 1/16th of the cache is shared */
175
f0f59a00
VS
176#define VLV_G3DCTL _MMIO(0x9024)
177#define VLV_GSCKGCTL _MMIO(0x9028)
9e72b46c 178
f0f59a00 179#define GEN6_MBCTL _MMIO(0x0907c)
5eb719cd
DV
180#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
181#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
182#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
183#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
184#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
185
f0f59a00 186#define GEN6_GDRST _MMIO(0x941c)
cff458c2
EA
187#define GEN6_GRDOM_FULL (1 << 0)
188#define GEN6_GRDOM_RENDER (1 << 1)
189#define GEN6_GRDOM_MEDIA (1 << 2)
190#define GEN6_GRDOM_BLT (1 << 3)
ee4b6faf 191#define GEN6_GRDOM_VECS (1 << 4)
6b332fa2 192#define GEN9_GRDOM_GUC (1 << 5)
ee4b6faf 193#define GEN8_GRDOM_MEDIA2 (1 << 7)
cff458c2 194
bbdc070a
DG
195#define RING_PP_DIR_BASE(engine) _MMIO((engine)->mmio_base+0x228)
196#define RING_PP_DIR_BASE_READ(engine) _MMIO((engine)->mmio_base+0x518)
197#define RING_PP_DIR_DCLV(engine) _MMIO((engine)->mmio_base+0x220)
5eb719cd
DV
198#define PP_DIR_DCLV_2G 0xffffffff
199
bbdc070a
DG
200#define GEN8_RING_PDP_UDW(engine, n) _MMIO((engine)->mmio_base+0x270 + (n) * 8 + 4)
201#define GEN8_RING_PDP_LDW(engine, n) _MMIO((engine)->mmio_base+0x270 + (n) * 8)
94e409c1 202
f0f59a00 203#define GEN8_R_PWR_CLK_STATE _MMIO(0x20C8)
0cea6502
JM
204#define GEN8_RPCS_ENABLE (1 << 31)
205#define GEN8_RPCS_S_CNT_ENABLE (1 << 18)
206#define GEN8_RPCS_S_CNT_SHIFT 15
207#define GEN8_RPCS_S_CNT_MASK (0x7 << GEN8_RPCS_S_CNT_SHIFT)
208#define GEN8_RPCS_SS_CNT_ENABLE (1 << 11)
209#define GEN8_RPCS_SS_CNT_SHIFT 8
210#define GEN8_RPCS_SS_CNT_MASK (0x7 << GEN8_RPCS_SS_CNT_SHIFT)
211#define GEN8_RPCS_EU_MAX_SHIFT 4
212#define GEN8_RPCS_EU_MAX_MASK (0xf << GEN8_RPCS_EU_MAX_SHIFT)
213#define GEN8_RPCS_EU_MIN_SHIFT 0
214#define GEN8_RPCS_EU_MIN_MASK (0xf << GEN8_RPCS_EU_MIN_SHIFT)
215
f0f59a00 216#define GAM_ECOCHK _MMIO(0x4090)
81e231af 217#define BDW_DISABLE_HDC_INVALIDATION (1<<25)
5eb719cd 218#define ECOCHK_SNB_BIT (1<<10)
6381b550 219#define ECOCHK_DIS_TLB (1<<8)
e3dff585 220#define HSW_ECOCHK_ARB_PRIO_SOL (1<<6)
5eb719cd
DV
221#define ECOCHK_PPGTT_CACHE64B (0x3<<3)
222#define ECOCHK_PPGTT_CACHE4B (0x0<<3)
a6f429a5
VS
223#define ECOCHK_PPGTT_GFDT_IVB (0x1<<4)
224#define ECOCHK_PPGTT_LLC_IVB (0x1<<3)
225#define ECOCHK_PPGTT_UC_HSW (0x1<<3)
226#define ECOCHK_PPGTT_WT_HSW (0x2<<3)
227#define ECOCHK_PPGTT_WB_HSW (0x3<<3)
5eb719cd 228
b033bb6d
MK
229#define GEN8_CONFIG0 _MMIO(0xD00)
230#define GEN9_DEFAULT_FIXES (1 << 3 | 1 << 2 | 1 << 1)
231
f0f59a00 232#define GAC_ECO_BITS _MMIO(0x14090)
3b9d7888 233#define ECOBITS_SNB_BIT (1<<13)
48ecfa10
DV
234#define ECOBITS_PPGTT_CACHE64B (3<<8)
235#define ECOBITS_PPGTT_CACHE4B (0<<8)
236
f0f59a00 237#define GAB_CTL _MMIO(0x24000)
be901a5a
DV
238#define GAB_CTL_CONT_AFTER_PAGEFAULT (1<<8)
239
f0f59a00 240#define GEN6_STOLEN_RESERVED _MMIO(0x1082C0)
3774eb50
PZ
241#define GEN6_STOLEN_RESERVED_ADDR_MASK (0xFFF << 20)
242#define GEN7_STOLEN_RESERVED_ADDR_MASK (0x3FFF << 18)
243#define GEN6_STOLEN_RESERVED_SIZE_MASK (3 << 4)
244#define GEN6_STOLEN_RESERVED_1M (0 << 4)
245#define GEN6_STOLEN_RESERVED_512K (1 << 4)
246#define GEN6_STOLEN_RESERVED_256K (2 << 4)
247#define GEN6_STOLEN_RESERVED_128K (3 << 4)
248#define GEN7_STOLEN_RESERVED_SIZE_MASK (1 << 5)
249#define GEN7_STOLEN_RESERVED_1M (0 << 5)
250#define GEN7_STOLEN_RESERVED_256K (1 << 5)
251#define GEN8_STOLEN_RESERVED_SIZE_MASK (3 << 7)
252#define GEN8_STOLEN_RESERVED_1M (0 << 7)
253#define GEN8_STOLEN_RESERVED_2M (1 << 7)
254#define GEN8_STOLEN_RESERVED_4M (2 << 7)
255#define GEN8_STOLEN_RESERVED_8M (3 << 7)
40bae736 256
585fb111
JB
257/* VGA stuff */
258
259#define VGA_ST01_MDA 0x3ba
260#define VGA_ST01_CGA 0x3da
261
f0f59a00 262#define _VGA_MSR_WRITE _MMIO(0x3c2)
585fb111
JB
263#define VGA_MSR_WRITE 0x3c2
264#define VGA_MSR_READ 0x3cc
265#define VGA_MSR_MEM_EN (1<<1)
266#define VGA_MSR_CGA_MODE (1<<0)
267
5434fd92 268#define VGA_SR_INDEX 0x3c4
f930ddd0 269#define SR01 1
5434fd92 270#define VGA_SR_DATA 0x3c5
585fb111
JB
271
272#define VGA_AR_INDEX 0x3c0
273#define VGA_AR_VID_EN (1<<5)
274#define VGA_AR_DATA_WRITE 0x3c0
275#define VGA_AR_DATA_READ 0x3c1
276
277#define VGA_GR_INDEX 0x3ce
278#define VGA_GR_DATA 0x3cf
279/* GR05 */
280#define VGA_GR_MEM_READ_MODE_SHIFT 3
281#define VGA_GR_MEM_READ_MODE_PLANE 1
282/* GR06 */
283#define VGA_GR_MEM_MODE_MASK 0xc
284#define VGA_GR_MEM_MODE_SHIFT 2
285#define VGA_GR_MEM_A0000_AFFFF 0
286#define VGA_GR_MEM_A0000_BFFFF 1
287#define VGA_GR_MEM_B0000_B7FFF 2
288#define VGA_GR_MEM_B0000_BFFFF 3
289
290#define VGA_DACMASK 0x3c6
291#define VGA_DACRX 0x3c7
292#define VGA_DACWX 0x3c8
293#define VGA_DACDATA 0x3c9
294
295#define VGA_CR_INDEX_MDA 0x3b4
296#define VGA_CR_DATA_MDA 0x3b5
297#define VGA_CR_INDEX_CGA 0x3d4
298#define VGA_CR_DATA_CGA 0x3d5
299
351e3db2
BV
300/*
301 * Instruction field definitions used by the command parser
302 */
303#define INSTR_CLIENT_SHIFT 29
351e3db2
BV
304#define INSTR_MI_CLIENT 0x0
305#define INSTR_BC_CLIENT 0x2
306#define INSTR_RC_CLIENT 0x3
307#define INSTR_SUBCLIENT_SHIFT 27
308#define INSTR_SUBCLIENT_MASK 0x18000000
309#define INSTR_MEDIA_SUBCLIENT 0x2
86ef630d
MN
310#define INSTR_26_TO_24_MASK 0x7000000
311#define INSTR_26_TO_24_SHIFT 24
351e3db2 312
585fb111
JB
313/*
314 * Memory interface instructions used by the kernel
315 */
316#define MI_INSTR(opcode, flags) (((opcode) << 23) | (flags))
d4d48035
BV
317/* Many MI commands use bit 22 of the header dword for GGTT vs PPGTT */
318#define MI_GLOBAL_GTT (1<<22)
585fb111
JB
319
320#define MI_NOOP MI_INSTR(0, 0)
321#define MI_USER_INTERRUPT MI_INSTR(0x02, 0)
322#define MI_WAIT_FOR_EVENT MI_INSTR(0x03, 0)
02e792fb 323#define MI_WAIT_FOR_OVERLAY_FLIP (1<<16)
585fb111
JB
324#define MI_WAIT_FOR_PLANE_B_FLIP (1<<6)
325#define MI_WAIT_FOR_PLANE_A_FLIP (1<<2)
326#define MI_WAIT_FOR_PLANE_A_SCANLINES (1<<1)
327#define MI_FLUSH MI_INSTR(0x04, 0)
328#define MI_READ_FLUSH (1 << 0)
329#define MI_EXE_FLUSH (1 << 1)
330#define MI_NO_WRITE_FLUSH (1 << 2)
331#define MI_SCENE_COUNT (1 << 3) /* just increment scene count */
332#define MI_END_SCENE (1 << 4) /* flush binner and incr scene count */
1cafd347 333#define MI_INVALIDATE_ISP (1 << 5) /* invalidate indirect state pointers */
0e79284d
BW
334#define MI_REPORT_HEAD MI_INSTR(0x07, 0)
335#define MI_ARB_ON_OFF MI_INSTR(0x08, 0)
336#define MI_ARB_ENABLE (1<<0)
337#define MI_ARB_DISABLE (0<<0)
585fb111 338#define MI_BATCH_BUFFER_END MI_INSTR(0x0a, 0)
88271da3
JB
339#define MI_SUSPEND_FLUSH MI_INSTR(0x0b, 0)
340#define MI_SUSPEND_FLUSH_EN (1<<0)
86ef630d 341#define MI_SET_APPID MI_INSTR(0x0e, 0)
0206e353 342#define MI_OVERLAY_FLIP MI_INSTR(0x11, 0)
02e792fb
DV
343#define MI_OVERLAY_CONTINUE (0x0<<21)
344#define MI_OVERLAY_ON (0x1<<21)
345#define MI_OVERLAY_OFF (0x2<<21)
585fb111 346#define MI_LOAD_SCAN_LINES_INCL MI_INSTR(0x12, 0)
6b95a207 347#define MI_DISPLAY_FLIP MI_INSTR(0x14, 2)
1afe3e9d 348#define MI_DISPLAY_FLIP_I915 MI_INSTR(0x14, 1)
6b95a207 349#define MI_DISPLAY_FLIP_PLANE(n) ((n) << 20)
cb05d8de
DV
350/* IVB has funny definitions for which plane to flip. */
351#define MI_DISPLAY_FLIP_IVB_PLANE_A (0 << 19)
352#define MI_DISPLAY_FLIP_IVB_PLANE_B (1 << 19)
353#define MI_DISPLAY_FLIP_IVB_SPRITE_A (2 << 19)
354#define MI_DISPLAY_FLIP_IVB_SPRITE_B (3 << 19)
355#define MI_DISPLAY_FLIP_IVB_PLANE_C (4 << 19)
356#define MI_DISPLAY_FLIP_IVB_SPRITE_C (5 << 19)
830c81db
DL
357/* SKL ones */
358#define MI_DISPLAY_FLIP_SKL_PLANE_1_A (0 << 8)
359#define MI_DISPLAY_FLIP_SKL_PLANE_1_B (1 << 8)
360#define MI_DISPLAY_FLIP_SKL_PLANE_1_C (2 << 8)
361#define MI_DISPLAY_FLIP_SKL_PLANE_2_A (4 << 8)
362#define MI_DISPLAY_FLIP_SKL_PLANE_2_B (5 << 8)
363#define MI_DISPLAY_FLIP_SKL_PLANE_2_C (6 << 8)
364#define MI_DISPLAY_FLIP_SKL_PLANE_3_A (7 << 8)
365#define MI_DISPLAY_FLIP_SKL_PLANE_3_B (8 << 8)
366#define MI_DISPLAY_FLIP_SKL_PLANE_3_C (9 << 8)
3e78998a 367#define MI_SEMAPHORE_MBOX MI_INSTR(0x16, 1) /* gen6, gen7 */
0e79284d
BW
368#define MI_SEMAPHORE_GLOBAL_GTT (1<<22)
369#define MI_SEMAPHORE_UPDATE (1<<21)
370#define MI_SEMAPHORE_COMPARE (1<<20)
371#define MI_SEMAPHORE_REGISTER (1<<18)
372#define MI_SEMAPHORE_SYNC_VR (0<<16) /* RCS wait for VCS (RVSYNC) */
373#define MI_SEMAPHORE_SYNC_VER (1<<16) /* RCS wait for VECS (RVESYNC) */
374#define MI_SEMAPHORE_SYNC_BR (2<<16) /* RCS wait for BCS (RBSYNC) */
375#define MI_SEMAPHORE_SYNC_BV (0<<16) /* VCS wait for BCS (VBSYNC) */
376#define MI_SEMAPHORE_SYNC_VEV (1<<16) /* VCS wait for VECS (VVESYNC) */
377#define MI_SEMAPHORE_SYNC_RV (2<<16) /* VCS wait for RCS (VRSYNC) */
378#define MI_SEMAPHORE_SYNC_RB (0<<16) /* BCS wait for RCS (BRSYNC) */
379#define MI_SEMAPHORE_SYNC_VEB (1<<16) /* BCS wait for VECS (BVESYNC) */
380#define MI_SEMAPHORE_SYNC_VB (2<<16) /* BCS wait for VCS (BVSYNC) */
381#define MI_SEMAPHORE_SYNC_BVE (0<<16) /* VECS wait for BCS (VEBSYNC) */
382#define MI_SEMAPHORE_SYNC_VVE (1<<16) /* VECS wait for VCS (VEVSYNC) */
383#define MI_SEMAPHORE_SYNC_RVE (2<<16) /* VECS wait for RCS (VERSYNC) */
a028c4b0
DV
384#define MI_SEMAPHORE_SYNC_INVALID (3<<16)
385#define MI_SEMAPHORE_SYNC_MASK (3<<16)
aa40d6bb
ZN
386#define MI_SET_CONTEXT MI_INSTR(0x18, 0)
387#define MI_MM_SPACE_GTT (1<<8)
388#define MI_MM_SPACE_PHYSICAL (0<<8)
389#define MI_SAVE_EXT_STATE_EN (1<<3)
390#define MI_RESTORE_EXT_STATE_EN (1<<2)
88271da3 391#define MI_FORCE_RESTORE (1<<1)
aa40d6bb 392#define MI_RESTORE_INHIBIT (1<<0)
4c436d55
AJ
393#define HSW_MI_RS_SAVE_STATE_EN (1<<3)
394#define HSW_MI_RS_RESTORE_STATE_EN (1<<2)
3e78998a
BW
395#define MI_SEMAPHORE_SIGNAL MI_INSTR(0x1b, 0) /* GEN8+ */
396#define MI_SEMAPHORE_TARGET(engine) ((engine)<<15)
5ee426ca
BW
397#define MI_SEMAPHORE_WAIT MI_INSTR(0x1c, 2) /* GEN8+ */
398#define MI_SEMAPHORE_POLL (1<<15)
399#define MI_SEMAPHORE_SAD_GTE_SDD (1<<12)
585fb111 400#define MI_STORE_DWORD_IMM MI_INSTR(0x20, 1)
8edfbb8b
VS
401#define MI_STORE_DWORD_IMM_GEN4 MI_INSTR(0x20, 2)
402#define MI_MEM_VIRTUAL (1 << 22) /* 945,g33,965 */
403#define MI_USE_GGTT (1 << 22) /* g4x+ */
585fb111
JB
404#define MI_STORE_DWORD_INDEX MI_INSTR(0x21, 1)
405#define MI_STORE_DWORD_INDEX_SHIFT 2
c6642782
DV
406/* Official intel docs are somewhat sloppy concerning MI_LOAD_REGISTER_IMM:
407 * - Always issue a MI_NOOP _before_ the MI_LOAD_REGISTER_IMM - otherwise hw
408 * simply ignores the register load under certain conditions.
409 * - One can actually load arbitrary many arbitrary registers: Simply issue x
410 * address/value pairs. Don't overdue it, though, x <= 2^4 must hold!
411 */
7ec55f46 412#define MI_LOAD_REGISTER_IMM(x) MI_INSTR(0x22, 2*(x)-1)
8670d6f9 413#define MI_LRI_FORCE_POSTED (1<<12)
f1afe24f
AS
414#define MI_STORE_REGISTER_MEM MI_INSTR(0x24, 1)
415#define MI_STORE_REGISTER_MEM_GEN8 MI_INSTR(0x24, 2)
0e79284d 416#define MI_SRM_LRM_GLOBAL_GTT (1<<22)
71a77e07 417#define MI_FLUSH_DW MI_INSTR(0x26, 1) /* for GEN6 */
9a289771
JB
418#define MI_FLUSH_DW_STORE_INDEX (1<<21)
419#define MI_INVALIDATE_TLB (1<<18)
420#define MI_FLUSH_DW_OP_STOREDW (1<<14)
d4d48035 421#define MI_FLUSH_DW_OP_MASK (3<<14)
b18b396b 422#define MI_FLUSH_DW_NOTIFY (1<<8)
9a289771
JB
423#define MI_INVALIDATE_BSD (1<<7)
424#define MI_FLUSH_DW_USE_GTT (1<<2)
425#define MI_FLUSH_DW_USE_PPGTT (0<<2)
f1afe24f
AS
426#define MI_LOAD_REGISTER_MEM MI_INSTR(0x29, 1)
427#define MI_LOAD_REGISTER_MEM_GEN8 MI_INSTR(0x29, 2)
585fb111 428#define MI_BATCH_BUFFER MI_INSTR(0x30, 1)
d7d4eedd
CW
429#define MI_BATCH_NON_SECURE (1)
430/* for snb/ivb/vlv this also means "batch in ppgtt" when ppgtt is enabled. */
0e79284d 431#define MI_BATCH_NON_SECURE_I965 (1<<8)
d7d4eedd 432#define MI_BATCH_PPGTT_HSW (1<<8)
0e79284d 433#define MI_BATCH_NON_SECURE_HSW (1<<13)
585fb111 434#define MI_BATCH_BUFFER_START MI_INSTR(0x31, 0)
65f56876 435#define MI_BATCH_GTT (2<<6) /* aliased with (1<<7) on gen4 */
1c7a0623 436#define MI_BATCH_BUFFER_START_GEN8 MI_INSTR(0x31, 1)
919032ec 437#define MI_BATCH_RESOURCE_STREAMER (1<<10)
0e79284d 438
f0f59a00
VS
439#define MI_PREDICATE_SRC0 _MMIO(0x2400)
440#define MI_PREDICATE_SRC0_UDW _MMIO(0x2400 + 4)
441#define MI_PREDICATE_SRC1 _MMIO(0x2408)
442#define MI_PREDICATE_SRC1_UDW _MMIO(0x2408 + 4)
9435373e 443
f0f59a00 444#define MI_PREDICATE_RESULT_2 _MMIO(0x2214)
9435373e
RV
445#define LOWER_SLICE_ENABLED (1<<0)
446#define LOWER_SLICE_DISABLED (0<<0)
447
585fb111
JB
448/*
449 * 3D instructions used by the kernel
450 */
451#define GFX_INSTR(opcode, flags) ((0x3 << 29) | ((opcode) << 24) | (flags))
452
33e141ed 453#define GEN9_MEDIA_POOL_STATE ((0x3 << 29) | (0x2 << 27) | (0x5 << 16) | 4)
454#define GEN9_MEDIA_POOL_ENABLE (1 << 31)
585fb111
JB
455#define GFX_OP_RASTER_RULES ((0x3<<29)|(0x7<<24))
456#define GFX_OP_SCISSOR ((0x3<<29)|(0x1c<<24)|(0x10<<19))
457#define SC_UPDATE_SCISSOR (0x1<<1)
458#define SC_ENABLE_MASK (0x1<<0)
459#define SC_ENABLE (0x1<<0)
460#define GFX_OP_LOAD_INDIRECT ((0x3<<29)|(0x1d<<24)|(0x7<<16))
461#define GFX_OP_SCISSOR_INFO ((0x3<<29)|(0x1d<<24)|(0x81<<16)|(0x1))
462#define SCI_YMIN_MASK (0xffff<<16)
463#define SCI_XMIN_MASK (0xffff<<0)
464#define SCI_YMAX_MASK (0xffff<<16)
465#define SCI_XMAX_MASK (0xffff<<0)
466#define GFX_OP_SCISSOR_ENABLE ((0x3<<29)|(0x1c<<24)|(0x10<<19))
467#define GFX_OP_SCISSOR_RECT ((0x3<<29)|(0x1d<<24)|(0x81<<16)|1)
468#define GFX_OP_COLOR_FACTOR ((0x3<<29)|(0x1d<<24)|(0x1<<16)|0x0)
469#define GFX_OP_STIPPLE ((0x3<<29)|(0x1d<<24)|(0x83<<16))
470#define GFX_OP_MAP_INFO ((0x3<<29)|(0x1d<<24)|0x4)
471#define GFX_OP_DESTBUFFER_VARS ((0x3<<29)|(0x1d<<24)|(0x85<<16)|0x0)
472#define GFX_OP_DESTBUFFER_INFO ((0x3<<29)|(0x1d<<24)|(0x8e<<16)|1)
473#define GFX_OP_DRAWRECT_INFO ((0x3<<29)|(0x1d<<24)|(0x80<<16)|(0x3))
474#define GFX_OP_DRAWRECT_INFO_I965 ((0x7900<<16)|0x2)
c4d69da1
CW
475
476#define COLOR_BLT_CMD (2<<29 | 0x40<<22 | (5-2))
477#define SRC_COPY_BLT_CMD ((2<<29)|(0x43<<22)|4)
585fb111
JB
478#define XY_SRC_COPY_BLT_CMD ((2<<29)|(0x53<<22)|6)
479#define XY_MONO_SRC_COPY_IMM_BLT ((2<<29)|(0x71<<22)|5)
c4d69da1
CW
480#define BLT_WRITE_A (2<<20)
481#define BLT_WRITE_RGB (1<<20)
482#define BLT_WRITE_RGBA (BLT_WRITE_RGB | BLT_WRITE_A)
585fb111
JB
483#define BLT_DEPTH_8 (0<<24)
484#define BLT_DEPTH_16_565 (1<<24)
485#define BLT_DEPTH_16_1555 (2<<24)
486#define BLT_DEPTH_32 (3<<24)
c4d69da1
CW
487#define BLT_ROP_SRC_COPY (0xcc<<16)
488#define BLT_ROP_COLOR_COPY (0xf0<<16)
585fb111
JB
489#define XY_SRC_COPY_BLT_SRC_TILED (1<<15) /* 965+ only */
490#define XY_SRC_COPY_BLT_DST_TILED (1<<11) /* 965+ only */
491#define CMD_OP_DISPLAYBUFFER_INFO ((0x0<<29)|(0x14<<23)|2)
492#define ASYNC_FLIP (1<<22)
493#define DISPLAY_PLANE_A (0<<20)
494#define DISPLAY_PLANE_B (1<<20)
68d97538 495#define GFX_OP_PIPE_CONTROL(len) ((0x3<<29)|(0x3<<27)|(0x2<<24)|((len)-2))
0160f055 496#define PIPE_CONTROL_FLUSH_L3 (1<<27)
b9e1faa7 497#define PIPE_CONTROL_GLOBAL_GTT_IVB (1<<24) /* gen7+ */
f0a346bd 498#define PIPE_CONTROL_MMIO_WRITE (1<<23)
114d4f70 499#define PIPE_CONTROL_STORE_DATA_INDEX (1<<21)
8d315287 500#define PIPE_CONTROL_CS_STALL (1<<20)
cc0f6398 501#define PIPE_CONTROL_TLB_INVALIDATE (1<<18)
148b83d0 502#define PIPE_CONTROL_MEDIA_STATE_CLEAR (1<<16)
9d971b37 503#define PIPE_CONTROL_QW_WRITE (1<<14)
d4d48035 504#define PIPE_CONTROL_POST_SYNC_OP_MASK (3<<14)
9d971b37
KG
505#define PIPE_CONTROL_DEPTH_STALL (1<<13)
506#define PIPE_CONTROL_WRITE_FLUSH (1<<12)
8d315287 507#define PIPE_CONTROL_RENDER_TARGET_CACHE_FLUSH (1<<12) /* gen6+ */
9d971b37
KG
508#define PIPE_CONTROL_INSTRUCTION_CACHE_INVALIDATE (1<<11) /* MBZ on Ironlake */
509#define PIPE_CONTROL_TEXTURE_CACHE_INVALIDATE (1<<10) /* GM45+ only */
510#define PIPE_CONTROL_INDIRECT_STATE_DISABLE (1<<9)
511#define PIPE_CONTROL_NOTIFY (1<<8)
3e78998a 512#define PIPE_CONTROL_FLUSH_ENABLE (1<<7) /* gen7+ */
c82435bb 513#define PIPE_CONTROL_DC_FLUSH_ENABLE (1<<5)
8d315287
JB
514#define PIPE_CONTROL_VF_CACHE_INVALIDATE (1<<4)
515#define PIPE_CONTROL_CONST_CACHE_INVALIDATE (1<<3)
516#define PIPE_CONTROL_STATE_CACHE_INVALIDATE (1<<2)
9d971b37 517#define PIPE_CONTROL_STALL_AT_SCOREBOARD (1<<1)
8d315287 518#define PIPE_CONTROL_DEPTH_CACHE_FLUSH (1<<0)
e552eb70 519#define PIPE_CONTROL_GLOBAL_GTT (1<<2) /* in addr dword */
585fb111 520
3a6fa984
BV
521/*
522 * Commands used only by the command parser
523 */
524#define MI_SET_PREDICATE MI_INSTR(0x01, 0)
525#define MI_ARB_CHECK MI_INSTR(0x05, 0)
526#define MI_RS_CONTROL MI_INSTR(0x06, 0)
527#define MI_URB_ATOMIC_ALLOC MI_INSTR(0x09, 0)
528#define MI_PREDICATE MI_INSTR(0x0C, 0)
529#define MI_RS_CONTEXT MI_INSTR(0x0F, 0)
530#define MI_TOPOLOGY_FILTER MI_INSTR(0x0D, 0)
9c640d1d 531#define MI_LOAD_SCAN_LINES_EXCL MI_INSTR(0x13, 0)
3a6fa984
BV
532#define MI_URB_CLEAR MI_INSTR(0x19, 0)
533#define MI_UPDATE_GTT MI_INSTR(0x23, 0)
534#define MI_CLFLUSH MI_INSTR(0x27, 0)
d4d48035
BV
535#define MI_REPORT_PERF_COUNT MI_INSTR(0x28, 0)
536#define MI_REPORT_PERF_COUNT_GGTT (1<<0)
3a6fa984
BV
537#define MI_LOAD_REGISTER_REG MI_INSTR(0x2A, 0)
538#define MI_RS_STORE_DATA_IMM MI_INSTR(0x2B, 0)
539#define MI_LOAD_URB_MEM MI_INSTR(0x2C, 0)
540#define MI_STORE_URB_MEM MI_INSTR(0x2D, 0)
541#define MI_CONDITIONAL_BATCH_BUFFER_END MI_INSTR(0x36, 0)
542
543#define PIPELINE_SELECT ((0x3<<29)|(0x1<<27)|(0x1<<24)|(0x4<<16))
544#define GFX_OP_3DSTATE_VF_STATISTICS ((0x3<<29)|(0x1<<27)|(0x0<<24)|(0xB<<16))
f0a346bd
BV
545#define MEDIA_VFE_STATE ((0x3<<29)|(0x2<<27)|(0x0<<24)|(0x0<<16))
546#define MEDIA_VFE_STATE_MMIO_ACCESS_MASK (0x18)
3a6fa984
BV
547#define GPGPU_OBJECT ((0x3<<29)|(0x2<<27)|(0x1<<24)|(0x4<<16))
548#define GPGPU_WALKER ((0x3<<29)|(0x2<<27)|(0x1<<24)|(0x5<<16))
549#define GFX_OP_3DSTATE_DX9_CONSTANTF_VS \
550 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x39<<16))
551#define GFX_OP_3DSTATE_DX9_CONSTANTF_PS \
552 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x3A<<16))
553#define GFX_OP_3DSTATE_SO_DECL_LIST \
554 ((0x3<<29)|(0x3<<27)|(0x1<<24)|(0x17<<16))
555
556#define GFX_OP_3DSTATE_BINDING_TABLE_EDIT_VS \
557 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x43<<16))
558#define GFX_OP_3DSTATE_BINDING_TABLE_EDIT_GS \
559 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x44<<16))
560#define GFX_OP_3DSTATE_BINDING_TABLE_EDIT_HS \
561 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x45<<16))
562#define GFX_OP_3DSTATE_BINDING_TABLE_EDIT_DS \
563 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x46<<16))
564#define GFX_OP_3DSTATE_BINDING_TABLE_EDIT_PS \
565 ((0x3<<29)|(0x3<<27)|(0x0<<24)|(0x47<<16))
566
567#define MFX_WAIT ((0x3<<29)|(0x1<<27)|(0x0<<16))
568
569#define COLOR_BLT ((0x2<<29)|(0x40<<22))
570#define SRC_COPY_BLT ((0x2<<29)|(0x43<<22))
dc96e9b8 571
5947de9b
BV
572/*
573 * Registers used only by the command parser
574 */
f0f59a00
VS
575#define BCS_SWCTRL _MMIO(0x22200)
576
577#define GPGPU_THREADS_DISPATCHED _MMIO(0x2290)
578#define GPGPU_THREADS_DISPATCHED_UDW _MMIO(0x2290 + 4)
579#define HS_INVOCATION_COUNT _MMIO(0x2300)
580#define HS_INVOCATION_COUNT_UDW _MMIO(0x2300 + 4)
581#define DS_INVOCATION_COUNT _MMIO(0x2308)
582#define DS_INVOCATION_COUNT_UDW _MMIO(0x2308 + 4)
583#define IA_VERTICES_COUNT _MMIO(0x2310)
584#define IA_VERTICES_COUNT_UDW _MMIO(0x2310 + 4)
585#define IA_PRIMITIVES_COUNT _MMIO(0x2318)
586#define IA_PRIMITIVES_COUNT_UDW _MMIO(0x2318 + 4)
587#define VS_INVOCATION_COUNT _MMIO(0x2320)
588#define VS_INVOCATION_COUNT_UDW _MMIO(0x2320 + 4)
589#define GS_INVOCATION_COUNT _MMIO(0x2328)
590#define GS_INVOCATION_COUNT_UDW _MMIO(0x2328 + 4)
591#define GS_PRIMITIVES_COUNT _MMIO(0x2330)
592#define GS_PRIMITIVES_COUNT_UDW _MMIO(0x2330 + 4)
593#define CL_INVOCATION_COUNT _MMIO(0x2338)
594#define CL_INVOCATION_COUNT_UDW _MMIO(0x2338 + 4)
595#define CL_PRIMITIVES_COUNT _MMIO(0x2340)
596#define CL_PRIMITIVES_COUNT_UDW _MMIO(0x2340 + 4)
597#define PS_INVOCATION_COUNT _MMIO(0x2348)
598#define PS_INVOCATION_COUNT_UDW _MMIO(0x2348 + 4)
599#define PS_DEPTH_COUNT _MMIO(0x2350)
600#define PS_DEPTH_COUNT_UDW _MMIO(0x2350 + 4)
5947de9b
BV
601
602/* There are the 4 64-bit counter registers, one for each stream output */
f0f59a00
VS
603#define GEN7_SO_NUM_PRIMS_WRITTEN(n) _MMIO(0x5200 + (n) * 8)
604#define GEN7_SO_NUM_PRIMS_WRITTEN_UDW(n) _MMIO(0x5200 + (n) * 8 + 4)
5947de9b 605
f0f59a00
VS
606#define GEN7_SO_PRIM_STORAGE_NEEDED(n) _MMIO(0x5240 + (n) * 8)
607#define GEN7_SO_PRIM_STORAGE_NEEDED_UDW(n) _MMIO(0x5240 + (n) * 8 + 4)
113a0476 608
f0f59a00
VS
609#define GEN7_3DPRIM_END_OFFSET _MMIO(0x2420)
610#define GEN7_3DPRIM_START_VERTEX _MMIO(0x2430)
611#define GEN7_3DPRIM_VERTEX_COUNT _MMIO(0x2434)
612#define GEN7_3DPRIM_INSTANCE_COUNT _MMIO(0x2438)
613#define GEN7_3DPRIM_START_INSTANCE _MMIO(0x243C)
614#define GEN7_3DPRIM_BASE_VERTEX _MMIO(0x2440)
113a0476 615
f0f59a00
VS
616#define GEN7_GPGPU_DISPATCHDIMX _MMIO(0x2500)
617#define GEN7_GPGPU_DISPATCHDIMY _MMIO(0x2504)
618#define GEN7_GPGPU_DISPATCHDIMZ _MMIO(0x2508)
7b9748cb 619
1b85066b
JJ
620/* There are the 16 64-bit CS General Purpose Registers */
621#define HSW_CS_GPR(n) _MMIO(0x2600 + (n) * 8)
622#define HSW_CS_GPR_UDW(n) _MMIO(0x2600 + (n) * 8 + 4)
623
a941795a 624#define GEN7_OACONTROL _MMIO(0x2360)
d7965152
RB
625#define GEN7_OACONTROL_CTX_MASK 0xFFFFF000
626#define GEN7_OACONTROL_TIMER_PERIOD_MASK 0x3F
627#define GEN7_OACONTROL_TIMER_PERIOD_SHIFT 6
628#define GEN7_OACONTROL_TIMER_ENABLE (1<<5)
629#define GEN7_OACONTROL_FORMAT_A13 (0<<2)
630#define GEN7_OACONTROL_FORMAT_A29 (1<<2)
631#define GEN7_OACONTROL_FORMAT_A13_B8_C8 (2<<2)
632#define GEN7_OACONTROL_FORMAT_A29_B8_C8 (3<<2)
633#define GEN7_OACONTROL_FORMAT_B4_C8 (4<<2)
634#define GEN7_OACONTROL_FORMAT_A45_B8_C8 (5<<2)
635#define GEN7_OACONTROL_FORMAT_B4_C8_A16 (6<<2)
636#define GEN7_OACONTROL_FORMAT_C4_B8 (7<<2)
637#define GEN7_OACONTROL_FORMAT_SHIFT 2
638#define GEN7_OACONTROL_PER_CTX_ENABLE (1<<1)
639#define GEN7_OACONTROL_ENABLE (1<<0)
640
641#define GEN8_OACTXID _MMIO(0x2364)
642
643#define GEN8_OACONTROL _MMIO(0x2B00)
644#define GEN8_OA_REPORT_FORMAT_A12 (0<<2)
645#define GEN8_OA_REPORT_FORMAT_A12_B8_C8 (2<<2)
646#define GEN8_OA_REPORT_FORMAT_A36_B8_C8 (5<<2)
647#define GEN8_OA_REPORT_FORMAT_C4_B8 (7<<2)
648#define GEN8_OA_REPORT_FORMAT_SHIFT 2
649#define GEN8_OA_SPECIFIC_CONTEXT_ENABLE (1<<1)
650#define GEN8_OA_COUNTER_ENABLE (1<<0)
651
652#define GEN8_OACTXCONTROL _MMIO(0x2360)
653#define GEN8_OA_TIMER_PERIOD_MASK 0x3F
654#define GEN8_OA_TIMER_PERIOD_SHIFT 2
655#define GEN8_OA_TIMER_ENABLE (1<<1)
656#define GEN8_OA_COUNTER_RESUME (1<<0)
657
658#define GEN7_OABUFFER _MMIO(0x23B0) /* R/W */
659#define GEN7_OABUFFER_OVERRUN_DISABLE (1<<3)
660#define GEN7_OABUFFER_EDGE_TRIGGER (1<<2)
661#define GEN7_OABUFFER_STOP_RESUME_ENABLE (1<<1)
662#define GEN7_OABUFFER_RESUME (1<<0)
663
664#define GEN8_OABUFFER _MMIO(0x2b14)
665
666#define GEN7_OASTATUS1 _MMIO(0x2364)
667#define GEN7_OASTATUS1_TAIL_MASK 0xffffffc0
668#define GEN7_OASTATUS1_COUNTER_OVERFLOW (1<<2)
669#define GEN7_OASTATUS1_OABUFFER_OVERFLOW (1<<1)
670#define GEN7_OASTATUS1_REPORT_LOST (1<<0)
671
672#define GEN7_OASTATUS2 _MMIO(0x2368)
673#define GEN7_OASTATUS2_HEAD_MASK 0xffffffc0
674
675#define GEN8_OASTATUS _MMIO(0x2b08)
676#define GEN8_OASTATUS_OVERRUN_STATUS (1<<3)
677#define GEN8_OASTATUS_COUNTER_OVERFLOW (1<<2)
678#define GEN8_OASTATUS_OABUFFER_OVERFLOW (1<<1)
679#define GEN8_OASTATUS_REPORT_LOST (1<<0)
680
681#define GEN8_OAHEADPTR _MMIO(0x2B0C)
682#define GEN8_OATAILPTR _MMIO(0x2B10)
683
684#define OABUFFER_SIZE_128K (0<<3)
685#define OABUFFER_SIZE_256K (1<<3)
686#define OABUFFER_SIZE_512K (2<<3)
687#define OABUFFER_SIZE_1M (3<<3)
688#define OABUFFER_SIZE_2M (4<<3)
689#define OABUFFER_SIZE_4M (5<<3)
690#define OABUFFER_SIZE_8M (6<<3)
691#define OABUFFER_SIZE_16M (7<<3)
692
693#define OA_MEM_SELECT_GGTT (1<<0)
694
695#define EU_PERF_CNTL0 _MMIO(0xe458)
696
697#define GDT_CHICKEN_BITS _MMIO(0x9840)
698#define GT_NOA_ENABLE 0x00000080
699
700/*
701 * OA Boolean state
702 */
703
704#define OAREPORTTRIG1 _MMIO(0x2740)
705#define OAREPORTTRIG1_THRESHOLD_MASK 0xffff
706#define OAREPORTTRIG1_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
707
708#define OAREPORTTRIG2 _MMIO(0x2744)
709#define OAREPORTTRIG2_INVERT_A_0 (1<<0)
710#define OAREPORTTRIG2_INVERT_A_1 (1<<1)
711#define OAREPORTTRIG2_INVERT_A_2 (1<<2)
712#define OAREPORTTRIG2_INVERT_A_3 (1<<3)
713#define OAREPORTTRIG2_INVERT_A_4 (1<<4)
714#define OAREPORTTRIG2_INVERT_A_5 (1<<5)
715#define OAREPORTTRIG2_INVERT_A_6 (1<<6)
716#define OAREPORTTRIG2_INVERT_A_7 (1<<7)
717#define OAREPORTTRIG2_INVERT_A_8 (1<<8)
718#define OAREPORTTRIG2_INVERT_A_9 (1<<9)
719#define OAREPORTTRIG2_INVERT_A_10 (1<<10)
720#define OAREPORTTRIG2_INVERT_A_11 (1<<11)
721#define OAREPORTTRIG2_INVERT_A_12 (1<<12)
722#define OAREPORTTRIG2_INVERT_A_13 (1<<13)
723#define OAREPORTTRIG2_INVERT_A_14 (1<<14)
724#define OAREPORTTRIG2_INVERT_A_15 (1<<15)
725#define OAREPORTTRIG2_INVERT_B_0 (1<<16)
726#define OAREPORTTRIG2_INVERT_B_1 (1<<17)
727#define OAREPORTTRIG2_INVERT_B_2 (1<<18)
728#define OAREPORTTRIG2_INVERT_B_3 (1<<19)
729#define OAREPORTTRIG2_INVERT_C_0 (1<<20)
730#define OAREPORTTRIG2_INVERT_C_1 (1<<21)
731#define OAREPORTTRIG2_INVERT_D_0 (1<<22)
732#define OAREPORTTRIG2_THRESHOLD_ENABLE (1<<23)
733#define OAREPORTTRIG2_REPORT_TRIGGER_ENABLE (1<<31)
734
735#define OAREPORTTRIG3 _MMIO(0x2748)
736#define OAREPORTTRIG3_NOA_SELECT_MASK 0xf
737#define OAREPORTTRIG3_NOA_SELECT_8_SHIFT 0
738#define OAREPORTTRIG3_NOA_SELECT_9_SHIFT 4
739#define OAREPORTTRIG3_NOA_SELECT_10_SHIFT 8
740#define OAREPORTTRIG3_NOA_SELECT_11_SHIFT 12
741#define OAREPORTTRIG3_NOA_SELECT_12_SHIFT 16
742#define OAREPORTTRIG3_NOA_SELECT_13_SHIFT 20
743#define OAREPORTTRIG3_NOA_SELECT_14_SHIFT 24
744#define OAREPORTTRIG3_NOA_SELECT_15_SHIFT 28
745
746#define OAREPORTTRIG4 _MMIO(0x274c)
747#define OAREPORTTRIG4_NOA_SELECT_MASK 0xf
748#define OAREPORTTRIG4_NOA_SELECT_0_SHIFT 0
749#define OAREPORTTRIG4_NOA_SELECT_1_SHIFT 4
750#define OAREPORTTRIG4_NOA_SELECT_2_SHIFT 8
751#define OAREPORTTRIG4_NOA_SELECT_3_SHIFT 12
752#define OAREPORTTRIG4_NOA_SELECT_4_SHIFT 16
753#define OAREPORTTRIG4_NOA_SELECT_5_SHIFT 20
754#define OAREPORTTRIG4_NOA_SELECT_6_SHIFT 24
755#define OAREPORTTRIG4_NOA_SELECT_7_SHIFT 28
756
757#define OAREPORTTRIG5 _MMIO(0x2750)
758#define OAREPORTTRIG5_THRESHOLD_MASK 0xffff
759#define OAREPORTTRIG5_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
760
761#define OAREPORTTRIG6 _MMIO(0x2754)
762#define OAREPORTTRIG6_INVERT_A_0 (1<<0)
763#define OAREPORTTRIG6_INVERT_A_1 (1<<1)
764#define OAREPORTTRIG6_INVERT_A_2 (1<<2)
765#define OAREPORTTRIG6_INVERT_A_3 (1<<3)
766#define OAREPORTTRIG6_INVERT_A_4 (1<<4)
767#define OAREPORTTRIG6_INVERT_A_5 (1<<5)
768#define OAREPORTTRIG6_INVERT_A_6 (1<<6)
769#define OAREPORTTRIG6_INVERT_A_7 (1<<7)
770#define OAREPORTTRIG6_INVERT_A_8 (1<<8)
771#define OAREPORTTRIG6_INVERT_A_9 (1<<9)
772#define OAREPORTTRIG6_INVERT_A_10 (1<<10)
773#define OAREPORTTRIG6_INVERT_A_11 (1<<11)
774#define OAREPORTTRIG6_INVERT_A_12 (1<<12)
775#define OAREPORTTRIG6_INVERT_A_13 (1<<13)
776#define OAREPORTTRIG6_INVERT_A_14 (1<<14)
777#define OAREPORTTRIG6_INVERT_A_15 (1<<15)
778#define OAREPORTTRIG6_INVERT_B_0 (1<<16)
779#define OAREPORTTRIG6_INVERT_B_1 (1<<17)
780#define OAREPORTTRIG6_INVERT_B_2 (1<<18)
781#define OAREPORTTRIG6_INVERT_B_3 (1<<19)
782#define OAREPORTTRIG6_INVERT_C_0 (1<<20)
783#define OAREPORTTRIG6_INVERT_C_1 (1<<21)
784#define OAREPORTTRIG6_INVERT_D_0 (1<<22)
785#define OAREPORTTRIG6_THRESHOLD_ENABLE (1<<23)
786#define OAREPORTTRIG6_REPORT_TRIGGER_ENABLE (1<<31)
787
788#define OAREPORTTRIG7 _MMIO(0x2758)
789#define OAREPORTTRIG7_NOA_SELECT_MASK 0xf
790#define OAREPORTTRIG7_NOA_SELECT_8_SHIFT 0
791#define OAREPORTTRIG7_NOA_SELECT_9_SHIFT 4
792#define OAREPORTTRIG7_NOA_SELECT_10_SHIFT 8
793#define OAREPORTTRIG7_NOA_SELECT_11_SHIFT 12
794#define OAREPORTTRIG7_NOA_SELECT_12_SHIFT 16
795#define OAREPORTTRIG7_NOA_SELECT_13_SHIFT 20
796#define OAREPORTTRIG7_NOA_SELECT_14_SHIFT 24
797#define OAREPORTTRIG7_NOA_SELECT_15_SHIFT 28
798
799#define OAREPORTTRIG8 _MMIO(0x275c)
800#define OAREPORTTRIG8_NOA_SELECT_MASK 0xf
801#define OAREPORTTRIG8_NOA_SELECT_0_SHIFT 0
802#define OAREPORTTRIG8_NOA_SELECT_1_SHIFT 4
803#define OAREPORTTRIG8_NOA_SELECT_2_SHIFT 8
804#define OAREPORTTRIG8_NOA_SELECT_3_SHIFT 12
805#define OAREPORTTRIG8_NOA_SELECT_4_SHIFT 16
806#define OAREPORTTRIG8_NOA_SELECT_5_SHIFT 20
807#define OAREPORTTRIG8_NOA_SELECT_6_SHIFT 24
808#define OAREPORTTRIG8_NOA_SELECT_7_SHIFT 28
809
810#define OASTARTTRIG1 _MMIO(0x2710)
811#define OASTARTTRIG1_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
812#define OASTARTTRIG1_THRESHOLD_MASK 0xffff
813
814#define OASTARTTRIG2 _MMIO(0x2714)
815#define OASTARTTRIG2_INVERT_A_0 (1<<0)
816#define OASTARTTRIG2_INVERT_A_1 (1<<1)
817#define OASTARTTRIG2_INVERT_A_2 (1<<2)
818#define OASTARTTRIG2_INVERT_A_3 (1<<3)
819#define OASTARTTRIG2_INVERT_A_4 (1<<4)
820#define OASTARTTRIG2_INVERT_A_5 (1<<5)
821#define OASTARTTRIG2_INVERT_A_6 (1<<6)
822#define OASTARTTRIG2_INVERT_A_7 (1<<7)
823#define OASTARTTRIG2_INVERT_A_8 (1<<8)
824#define OASTARTTRIG2_INVERT_A_9 (1<<9)
825#define OASTARTTRIG2_INVERT_A_10 (1<<10)
826#define OASTARTTRIG2_INVERT_A_11 (1<<11)
827#define OASTARTTRIG2_INVERT_A_12 (1<<12)
828#define OASTARTTRIG2_INVERT_A_13 (1<<13)
829#define OASTARTTRIG2_INVERT_A_14 (1<<14)
830#define OASTARTTRIG2_INVERT_A_15 (1<<15)
831#define OASTARTTRIG2_INVERT_B_0 (1<<16)
832#define OASTARTTRIG2_INVERT_B_1 (1<<17)
833#define OASTARTTRIG2_INVERT_B_2 (1<<18)
834#define OASTARTTRIG2_INVERT_B_3 (1<<19)
835#define OASTARTTRIG2_INVERT_C_0 (1<<20)
836#define OASTARTTRIG2_INVERT_C_1 (1<<21)
837#define OASTARTTRIG2_INVERT_D_0 (1<<22)
838#define OASTARTTRIG2_THRESHOLD_ENABLE (1<<23)
839#define OASTARTTRIG2_START_TRIG_FLAG_MBZ (1<<24)
840#define OASTARTTRIG2_EVENT_SELECT_0 (1<<28)
841#define OASTARTTRIG2_EVENT_SELECT_1 (1<<29)
842#define OASTARTTRIG2_EVENT_SELECT_2 (1<<30)
843#define OASTARTTRIG2_EVENT_SELECT_3 (1<<31)
844
845#define OASTARTTRIG3 _MMIO(0x2718)
846#define OASTARTTRIG3_NOA_SELECT_MASK 0xf
847#define OASTARTTRIG3_NOA_SELECT_8_SHIFT 0
848#define OASTARTTRIG3_NOA_SELECT_9_SHIFT 4
849#define OASTARTTRIG3_NOA_SELECT_10_SHIFT 8
850#define OASTARTTRIG3_NOA_SELECT_11_SHIFT 12
851#define OASTARTTRIG3_NOA_SELECT_12_SHIFT 16
852#define OASTARTTRIG3_NOA_SELECT_13_SHIFT 20
853#define OASTARTTRIG3_NOA_SELECT_14_SHIFT 24
854#define OASTARTTRIG3_NOA_SELECT_15_SHIFT 28
855
856#define OASTARTTRIG4 _MMIO(0x271c)
857#define OASTARTTRIG4_NOA_SELECT_MASK 0xf
858#define OASTARTTRIG4_NOA_SELECT_0_SHIFT 0
859#define OASTARTTRIG4_NOA_SELECT_1_SHIFT 4
860#define OASTARTTRIG4_NOA_SELECT_2_SHIFT 8
861#define OASTARTTRIG4_NOA_SELECT_3_SHIFT 12
862#define OASTARTTRIG4_NOA_SELECT_4_SHIFT 16
863#define OASTARTTRIG4_NOA_SELECT_5_SHIFT 20
864#define OASTARTTRIG4_NOA_SELECT_6_SHIFT 24
865#define OASTARTTRIG4_NOA_SELECT_7_SHIFT 28
866
867#define OASTARTTRIG5 _MMIO(0x2720)
868#define OASTARTTRIG5_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
869#define OASTARTTRIG5_THRESHOLD_MASK 0xffff
870
871#define OASTARTTRIG6 _MMIO(0x2724)
872#define OASTARTTRIG6_INVERT_A_0 (1<<0)
873#define OASTARTTRIG6_INVERT_A_1 (1<<1)
874#define OASTARTTRIG6_INVERT_A_2 (1<<2)
875#define OASTARTTRIG6_INVERT_A_3 (1<<3)
876#define OASTARTTRIG6_INVERT_A_4 (1<<4)
877#define OASTARTTRIG6_INVERT_A_5 (1<<5)
878#define OASTARTTRIG6_INVERT_A_6 (1<<6)
879#define OASTARTTRIG6_INVERT_A_7 (1<<7)
880#define OASTARTTRIG6_INVERT_A_8 (1<<8)
881#define OASTARTTRIG6_INVERT_A_9 (1<<9)
882#define OASTARTTRIG6_INVERT_A_10 (1<<10)
883#define OASTARTTRIG6_INVERT_A_11 (1<<11)
884#define OASTARTTRIG6_INVERT_A_12 (1<<12)
885#define OASTARTTRIG6_INVERT_A_13 (1<<13)
886#define OASTARTTRIG6_INVERT_A_14 (1<<14)
887#define OASTARTTRIG6_INVERT_A_15 (1<<15)
888#define OASTARTTRIG6_INVERT_B_0 (1<<16)
889#define OASTARTTRIG6_INVERT_B_1 (1<<17)
890#define OASTARTTRIG6_INVERT_B_2 (1<<18)
891#define OASTARTTRIG6_INVERT_B_3 (1<<19)
892#define OASTARTTRIG6_INVERT_C_0 (1<<20)
893#define OASTARTTRIG6_INVERT_C_1 (1<<21)
894#define OASTARTTRIG6_INVERT_D_0 (1<<22)
895#define OASTARTTRIG6_THRESHOLD_ENABLE (1<<23)
896#define OASTARTTRIG6_START_TRIG_FLAG_MBZ (1<<24)
897#define OASTARTTRIG6_EVENT_SELECT_4 (1<<28)
898#define OASTARTTRIG6_EVENT_SELECT_5 (1<<29)
899#define OASTARTTRIG6_EVENT_SELECT_6 (1<<30)
900#define OASTARTTRIG6_EVENT_SELECT_7 (1<<31)
901
902#define OASTARTTRIG7 _MMIO(0x2728)
903#define OASTARTTRIG7_NOA_SELECT_MASK 0xf
904#define OASTARTTRIG7_NOA_SELECT_8_SHIFT 0
905#define OASTARTTRIG7_NOA_SELECT_9_SHIFT 4
906#define OASTARTTRIG7_NOA_SELECT_10_SHIFT 8
907#define OASTARTTRIG7_NOA_SELECT_11_SHIFT 12
908#define OASTARTTRIG7_NOA_SELECT_12_SHIFT 16
909#define OASTARTTRIG7_NOA_SELECT_13_SHIFT 20
910#define OASTARTTRIG7_NOA_SELECT_14_SHIFT 24
911#define OASTARTTRIG7_NOA_SELECT_15_SHIFT 28
912
913#define OASTARTTRIG8 _MMIO(0x272c)
914#define OASTARTTRIG8_NOA_SELECT_MASK 0xf
915#define OASTARTTRIG8_NOA_SELECT_0_SHIFT 0
916#define OASTARTTRIG8_NOA_SELECT_1_SHIFT 4
917#define OASTARTTRIG8_NOA_SELECT_2_SHIFT 8
918#define OASTARTTRIG8_NOA_SELECT_3_SHIFT 12
919#define OASTARTTRIG8_NOA_SELECT_4_SHIFT 16
920#define OASTARTTRIG8_NOA_SELECT_5_SHIFT 20
921#define OASTARTTRIG8_NOA_SELECT_6_SHIFT 24
922#define OASTARTTRIG8_NOA_SELECT_7_SHIFT 28
923
924/* CECX_0 */
925#define OACEC_COMPARE_LESS_OR_EQUAL 6
926#define OACEC_COMPARE_NOT_EQUAL 5
927#define OACEC_COMPARE_LESS_THAN 4
928#define OACEC_COMPARE_GREATER_OR_EQUAL 3
929#define OACEC_COMPARE_EQUAL 2
930#define OACEC_COMPARE_GREATER_THAN 1
931#define OACEC_COMPARE_ANY_EQUAL 0
932
933#define OACEC_COMPARE_VALUE_MASK 0xffff
934#define OACEC_COMPARE_VALUE_SHIFT 3
935
936#define OACEC_SELECT_NOA (0<<19)
937#define OACEC_SELECT_PREV (1<<19)
938#define OACEC_SELECT_BOOLEAN (2<<19)
939
940/* CECX_1 */
941#define OACEC_MASK_MASK 0xffff
942#define OACEC_CONSIDERATIONS_MASK 0xffff
943#define OACEC_CONSIDERATIONS_SHIFT 16
944
945#define OACEC0_0 _MMIO(0x2770)
946#define OACEC0_1 _MMIO(0x2774)
947#define OACEC1_0 _MMIO(0x2778)
948#define OACEC1_1 _MMIO(0x277c)
949#define OACEC2_0 _MMIO(0x2780)
950#define OACEC2_1 _MMIO(0x2784)
951#define OACEC3_0 _MMIO(0x2788)
952#define OACEC3_1 _MMIO(0x278c)
953#define OACEC4_0 _MMIO(0x2790)
954#define OACEC4_1 _MMIO(0x2794)
955#define OACEC5_0 _MMIO(0x2798)
956#define OACEC5_1 _MMIO(0x279c)
957#define OACEC6_0 _MMIO(0x27a0)
958#define OACEC6_1 _MMIO(0x27a4)
959#define OACEC7_0 _MMIO(0x27a8)
960#define OACEC7_1 _MMIO(0x27ac)
961
180b813c 962
220375aa
BV
963#define _GEN7_PIPEA_DE_LOAD_SL 0x70068
964#define _GEN7_PIPEB_DE_LOAD_SL 0x71068
f0f59a00 965#define GEN7_PIPE_DE_LOAD_SL(pipe) _MMIO_PIPE(pipe, _GEN7_PIPEA_DE_LOAD_SL, _GEN7_PIPEB_DE_LOAD_SL)
220375aa 966
dc96e9b8
CW
967/*
968 * Reset registers
969 */
f0f59a00 970#define DEBUG_RESET_I830 _MMIO(0x6070)
dc96e9b8
CW
971#define DEBUG_RESET_FULL (1<<7)
972#define DEBUG_RESET_RENDER (1<<8)
973#define DEBUG_RESET_DISPLAY (1<<9)
974
57f350b6 975/*
5a09ae9f
JN
976 * IOSF sideband
977 */
f0f59a00 978#define VLV_IOSF_DOORBELL_REQ _MMIO(VLV_DISPLAY_BASE + 0x2100)
5a09ae9f
JN
979#define IOSF_DEVFN_SHIFT 24
980#define IOSF_OPCODE_SHIFT 16
981#define IOSF_PORT_SHIFT 8
982#define IOSF_BYTE_ENABLES_SHIFT 4
983#define IOSF_BAR_SHIFT 1
984#define IOSF_SB_BUSY (1<<0)
4688d45f
JN
985#define IOSF_PORT_BUNIT 0x03
986#define IOSF_PORT_PUNIT 0x04
5a09ae9f
JN
987#define IOSF_PORT_NC 0x11
988#define IOSF_PORT_DPIO 0x12
e9f882a3
JN
989#define IOSF_PORT_GPIO_NC 0x13
990#define IOSF_PORT_CCK 0x14
4688d45f
JN
991#define IOSF_PORT_DPIO_2 0x1a
992#define IOSF_PORT_FLISDSI 0x1b
dfb19ed2
D
993#define IOSF_PORT_GPIO_SC 0x48
994#define IOSF_PORT_GPIO_SUS 0xa8
4688d45f 995#define IOSF_PORT_CCU 0xa9
7071af97
JN
996#define CHV_IOSF_PORT_GPIO_N 0x13
997#define CHV_IOSF_PORT_GPIO_SE 0x48
998#define CHV_IOSF_PORT_GPIO_E 0xa8
999#define CHV_IOSF_PORT_GPIO_SW 0xb2
f0f59a00
VS
1000#define VLV_IOSF_DATA _MMIO(VLV_DISPLAY_BASE + 0x2104)
1001#define VLV_IOSF_ADDR _MMIO(VLV_DISPLAY_BASE + 0x2108)
5a09ae9f 1002
30a970c6
JB
1003/* See configdb bunit SB addr map */
1004#define BUNIT_REG_BISOC 0x11
1005
30a970c6 1006#define PUNIT_REG_DSPFREQ 0x36
383c5a6a
VS
1007#define DSPFREQSTAT_SHIFT_CHV 24
1008#define DSPFREQSTAT_MASK_CHV (0x1f << DSPFREQSTAT_SHIFT_CHV)
1009#define DSPFREQGUAR_SHIFT_CHV 8
1010#define DSPFREQGUAR_MASK_CHV (0x1f << DSPFREQGUAR_SHIFT_CHV)
30a970c6
JB
1011#define DSPFREQSTAT_SHIFT 30
1012#define DSPFREQSTAT_MASK (0x3 << DSPFREQSTAT_SHIFT)
1013#define DSPFREQGUAR_SHIFT 14
1014#define DSPFREQGUAR_MASK (0x3 << DSPFREQGUAR_SHIFT)
cfb41411
VS
1015#define DSP_MAXFIFO_PM5_STATUS (1 << 22) /* chv */
1016#define DSP_AUTO_CDCLK_GATE_DISABLE (1 << 7) /* chv */
1017#define DSP_MAXFIFO_PM5_ENABLE (1 << 6) /* chv */
26972b0a
VS
1018#define _DP_SSC(val, pipe) ((val) << (2 * (pipe)))
1019#define DP_SSC_MASK(pipe) _DP_SSC(0x3, (pipe))
1020#define DP_SSC_PWR_ON(pipe) _DP_SSC(0x0, (pipe))
1021#define DP_SSC_CLK_GATE(pipe) _DP_SSC(0x1, (pipe))
1022#define DP_SSC_RESET(pipe) _DP_SSC(0x2, (pipe))
1023#define DP_SSC_PWR_GATE(pipe) _DP_SSC(0x3, (pipe))
1024#define _DP_SSS(val, pipe) ((val) << (2 * (pipe) + 16))
1025#define DP_SSS_MASK(pipe) _DP_SSS(0x3, (pipe))
1026#define DP_SSS_PWR_ON(pipe) _DP_SSS(0x0, (pipe))
1027#define DP_SSS_CLK_GATE(pipe) _DP_SSS(0x1, (pipe))
1028#define DP_SSS_RESET(pipe) _DP_SSS(0x2, (pipe))
1029#define DP_SSS_PWR_GATE(pipe) _DP_SSS(0x3, (pipe))
a30180a5
ID
1030
1031/* See the PUNIT HAS v0.8 for the below bits */
1032enum punit_power_well {
cd02ac52 1033 /* These numbers are fixed and must match the position of the pw bits */
a30180a5
ID
1034 PUNIT_POWER_WELL_RENDER = 0,
1035 PUNIT_POWER_WELL_MEDIA = 1,
1036 PUNIT_POWER_WELL_DISP2D = 3,
1037 PUNIT_POWER_WELL_DPIO_CMN_BC = 5,
1038 PUNIT_POWER_WELL_DPIO_TX_B_LANES_01 = 6,
1039 PUNIT_POWER_WELL_DPIO_TX_B_LANES_23 = 7,
1040 PUNIT_POWER_WELL_DPIO_TX_C_LANES_01 = 8,
1041 PUNIT_POWER_WELL_DPIO_TX_C_LANES_23 = 9,
1042 PUNIT_POWER_WELL_DPIO_RX0 = 10,
1043 PUNIT_POWER_WELL_DPIO_RX1 = 11,
5d6f7ea7 1044 PUNIT_POWER_WELL_DPIO_CMN_D = 12,
a30180a5 1045
cd02ac52 1046 /* Not actual bit groups. Used as IDs for lookup_power_well() */
56fcfd63 1047 PUNIT_POWER_WELL_ALWAYS_ON,
a30180a5
ID
1048};
1049
94dd5138 1050enum skl_disp_power_wells {
cd02ac52 1051 /* These numbers are fixed and must match the position of the pw bits */
94dd5138
S
1052 SKL_DISP_PW_MISC_IO,
1053 SKL_DISP_PW_DDI_A_E,
0d03926d 1054 GLK_DISP_PW_DDI_A = SKL_DISP_PW_DDI_A_E,
94dd5138
S
1055 SKL_DISP_PW_DDI_B,
1056 SKL_DISP_PW_DDI_C,
1057 SKL_DISP_PW_DDI_D,
0d03926d
ACO
1058
1059 GLK_DISP_PW_AUX_A = 8,
1060 GLK_DISP_PW_AUX_B,
1061 GLK_DISP_PW_AUX_C,
1062
94dd5138
S
1063 SKL_DISP_PW_1 = 14,
1064 SKL_DISP_PW_2,
56fcfd63 1065
cd02ac52 1066 /* Not actual bit groups. Used as IDs for lookup_power_well() */
56fcfd63 1067 SKL_DISP_PW_ALWAYS_ON,
9f836f90 1068 SKL_DISP_PW_DC_OFF,
9c8d0b8e
ID
1069
1070 BXT_DPIO_CMN_A,
1071 BXT_DPIO_CMN_BC,
0a116ce8 1072 GLK_DPIO_CMN_C,
94dd5138
S
1073};
1074
1075#define SKL_POWER_WELL_STATE(pw) (1 << ((pw) * 2))
1076#define SKL_POWER_WELL_REQ(pw) (1 << (((pw) * 2) + 1))
1077
02f4c9e0
CML
1078#define PUNIT_REG_PWRGT_CTRL 0x60
1079#define PUNIT_REG_PWRGT_STATUS 0x61
a30180a5
ID
1080#define PUNIT_PWRGT_MASK(power_well) (3 << ((power_well) * 2))
1081#define PUNIT_PWRGT_PWR_ON(power_well) (0 << ((power_well) * 2))
1082#define PUNIT_PWRGT_CLK_GATE(power_well) (1 << ((power_well) * 2))
1083#define PUNIT_PWRGT_RESET(power_well) (2 << ((power_well) * 2))
1084#define PUNIT_PWRGT_PWR_GATE(power_well) (3 << ((power_well) * 2))
02f4c9e0 1085
5a09ae9f
JN
1086#define PUNIT_REG_GPU_LFM 0xd3
1087#define PUNIT_REG_GPU_FREQ_REQ 0xd4
1088#define PUNIT_REG_GPU_FREQ_STS 0xd8
c8e9627d 1089#define GPLLENABLE (1<<4)
e8474409 1090#define GENFREQSTATUS (1<<0)
5a09ae9f 1091#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
31685c25 1092#define PUNIT_REG_CZ_TIMESTAMP 0xce
5a09ae9f
JN
1093
1094#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
1095#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
1096
095acd5f
D
1097#define FB_GFX_FMAX_AT_VMAX_FUSE 0x136
1098#define FB_GFX_FREQ_FUSE_MASK 0xff
1099#define FB_GFX_FMAX_AT_VMAX_2SS4EU_FUSE_SHIFT 24
1100#define FB_GFX_FMAX_AT_VMAX_2SS6EU_FUSE_SHIFT 16
1101#define FB_GFX_FMAX_AT_VMAX_2SS8EU_FUSE_SHIFT 8
1102
1103#define FB_GFX_FMIN_AT_VMIN_FUSE 0x137
1104#define FB_GFX_FMIN_AT_VMIN_FUSE_SHIFT 8
1105
fc1ac8de
VS
1106#define PUNIT_REG_DDR_SETUP2 0x139
1107#define FORCE_DDR_FREQ_REQ_ACK (1 << 8)
1108#define FORCE_DDR_LOW_FREQ (1 << 1)
1109#define FORCE_DDR_HIGH_FREQ (1 << 0)
1110
2b6b3a09
D
1111#define PUNIT_GPU_STATUS_REG 0xdb
1112#define PUNIT_GPU_STATUS_MAX_FREQ_SHIFT 16
1113#define PUNIT_GPU_STATUS_MAX_FREQ_MASK 0xff
1114#define PUNIT_GPU_STATIS_GFX_MIN_FREQ_SHIFT 8
1115#define PUNIT_GPU_STATUS_GFX_MIN_FREQ_MASK 0xff
1116
1117#define PUNIT_GPU_DUTYCYCLE_REG 0xdf
1118#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_SHIFT 8
1119#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_MASK 0xff
1120
5a09ae9f
JN
1121#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
1122#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
1123#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
1124#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
1125#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
1126#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
1127#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
1128#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
1129#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
1130#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
1131
3ef62342
D
1132#define VLV_TURBO_SOC_OVERRIDE 0x04
1133#define VLV_OVERRIDE_EN 1
1134#define VLV_SOC_TDP_EN (1 << 1)
1135#define VLV_BIAS_CPU_125_SOC_875 (6 << 2)
1136#define CHV_BIAS_CPU_50_SOC_50 (3 << 2)
1137
31685c25 1138#define VLV_CZ_CLOCK_TO_MILLI_SEC 100000
31685c25 1139
be4fc046 1140/* vlv2 north clock has */
24eb2d59
CML
1141#define CCK_FUSE_REG 0x8
1142#define CCK_FUSE_HPLL_FREQ_MASK 0x3
be4fc046 1143#define CCK_REG_DSI_PLL_FUSE 0x44
1144#define CCK_REG_DSI_PLL_CONTROL 0x48
1145#define DSI_PLL_VCO_EN (1 << 31)
1146#define DSI_PLL_LDO_GATE (1 << 30)
1147#define DSI_PLL_P1_POST_DIV_SHIFT 17
1148#define DSI_PLL_P1_POST_DIV_MASK (0x1ff << 17)
1149#define DSI_PLL_P2_MUX_DSI0_DIV2 (1 << 13)
1150#define DSI_PLL_P3_MUX_DSI1_DIV2 (1 << 12)
1151#define DSI_PLL_MUX_MASK (3 << 9)
1152#define DSI_PLL_MUX_DSI0_DSIPLL (0 << 10)
1153#define DSI_PLL_MUX_DSI0_CCK (1 << 10)
1154#define DSI_PLL_MUX_DSI1_DSIPLL (0 << 9)
1155#define DSI_PLL_MUX_DSI1_CCK (1 << 9)
1156#define DSI_PLL_CLK_GATE_MASK (0xf << 5)
1157#define DSI_PLL_CLK_GATE_DSI0_DSIPLL (1 << 8)
1158#define DSI_PLL_CLK_GATE_DSI1_DSIPLL (1 << 7)
1159#define DSI_PLL_CLK_GATE_DSI0_CCK (1 << 6)
1160#define DSI_PLL_CLK_GATE_DSI1_CCK (1 << 5)
1161#define DSI_PLL_LOCK (1 << 0)
1162#define CCK_REG_DSI_PLL_DIVIDER 0x4c
1163#define DSI_PLL_LFSR (1 << 31)
1164#define DSI_PLL_FRACTION_EN (1 << 30)
1165#define DSI_PLL_FRAC_COUNTER_SHIFT 27
1166#define DSI_PLL_FRAC_COUNTER_MASK (7 << 27)
1167#define DSI_PLL_USYNC_CNT_SHIFT 18
1168#define DSI_PLL_USYNC_CNT_MASK (0x1ff << 18)
1169#define DSI_PLL_N1_DIV_SHIFT 16
1170#define DSI_PLL_N1_DIV_MASK (3 << 16)
1171#define DSI_PLL_M1_DIV_SHIFT 0
1172#define DSI_PLL_M1_DIV_MASK (0x1ff << 0)
bfa7df01 1173#define CCK_CZ_CLOCK_CONTROL 0x62
c30fec65 1174#define CCK_GPLL_CLOCK_CONTROL 0x67
30a970c6 1175#define CCK_DISPLAY_CLOCK_CONTROL 0x6b
35d38d1f 1176#define CCK_DISPLAY_REF_CLOCK_CONTROL 0x6c
87d5d259
VK
1177#define CCK_TRUNK_FORCE_ON (1 << 17)
1178#define CCK_TRUNK_FORCE_OFF (1 << 16)
1179#define CCK_FREQUENCY_STATUS (0x1f << 8)
1180#define CCK_FREQUENCY_STATUS_SHIFT 8
1181#define CCK_FREQUENCY_VALUES (0x1f << 0)
be4fc046 1182
f38861b8 1183/* DPIO registers */
5a09ae9f 1184#define DPIO_DEVFN 0
5a09ae9f 1185
f0f59a00 1186#define DPIO_CTL _MMIO(VLV_DISPLAY_BASE + 0x2110)
57f350b6
JB
1187#define DPIO_MODSEL1 (1<<3) /* if ref clk b == 27 */
1188#define DPIO_MODSEL0 (1<<2) /* if ref clk a == 27 */
1189#define DPIO_SFR_BYPASS (1<<1)
40e9cf64 1190#define DPIO_CMNRST (1<<0)
57f350b6 1191
e4607fcf
CML
1192#define DPIO_PHY(pipe) ((pipe) >> 1)
1193#define DPIO_PHY_IOSF_PORT(phy) (dev_priv->dpio_phy_iosf_port[phy])
1194
598fac6b
DV
1195/*
1196 * Per pipe/PLL DPIO regs
1197 */
ab3c759a 1198#define _VLV_PLL_DW3_CH0 0x800c
57f350b6 1199#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
1200#define DPIO_POST_DIV_DAC 0
1201#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
1202#define DPIO_POST_DIV_LVDS1 2
1203#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
1204#define DPIO_K_SHIFT (24) /* 4 bits */
1205#define DPIO_P1_SHIFT (21) /* 3 bits */
1206#define DPIO_P2_SHIFT (16) /* 5 bits */
1207#define DPIO_N_SHIFT (12) /* 4 bits */
1208#define DPIO_ENABLE_CALIBRATION (1<<11)
1209#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
1210#define DPIO_M2DIV_MASK 0xff
ab3c759a
CML
1211#define _VLV_PLL_DW3_CH1 0x802c
1212#define VLV_PLL_DW3(ch) _PIPE(ch, _VLV_PLL_DW3_CH0, _VLV_PLL_DW3_CH1)
57f350b6 1213
ab3c759a 1214#define _VLV_PLL_DW5_CH0 0x8014
57f350b6
JB
1215#define DPIO_REFSEL_OVERRIDE 27
1216#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
1217#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
1218#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 1219#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
1220#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
1221#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
ab3c759a
CML
1222#define _VLV_PLL_DW5_CH1 0x8034
1223#define VLV_PLL_DW5(ch) _PIPE(ch, _VLV_PLL_DW5_CH0, _VLV_PLL_DW5_CH1)
57f350b6 1224
ab3c759a
CML
1225#define _VLV_PLL_DW7_CH0 0x801c
1226#define _VLV_PLL_DW7_CH1 0x803c
1227#define VLV_PLL_DW7(ch) _PIPE(ch, _VLV_PLL_DW7_CH0, _VLV_PLL_DW7_CH1)
57f350b6 1228
ab3c759a
CML
1229#define _VLV_PLL_DW8_CH0 0x8040
1230#define _VLV_PLL_DW8_CH1 0x8060
1231#define VLV_PLL_DW8(ch) _PIPE(ch, _VLV_PLL_DW8_CH0, _VLV_PLL_DW8_CH1)
598fac6b 1232
ab3c759a
CML
1233#define VLV_PLL_DW9_BCAST 0xc044
1234#define _VLV_PLL_DW9_CH0 0x8044
1235#define _VLV_PLL_DW9_CH1 0x8064
1236#define VLV_PLL_DW9(ch) _PIPE(ch, _VLV_PLL_DW9_CH0, _VLV_PLL_DW9_CH1)
598fac6b 1237
ab3c759a
CML
1238#define _VLV_PLL_DW10_CH0 0x8048
1239#define _VLV_PLL_DW10_CH1 0x8068
1240#define VLV_PLL_DW10(ch) _PIPE(ch, _VLV_PLL_DW10_CH0, _VLV_PLL_DW10_CH1)
598fac6b 1241
ab3c759a
CML
1242#define _VLV_PLL_DW11_CH0 0x804c
1243#define _VLV_PLL_DW11_CH1 0x806c
1244#define VLV_PLL_DW11(ch) _PIPE(ch, _VLV_PLL_DW11_CH0, _VLV_PLL_DW11_CH1)
57f350b6 1245
ab3c759a
CML
1246/* Spec for ref block start counts at DW10 */
1247#define VLV_REF_DW13 0x80ac
598fac6b 1248
ab3c759a 1249#define VLV_CMN_DW0 0x8100
dc96e9b8 1250
598fac6b
DV
1251/*
1252 * Per DDI channel DPIO regs
1253 */
1254
ab3c759a
CML
1255#define _VLV_PCS_DW0_CH0 0x8200
1256#define _VLV_PCS_DW0_CH1 0x8400
598fac6b
DV
1257#define DPIO_PCS_TX_LANE2_RESET (1<<16)
1258#define DPIO_PCS_TX_LANE1_RESET (1<<7)
570e2a74
VS
1259#define DPIO_LEFT_TXFIFO_RST_MASTER2 (1<<4)
1260#define DPIO_RIGHT_TXFIFO_RST_MASTER2 (1<<3)
ab3c759a 1261#define VLV_PCS_DW0(ch) _PORT(ch, _VLV_PCS_DW0_CH0, _VLV_PCS_DW0_CH1)
598fac6b 1262
97fd4d5c
VS
1263#define _VLV_PCS01_DW0_CH0 0x200
1264#define _VLV_PCS23_DW0_CH0 0x400
1265#define _VLV_PCS01_DW0_CH1 0x2600
1266#define _VLV_PCS23_DW0_CH1 0x2800
1267#define VLV_PCS01_DW0(ch) _PORT(ch, _VLV_PCS01_DW0_CH0, _VLV_PCS01_DW0_CH1)
1268#define VLV_PCS23_DW0(ch) _PORT(ch, _VLV_PCS23_DW0_CH0, _VLV_PCS23_DW0_CH1)
1269
ab3c759a
CML
1270#define _VLV_PCS_DW1_CH0 0x8204
1271#define _VLV_PCS_DW1_CH1 0x8404
d2152b25 1272#define CHV_PCS_REQ_SOFTRESET_EN (1<<23)
598fac6b
DV
1273#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1<<22)
1274#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1<<21)
1275#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
1276#define DPIO_PCS_CLK_SOFT_RESET (1<<5)
ab3c759a
CML
1277#define VLV_PCS_DW1(ch) _PORT(ch, _VLV_PCS_DW1_CH0, _VLV_PCS_DW1_CH1)
1278
97fd4d5c
VS
1279#define _VLV_PCS01_DW1_CH0 0x204
1280#define _VLV_PCS23_DW1_CH0 0x404
1281#define _VLV_PCS01_DW1_CH1 0x2604
1282#define _VLV_PCS23_DW1_CH1 0x2804
1283#define VLV_PCS01_DW1(ch) _PORT(ch, _VLV_PCS01_DW1_CH0, _VLV_PCS01_DW1_CH1)
1284#define VLV_PCS23_DW1(ch) _PORT(ch, _VLV_PCS23_DW1_CH0, _VLV_PCS23_DW1_CH1)
1285
ab3c759a
CML
1286#define _VLV_PCS_DW8_CH0 0x8220
1287#define _VLV_PCS_DW8_CH1 0x8420
9197c88b
VS
1288#define CHV_PCS_USEDCLKCHANNEL_OVRRIDE (1 << 20)
1289#define CHV_PCS_USEDCLKCHANNEL (1 << 21)
ab3c759a
CML
1290#define VLV_PCS_DW8(ch) _PORT(ch, _VLV_PCS_DW8_CH0, _VLV_PCS_DW8_CH1)
1291
1292#define _VLV_PCS01_DW8_CH0 0x0220
1293#define _VLV_PCS23_DW8_CH0 0x0420
1294#define _VLV_PCS01_DW8_CH1 0x2620
1295#define _VLV_PCS23_DW8_CH1 0x2820
1296#define VLV_PCS01_DW8(port) _PORT(port, _VLV_PCS01_DW8_CH0, _VLV_PCS01_DW8_CH1)
1297#define VLV_PCS23_DW8(port) _PORT(port, _VLV_PCS23_DW8_CH0, _VLV_PCS23_DW8_CH1)
1298
1299#define _VLV_PCS_DW9_CH0 0x8224
1300#define _VLV_PCS_DW9_CH1 0x8424
a02ef3c7
VS
1301#define DPIO_PCS_TX2MARGIN_MASK (0x7<<13)
1302#define DPIO_PCS_TX2MARGIN_000 (0<<13)
1303#define DPIO_PCS_TX2MARGIN_101 (1<<13)
1304#define DPIO_PCS_TX1MARGIN_MASK (0x7<<10)
1305#define DPIO_PCS_TX1MARGIN_000 (0<<10)
1306#define DPIO_PCS_TX1MARGIN_101 (1<<10)
ab3c759a
CML
1307#define VLV_PCS_DW9(ch) _PORT(ch, _VLV_PCS_DW9_CH0, _VLV_PCS_DW9_CH1)
1308
a02ef3c7
VS
1309#define _VLV_PCS01_DW9_CH0 0x224
1310#define _VLV_PCS23_DW9_CH0 0x424
1311#define _VLV_PCS01_DW9_CH1 0x2624
1312#define _VLV_PCS23_DW9_CH1 0x2824
1313#define VLV_PCS01_DW9(ch) _PORT(ch, _VLV_PCS01_DW9_CH0, _VLV_PCS01_DW9_CH1)
1314#define VLV_PCS23_DW9(ch) _PORT(ch, _VLV_PCS23_DW9_CH0, _VLV_PCS23_DW9_CH1)
1315
9d556c99
CML
1316#define _CHV_PCS_DW10_CH0 0x8228
1317#define _CHV_PCS_DW10_CH1 0x8428
1318#define DPIO_PCS_SWING_CALC_TX0_TX2 (1<<30)
1319#define DPIO_PCS_SWING_CALC_TX1_TX3 (1<<31)
a02ef3c7
VS
1320#define DPIO_PCS_TX2DEEMP_MASK (0xf<<24)
1321#define DPIO_PCS_TX2DEEMP_9P5 (0<<24)
1322#define DPIO_PCS_TX2DEEMP_6P0 (2<<24)
1323#define DPIO_PCS_TX1DEEMP_MASK (0xf<<16)
1324#define DPIO_PCS_TX1DEEMP_9P5 (0<<16)
1325#define DPIO_PCS_TX1DEEMP_6P0 (2<<16)
9d556c99
CML
1326#define CHV_PCS_DW10(ch) _PORT(ch, _CHV_PCS_DW10_CH0, _CHV_PCS_DW10_CH1)
1327
1966e59e
VS
1328#define _VLV_PCS01_DW10_CH0 0x0228
1329#define _VLV_PCS23_DW10_CH0 0x0428
1330#define _VLV_PCS01_DW10_CH1 0x2628
1331#define _VLV_PCS23_DW10_CH1 0x2828
1332#define VLV_PCS01_DW10(port) _PORT(port, _VLV_PCS01_DW10_CH0, _VLV_PCS01_DW10_CH1)
1333#define VLV_PCS23_DW10(port) _PORT(port, _VLV_PCS23_DW10_CH0, _VLV_PCS23_DW10_CH1)
1334
ab3c759a
CML
1335#define _VLV_PCS_DW11_CH0 0x822c
1336#define _VLV_PCS_DW11_CH1 0x842c
2e523e98 1337#define DPIO_TX2_STAGGER_MASK(x) ((x)<<24)
570e2a74
VS
1338#define DPIO_LANEDESKEW_STRAP_OVRD (1<<3)
1339#define DPIO_LEFT_TXFIFO_RST_MASTER (1<<1)
1340#define DPIO_RIGHT_TXFIFO_RST_MASTER (1<<0)
ab3c759a
CML
1341#define VLV_PCS_DW11(ch) _PORT(ch, _VLV_PCS_DW11_CH0, _VLV_PCS_DW11_CH1)
1342
570e2a74
VS
1343#define _VLV_PCS01_DW11_CH0 0x022c
1344#define _VLV_PCS23_DW11_CH0 0x042c
1345#define _VLV_PCS01_DW11_CH1 0x262c
1346#define _VLV_PCS23_DW11_CH1 0x282c
142d2eca
VS
1347#define VLV_PCS01_DW11(ch) _PORT(ch, _VLV_PCS01_DW11_CH0, _VLV_PCS01_DW11_CH1)
1348#define VLV_PCS23_DW11(ch) _PORT(ch, _VLV_PCS23_DW11_CH0, _VLV_PCS23_DW11_CH1)
570e2a74 1349
2e523e98
VS
1350#define _VLV_PCS01_DW12_CH0 0x0230
1351#define _VLV_PCS23_DW12_CH0 0x0430
1352#define _VLV_PCS01_DW12_CH1 0x2630
1353#define _VLV_PCS23_DW12_CH1 0x2830
1354#define VLV_PCS01_DW12(ch) _PORT(ch, _VLV_PCS01_DW12_CH0, _VLV_PCS01_DW12_CH1)
1355#define VLV_PCS23_DW12(ch) _PORT(ch, _VLV_PCS23_DW12_CH0, _VLV_PCS23_DW12_CH1)
1356
ab3c759a
CML
1357#define _VLV_PCS_DW12_CH0 0x8230
1358#define _VLV_PCS_DW12_CH1 0x8430
2e523e98
VS
1359#define DPIO_TX2_STAGGER_MULT(x) ((x)<<20)
1360#define DPIO_TX1_STAGGER_MULT(x) ((x)<<16)
1361#define DPIO_TX1_STAGGER_MASK(x) ((x)<<8)
1362#define DPIO_LANESTAGGER_STRAP_OVRD (1<<6)
1363#define DPIO_LANESTAGGER_STRAP(x) ((x)<<0)
ab3c759a
CML
1364#define VLV_PCS_DW12(ch) _PORT(ch, _VLV_PCS_DW12_CH0, _VLV_PCS_DW12_CH1)
1365
1366#define _VLV_PCS_DW14_CH0 0x8238
1367#define _VLV_PCS_DW14_CH1 0x8438
1368#define VLV_PCS_DW14(ch) _PORT(ch, _VLV_PCS_DW14_CH0, _VLV_PCS_DW14_CH1)
1369
1370#define _VLV_PCS_DW23_CH0 0x825c
1371#define _VLV_PCS_DW23_CH1 0x845c
1372#define VLV_PCS_DW23(ch) _PORT(ch, _VLV_PCS_DW23_CH0, _VLV_PCS_DW23_CH1)
1373
1374#define _VLV_TX_DW2_CH0 0x8288
1375#define _VLV_TX_DW2_CH1 0x8488
1fb44505
VS
1376#define DPIO_SWING_MARGIN000_SHIFT 16
1377#define DPIO_SWING_MARGIN000_MASK (0xff << DPIO_SWING_MARGIN000_SHIFT)
9d556c99 1378#define DPIO_UNIQ_TRANS_SCALE_SHIFT 8
ab3c759a
CML
1379#define VLV_TX_DW2(ch) _PORT(ch, _VLV_TX_DW2_CH0, _VLV_TX_DW2_CH1)
1380
1381#define _VLV_TX_DW3_CH0 0x828c
1382#define _VLV_TX_DW3_CH1 0x848c
9d556c99
CML
1383/* The following bit for CHV phy */
1384#define DPIO_TX_UNIQ_TRANS_SCALE_EN (1<<27)
1fb44505
VS
1385#define DPIO_SWING_MARGIN101_SHIFT 16
1386#define DPIO_SWING_MARGIN101_MASK (0xff << DPIO_SWING_MARGIN101_SHIFT)
ab3c759a
CML
1387#define VLV_TX_DW3(ch) _PORT(ch, _VLV_TX_DW3_CH0, _VLV_TX_DW3_CH1)
1388
1389#define _VLV_TX_DW4_CH0 0x8290
1390#define _VLV_TX_DW4_CH1 0x8490
9d556c99
CML
1391#define DPIO_SWING_DEEMPH9P5_SHIFT 24
1392#define DPIO_SWING_DEEMPH9P5_MASK (0xff << DPIO_SWING_DEEMPH9P5_SHIFT)
1fb44505
VS
1393#define DPIO_SWING_DEEMPH6P0_SHIFT 16
1394#define DPIO_SWING_DEEMPH6P0_MASK (0xff << DPIO_SWING_DEEMPH6P0_SHIFT)
ab3c759a
CML
1395#define VLV_TX_DW4(ch) _PORT(ch, _VLV_TX_DW4_CH0, _VLV_TX_DW4_CH1)
1396
1397#define _VLV_TX3_DW4_CH0 0x690
1398#define _VLV_TX3_DW4_CH1 0x2a90
1399#define VLV_TX3_DW4(ch) _PORT(ch, _VLV_TX3_DW4_CH0, _VLV_TX3_DW4_CH1)
1400
1401#define _VLV_TX_DW5_CH0 0x8294
1402#define _VLV_TX_DW5_CH1 0x8494
598fac6b 1403#define DPIO_TX_OCALINIT_EN (1<<31)
ab3c759a
CML
1404#define VLV_TX_DW5(ch) _PORT(ch, _VLV_TX_DW5_CH0, _VLV_TX_DW5_CH1)
1405
1406#define _VLV_TX_DW11_CH0 0x82ac
1407#define _VLV_TX_DW11_CH1 0x84ac
1408#define VLV_TX_DW11(ch) _PORT(ch, _VLV_TX_DW11_CH0, _VLV_TX_DW11_CH1)
1409
1410#define _VLV_TX_DW14_CH0 0x82b8
1411#define _VLV_TX_DW14_CH1 0x84b8
1412#define VLV_TX_DW14(ch) _PORT(ch, _VLV_TX_DW14_CH0, _VLV_TX_DW14_CH1)
b56747aa 1413
9d556c99
CML
1414/* CHV dpPhy registers */
1415#define _CHV_PLL_DW0_CH0 0x8000
1416#define _CHV_PLL_DW0_CH1 0x8180
1417#define CHV_PLL_DW0(ch) _PIPE(ch, _CHV_PLL_DW0_CH0, _CHV_PLL_DW0_CH1)
1418
1419#define _CHV_PLL_DW1_CH0 0x8004
1420#define _CHV_PLL_DW1_CH1 0x8184
1421#define DPIO_CHV_N_DIV_SHIFT 8
1422#define DPIO_CHV_M1_DIV_BY_2 (0 << 0)
1423#define CHV_PLL_DW1(ch) _PIPE(ch, _CHV_PLL_DW1_CH0, _CHV_PLL_DW1_CH1)
1424
1425#define _CHV_PLL_DW2_CH0 0x8008
1426#define _CHV_PLL_DW2_CH1 0x8188
1427#define CHV_PLL_DW2(ch) _PIPE(ch, _CHV_PLL_DW2_CH0, _CHV_PLL_DW2_CH1)
1428
1429#define _CHV_PLL_DW3_CH0 0x800c
1430#define _CHV_PLL_DW3_CH1 0x818c
1431#define DPIO_CHV_FRAC_DIV_EN (1 << 16)
1432#define DPIO_CHV_FIRST_MOD (0 << 8)
1433#define DPIO_CHV_SECOND_MOD (1 << 8)
1434#define DPIO_CHV_FEEDFWD_GAIN_SHIFT 0
a945ce7e 1435#define DPIO_CHV_FEEDFWD_GAIN_MASK (0xF << 0)
9d556c99
CML
1436#define CHV_PLL_DW3(ch) _PIPE(ch, _CHV_PLL_DW3_CH0, _CHV_PLL_DW3_CH1)
1437
1438#define _CHV_PLL_DW6_CH0 0x8018
1439#define _CHV_PLL_DW6_CH1 0x8198
1440#define DPIO_CHV_GAIN_CTRL_SHIFT 16
1441#define DPIO_CHV_INT_COEFF_SHIFT 8
1442#define DPIO_CHV_PROP_COEFF_SHIFT 0
1443#define CHV_PLL_DW6(ch) _PIPE(ch, _CHV_PLL_DW6_CH0, _CHV_PLL_DW6_CH1)
1444
d3eee4ba
VP
1445#define _CHV_PLL_DW8_CH0 0x8020
1446#define _CHV_PLL_DW8_CH1 0x81A0
9cbe40c1
VP
1447#define DPIO_CHV_TDC_TARGET_CNT_SHIFT 0
1448#define DPIO_CHV_TDC_TARGET_CNT_MASK (0x3FF << 0)
d3eee4ba
VP
1449#define CHV_PLL_DW8(ch) _PIPE(ch, _CHV_PLL_DW8_CH0, _CHV_PLL_DW8_CH1)
1450
1451#define _CHV_PLL_DW9_CH0 0x8024
1452#define _CHV_PLL_DW9_CH1 0x81A4
1453#define DPIO_CHV_INT_LOCK_THRESHOLD_SHIFT 1 /* 3 bits */
de3a0fde 1454#define DPIO_CHV_INT_LOCK_THRESHOLD_MASK (7 << 1)
d3eee4ba
VP
1455#define DPIO_CHV_INT_LOCK_THRESHOLD_SEL_COARSE 1 /* 1: coarse & 0 : fine */
1456#define CHV_PLL_DW9(ch) _PIPE(ch, _CHV_PLL_DW9_CH0, _CHV_PLL_DW9_CH1)
1457
6669e39f
VS
1458#define _CHV_CMN_DW0_CH0 0x8100
1459#define DPIO_ALLDL_POWERDOWN_SHIFT_CH0 19
1460#define DPIO_ANYDL_POWERDOWN_SHIFT_CH0 18
1461#define DPIO_ALLDL_POWERDOWN (1 << 1)
1462#define DPIO_ANYDL_POWERDOWN (1 << 0)
1463
b9e5ac3c
VS
1464#define _CHV_CMN_DW5_CH0 0x8114
1465#define CHV_BUFRIGHTENA1_DISABLE (0 << 20)
1466#define CHV_BUFRIGHTENA1_NORMAL (1 << 20)
1467#define CHV_BUFRIGHTENA1_FORCE (3 << 20)
1468#define CHV_BUFRIGHTENA1_MASK (3 << 20)
1469#define CHV_BUFLEFTENA1_DISABLE (0 << 22)
1470#define CHV_BUFLEFTENA1_NORMAL (1 << 22)
1471#define CHV_BUFLEFTENA1_FORCE (3 << 22)
1472#define CHV_BUFLEFTENA1_MASK (3 << 22)
1473
9d556c99
CML
1474#define _CHV_CMN_DW13_CH0 0x8134
1475#define _CHV_CMN_DW0_CH1 0x8080
1476#define DPIO_CHV_S1_DIV_SHIFT 21
1477#define DPIO_CHV_P1_DIV_SHIFT 13 /* 3 bits */
1478#define DPIO_CHV_P2_DIV_SHIFT 8 /* 5 bits */
1479#define DPIO_CHV_K_DIV_SHIFT 4
1480#define DPIO_PLL_FREQLOCK (1 << 1)
1481#define DPIO_PLL_LOCK (1 << 0)
1482#define CHV_CMN_DW13(ch) _PIPE(ch, _CHV_CMN_DW13_CH0, _CHV_CMN_DW0_CH1)
1483
1484#define _CHV_CMN_DW14_CH0 0x8138
1485#define _CHV_CMN_DW1_CH1 0x8084
1486#define DPIO_AFC_RECAL (1 << 14)
1487#define DPIO_DCLKP_EN (1 << 13)
b9e5ac3c
VS
1488#define CHV_BUFLEFTENA2_DISABLE (0 << 17) /* CL2 DW1 only */
1489#define CHV_BUFLEFTENA2_NORMAL (1 << 17) /* CL2 DW1 only */
1490#define CHV_BUFLEFTENA2_FORCE (3 << 17) /* CL2 DW1 only */
1491#define CHV_BUFLEFTENA2_MASK (3 << 17) /* CL2 DW1 only */
1492#define CHV_BUFRIGHTENA2_DISABLE (0 << 19) /* CL2 DW1 only */
1493#define CHV_BUFRIGHTENA2_NORMAL (1 << 19) /* CL2 DW1 only */
1494#define CHV_BUFRIGHTENA2_FORCE (3 << 19) /* CL2 DW1 only */
1495#define CHV_BUFRIGHTENA2_MASK (3 << 19) /* CL2 DW1 only */
9d556c99
CML
1496#define CHV_CMN_DW14(ch) _PIPE(ch, _CHV_CMN_DW14_CH0, _CHV_CMN_DW1_CH1)
1497
9197c88b
VS
1498#define _CHV_CMN_DW19_CH0 0x814c
1499#define _CHV_CMN_DW6_CH1 0x8098
6669e39f
VS
1500#define DPIO_ALLDL_POWERDOWN_SHIFT_CH1 30 /* CL2 DW6 only */
1501#define DPIO_ANYDL_POWERDOWN_SHIFT_CH1 29 /* CL2 DW6 only */
e0fce78f 1502#define DPIO_DYNPWRDOWNEN_CH1 (1 << 28) /* CL2 DW6 only */
9197c88b 1503#define CHV_CMN_USEDCLKCHANNEL (1 << 13)
e0fce78f 1504
9197c88b
VS
1505#define CHV_CMN_DW19(ch) _PIPE(ch, _CHV_CMN_DW19_CH0, _CHV_CMN_DW6_CH1)
1506
e0fce78f
VS
1507#define CHV_CMN_DW28 0x8170
1508#define DPIO_CL1POWERDOWNEN (1 << 23)
1509#define DPIO_DYNPWRDOWNEN_CH0 (1 << 22)
ee279218
VS
1510#define DPIO_SUS_CLK_CONFIG_ON (0 << 0)
1511#define DPIO_SUS_CLK_CONFIG_CLKREQ (1 << 0)
1512#define DPIO_SUS_CLK_CONFIG_GATE (2 << 0)
1513#define DPIO_SUS_CLK_CONFIG_GATE_CLKREQ (3 << 0)
e0fce78f 1514
9d556c99 1515#define CHV_CMN_DW30 0x8178
3e288786 1516#define DPIO_CL2_LDOFUSE_PWRENB (1 << 6)
9d556c99
CML
1517#define DPIO_LRC_BYPASS (1 << 3)
1518
1519#define _TXLANE(ch, lane, offset) ((ch ? 0x2400 : 0) + \
1520 (lane) * 0x200 + (offset))
1521
f72df8db
VS
1522#define CHV_TX_DW0(ch, lane) _TXLANE(ch, lane, 0x80)
1523#define CHV_TX_DW1(ch, lane) _TXLANE(ch, lane, 0x84)
1524#define CHV_TX_DW2(ch, lane) _TXLANE(ch, lane, 0x88)
1525#define CHV_TX_DW3(ch, lane) _TXLANE(ch, lane, 0x8c)
1526#define CHV_TX_DW4(ch, lane) _TXLANE(ch, lane, 0x90)
1527#define CHV_TX_DW5(ch, lane) _TXLANE(ch, lane, 0x94)
1528#define CHV_TX_DW6(ch, lane) _TXLANE(ch, lane, 0x98)
1529#define CHV_TX_DW7(ch, lane) _TXLANE(ch, lane, 0x9c)
1530#define CHV_TX_DW8(ch, lane) _TXLANE(ch, lane, 0xa0)
1531#define CHV_TX_DW9(ch, lane) _TXLANE(ch, lane, 0xa4)
1532#define CHV_TX_DW10(ch, lane) _TXLANE(ch, lane, 0xa8)
9d556c99
CML
1533#define CHV_TX_DW11(ch, lane) _TXLANE(ch, lane, 0xac)
1534#define DPIO_FRC_LATENCY_SHFIT 8
1535#define CHV_TX_DW14(ch, lane) _TXLANE(ch, lane, 0xb8)
1536#define DPIO_UPAR_SHIFT 30
5c6706e5
VK
1537
1538/* BXT PHY registers */
ed37892e
ACO
1539#define _BXT_PHY0_BASE 0x6C000
1540#define _BXT_PHY1_BASE 0x162000
0a116ce8
ACO
1541#define _BXT_PHY2_BASE 0x163000
1542#define BXT_PHY_BASE(phy) _PHY3((phy), _BXT_PHY0_BASE, \
1543 _BXT_PHY1_BASE, \
1544 _BXT_PHY2_BASE)
ed37892e
ACO
1545
1546#define _BXT_PHY(phy, reg) \
1547 _MMIO(BXT_PHY_BASE(phy) - _BXT_PHY0_BASE + (reg))
1548
1549#define _BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1550 (BXT_PHY_BASE(phy) + _PIPE((ch), (reg_ch0) - _BXT_PHY0_BASE, \
1551 (reg_ch1) - _BXT_PHY0_BASE))
1552#define _MMIO_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1553 _MMIO(_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1))
5c6706e5 1554
f0f59a00 1555#define BXT_P_CR_GT_DISP_PWRON _MMIO(0x138090)
5c6706e5 1556
e93da0a0
ID
1557#define _BXT_PHY_CTL_DDI_A 0x64C00
1558#define _BXT_PHY_CTL_DDI_B 0x64C10
1559#define _BXT_PHY_CTL_DDI_C 0x64C20
1560#define BXT_PHY_CMNLANE_POWERDOWN_ACK (1 << 10)
1561#define BXT_PHY_LANE_POWERDOWN_ACK (1 << 9)
1562#define BXT_PHY_LANE_ENABLED (1 << 8)
1563#define BXT_PHY_CTL(port) _MMIO_PORT(port, _BXT_PHY_CTL_DDI_A, \
1564 _BXT_PHY_CTL_DDI_B)
1565
5c6706e5
VK
1566#define _PHY_CTL_FAMILY_EDP 0x64C80
1567#define _PHY_CTL_FAMILY_DDI 0x64C90
0a116ce8 1568#define _PHY_CTL_FAMILY_DDI_C 0x64CA0
5c6706e5 1569#define COMMON_RESET_DIS (1 << 31)
0a116ce8
ACO
1570#define BXT_PHY_CTL_FAMILY(phy) _MMIO_PHY3((phy), _PHY_CTL_FAMILY_DDI, \
1571 _PHY_CTL_FAMILY_EDP, \
1572 _PHY_CTL_FAMILY_DDI_C)
5c6706e5 1573
dfb82408
S
1574/* BXT PHY PLL registers */
1575#define _PORT_PLL_A 0x46074
1576#define _PORT_PLL_B 0x46078
1577#define _PORT_PLL_C 0x4607c
1578#define PORT_PLL_ENABLE (1 << 31)
1579#define PORT_PLL_LOCK (1 << 30)
1580#define PORT_PLL_REF_SEL (1 << 27)
f7044dd9
MC
1581#define PORT_PLL_POWER_ENABLE (1 << 26)
1582#define PORT_PLL_POWER_STATE (1 << 25)
f0f59a00 1583#define BXT_PORT_PLL_ENABLE(port) _MMIO_PORT(port, _PORT_PLL_A, _PORT_PLL_B)
dfb82408
S
1584
1585#define _PORT_PLL_EBB_0_A 0x162034
1586#define _PORT_PLL_EBB_0_B 0x6C034
1587#define _PORT_PLL_EBB_0_C 0x6C340
aa610dcb
ID
1588#define PORT_PLL_P1_SHIFT 13
1589#define PORT_PLL_P1_MASK (0x07 << PORT_PLL_P1_SHIFT)
1590#define PORT_PLL_P1(x) ((x) << PORT_PLL_P1_SHIFT)
1591#define PORT_PLL_P2_SHIFT 8
1592#define PORT_PLL_P2_MASK (0x1f << PORT_PLL_P2_SHIFT)
1593#define PORT_PLL_P2(x) ((x) << PORT_PLL_P2_SHIFT)
ed37892e
ACO
1594#define BXT_PORT_PLL_EBB_0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1595 _PORT_PLL_EBB_0_B, \
1596 _PORT_PLL_EBB_0_C)
dfb82408
S
1597
1598#define _PORT_PLL_EBB_4_A 0x162038
1599#define _PORT_PLL_EBB_4_B 0x6C038
1600#define _PORT_PLL_EBB_4_C 0x6C344
1601#define PORT_PLL_10BIT_CLK_ENABLE (1 << 13)
1602#define PORT_PLL_RECALIBRATE (1 << 14)
ed37892e
ACO
1603#define BXT_PORT_PLL_EBB_4(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1604 _PORT_PLL_EBB_4_B, \
1605 _PORT_PLL_EBB_4_C)
dfb82408
S
1606
1607#define _PORT_PLL_0_A 0x162100
1608#define _PORT_PLL_0_B 0x6C100
1609#define _PORT_PLL_0_C 0x6C380
1610/* PORT_PLL_0_A */
1611#define PORT_PLL_M2_MASK 0xFF
1612/* PORT_PLL_1_A */
aa610dcb
ID
1613#define PORT_PLL_N_SHIFT 8
1614#define PORT_PLL_N_MASK (0x0F << PORT_PLL_N_SHIFT)
1615#define PORT_PLL_N(x) ((x) << PORT_PLL_N_SHIFT)
dfb82408
S
1616/* PORT_PLL_2_A */
1617#define PORT_PLL_M2_FRAC_MASK 0x3FFFFF
1618/* PORT_PLL_3_A */
1619#define PORT_PLL_M2_FRAC_ENABLE (1 << 16)
1620/* PORT_PLL_6_A */
1621#define PORT_PLL_PROP_COEFF_MASK 0xF
1622#define PORT_PLL_INT_COEFF_MASK (0x1F << 8)
1623#define PORT_PLL_INT_COEFF(x) ((x) << 8)
1624#define PORT_PLL_GAIN_CTL_MASK (0x07 << 16)
1625#define PORT_PLL_GAIN_CTL(x) ((x) << 16)
1626/* PORT_PLL_8_A */
1627#define PORT_PLL_TARGET_CNT_MASK 0x3FF
b6dc71f3 1628/* PORT_PLL_9_A */
05712c15
ID
1629#define PORT_PLL_LOCK_THRESHOLD_SHIFT 1
1630#define PORT_PLL_LOCK_THRESHOLD_MASK (0x7 << PORT_PLL_LOCK_THRESHOLD_SHIFT)
b6dc71f3
VK
1631/* PORT_PLL_10_A */
1632#define PORT_PLL_DCO_AMP_OVR_EN_H (1<<27)
e6292556 1633#define PORT_PLL_DCO_AMP_DEFAULT 15
b6dc71f3 1634#define PORT_PLL_DCO_AMP_MASK 0x3c00
68d97538 1635#define PORT_PLL_DCO_AMP(x) ((x)<<10)
ed37892e
ACO
1636#define _PORT_PLL_BASE(phy, ch) _BXT_PHY_CH(phy, ch, \
1637 _PORT_PLL_0_B, \
1638 _PORT_PLL_0_C)
1639#define BXT_PORT_PLL(phy, ch, idx) _MMIO(_PORT_PLL_BASE(phy, ch) + \
1640 (idx) * 4)
dfb82408 1641
5c6706e5
VK
1642/* BXT PHY common lane registers */
1643#define _PORT_CL1CM_DW0_A 0x162000
1644#define _PORT_CL1CM_DW0_BC 0x6C000
1645#define PHY_POWER_GOOD (1 << 16)
b61e7996 1646#define PHY_RESERVED (1 << 7)
ed37892e 1647#define BXT_PORT_CL1CM_DW0(phy) _BXT_PHY((phy), _PORT_CL1CM_DW0_BC)
5c6706e5
VK
1648
1649#define _PORT_CL1CM_DW9_A 0x162024
1650#define _PORT_CL1CM_DW9_BC 0x6C024
1651#define IREF0RC_OFFSET_SHIFT 8
1652#define IREF0RC_OFFSET_MASK (0xFF << IREF0RC_OFFSET_SHIFT)
ed37892e 1653#define BXT_PORT_CL1CM_DW9(phy) _BXT_PHY((phy), _PORT_CL1CM_DW9_BC)
5c6706e5
VK
1654
1655#define _PORT_CL1CM_DW10_A 0x162028
1656#define _PORT_CL1CM_DW10_BC 0x6C028
1657#define IREF1RC_OFFSET_SHIFT 8
1658#define IREF1RC_OFFSET_MASK (0xFF << IREF1RC_OFFSET_SHIFT)
ed37892e 1659#define BXT_PORT_CL1CM_DW10(phy) _BXT_PHY((phy), _PORT_CL1CM_DW10_BC)
5c6706e5
VK
1660
1661#define _PORT_CL1CM_DW28_A 0x162070
1662#define _PORT_CL1CM_DW28_BC 0x6C070
1663#define OCL1_POWER_DOWN_EN (1 << 23)
1664#define DW28_OLDO_DYN_PWR_DOWN_EN (1 << 22)
1665#define SUS_CLK_CONFIG 0x3
ed37892e 1666#define BXT_PORT_CL1CM_DW28(phy) _BXT_PHY((phy), _PORT_CL1CM_DW28_BC)
5c6706e5
VK
1667
1668#define _PORT_CL1CM_DW30_A 0x162078
1669#define _PORT_CL1CM_DW30_BC 0x6C078
1670#define OCL2_LDOFUSE_PWR_DIS (1 << 6)
ed37892e 1671#define BXT_PORT_CL1CM_DW30(phy) _BXT_PHY((phy), _PORT_CL1CM_DW30_BC)
5c6706e5 1672
842d4166
ACO
1673/* The spec defines this only for BXT PHY0, but lets assume that this
1674 * would exist for PHY1 too if it had a second channel.
1675 */
1676#define _PORT_CL2CM_DW6_A 0x162358
1677#define _PORT_CL2CM_DW6_BC 0x6C358
ed37892e 1678#define BXT_PORT_CL2CM_DW6(phy) _BXT_PHY((phy), _PORT_CL2CM_DW6_BC)
5c6706e5
VK
1679#define DW6_OLDO_DYN_PWR_DOWN_EN (1 << 28)
1680
1681/* BXT PHY Ref registers */
1682#define _PORT_REF_DW3_A 0x16218C
1683#define _PORT_REF_DW3_BC 0x6C18C
1684#define GRC_DONE (1 << 22)
ed37892e 1685#define BXT_PORT_REF_DW3(phy) _BXT_PHY((phy), _PORT_REF_DW3_BC)
5c6706e5
VK
1686
1687#define _PORT_REF_DW6_A 0x162198
1688#define _PORT_REF_DW6_BC 0x6C198
d1e082ff
ID
1689#define GRC_CODE_SHIFT 24
1690#define GRC_CODE_MASK (0xFF << GRC_CODE_SHIFT)
5c6706e5 1691#define GRC_CODE_FAST_SHIFT 16
d1e082ff 1692#define GRC_CODE_FAST_MASK (0xFF << GRC_CODE_FAST_SHIFT)
5c6706e5
VK
1693#define GRC_CODE_SLOW_SHIFT 8
1694#define GRC_CODE_SLOW_MASK (0xFF << GRC_CODE_SLOW_SHIFT)
1695#define GRC_CODE_NOM_MASK 0xFF
ed37892e 1696#define BXT_PORT_REF_DW6(phy) _BXT_PHY((phy), _PORT_REF_DW6_BC)
5c6706e5
VK
1697
1698#define _PORT_REF_DW8_A 0x1621A0
1699#define _PORT_REF_DW8_BC 0x6C1A0
1700#define GRC_DIS (1 << 15)
1701#define GRC_RDY_OVRD (1 << 1)
ed37892e 1702#define BXT_PORT_REF_DW8(phy) _BXT_PHY((phy), _PORT_REF_DW8_BC)
5c6706e5 1703
dfb82408 1704/* BXT PHY PCS registers */
96fb9f9b
VK
1705#define _PORT_PCS_DW10_LN01_A 0x162428
1706#define _PORT_PCS_DW10_LN01_B 0x6C428
1707#define _PORT_PCS_DW10_LN01_C 0x6C828
1708#define _PORT_PCS_DW10_GRP_A 0x162C28
1709#define _PORT_PCS_DW10_GRP_B 0x6CC28
1710#define _PORT_PCS_DW10_GRP_C 0x6CE28
ed37892e
ACO
1711#define BXT_PORT_PCS_DW10_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1712 _PORT_PCS_DW10_LN01_B, \
1713 _PORT_PCS_DW10_LN01_C)
1714#define BXT_PORT_PCS_DW10_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1715 _PORT_PCS_DW10_GRP_B, \
1716 _PORT_PCS_DW10_GRP_C)
1717
96fb9f9b
VK
1718#define TX2_SWING_CALC_INIT (1 << 31)
1719#define TX1_SWING_CALC_INIT (1 << 30)
1720
dfb82408
S
1721#define _PORT_PCS_DW12_LN01_A 0x162430
1722#define _PORT_PCS_DW12_LN01_B 0x6C430
1723#define _PORT_PCS_DW12_LN01_C 0x6C830
1724#define _PORT_PCS_DW12_LN23_A 0x162630
1725#define _PORT_PCS_DW12_LN23_B 0x6C630
1726#define _PORT_PCS_DW12_LN23_C 0x6CA30
1727#define _PORT_PCS_DW12_GRP_A 0x162c30
1728#define _PORT_PCS_DW12_GRP_B 0x6CC30
1729#define _PORT_PCS_DW12_GRP_C 0x6CE30
1730#define LANESTAGGER_STRAP_OVRD (1 << 6)
1731#define LANE_STAGGER_MASK 0x1F
ed37892e
ACO
1732#define BXT_PORT_PCS_DW12_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1733 _PORT_PCS_DW12_LN01_B, \
1734 _PORT_PCS_DW12_LN01_C)
1735#define BXT_PORT_PCS_DW12_LN23(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1736 _PORT_PCS_DW12_LN23_B, \
1737 _PORT_PCS_DW12_LN23_C)
1738#define BXT_PORT_PCS_DW12_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1739 _PORT_PCS_DW12_GRP_B, \
1740 _PORT_PCS_DW12_GRP_C)
dfb82408 1741
5c6706e5
VK
1742/* BXT PHY TX registers */
1743#define _BXT_LANE_OFFSET(lane) (((lane) >> 1) * 0x200 + \
1744 ((lane) & 1) * 0x80)
1745
96fb9f9b
VK
1746#define _PORT_TX_DW2_LN0_A 0x162508
1747#define _PORT_TX_DW2_LN0_B 0x6C508
1748#define _PORT_TX_DW2_LN0_C 0x6C908
1749#define _PORT_TX_DW2_GRP_A 0x162D08
1750#define _PORT_TX_DW2_GRP_B 0x6CD08
1751#define _PORT_TX_DW2_GRP_C 0x6CF08
ed37892e
ACO
1752#define BXT_PORT_TX_DW2_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1753 _PORT_TX_DW2_LN0_B, \
1754 _PORT_TX_DW2_LN0_C)
1755#define BXT_PORT_TX_DW2_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1756 _PORT_TX_DW2_GRP_B, \
1757 _PORT_TX_DW2_GRP_C)
96fb9f9b
VK
1758#define MARGIN_000_SHIFT 16
1759#define MARGIN_000 (0xFF << MARGIN_000_SHIFT)
1760#define UNIQ_TRANS_SCALE_SHIFT 8
1761#define UNIQ_TRANS_SCALE (0xFF << UNIQ_TRANS_SCALE_SHIFT)
1762
1763#define _PORT_TX_DW3_LN0_A 0x16250C
1764#define _PORT_TX_DW3_LN0_B 0x6C50C
1765#define _PORT_TX_DW3_LN0_C 0x6C90C
1766#define _PORT_TX_DW3_GRP_A 0x162D0C
1767#define _PORT_TX_DW3_GRP_B 0x6CD0C
1768#define _PORT_TX_DW3_GRP_C 0x6CF0C
ed37892e
ACO
1769#define BXT_PORT_TX_DW3_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1770 _PORT_TX_DW3_LN0_B, \
1771 _PORT_TX_DW3_LN0_C)
1772#define BXT_PORT_TX_DW3_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1773 _PORT_TX_DW3_GRP_B, \
1774 _PORT_TX_DW3_GRP_C)
9c58a049
SJ
1775#define SCALE_DCOMP_METHOD (1 << 26)
1776#define UNIQUE_TRANGE_EN_METHOD (1 << 27)
96fb9f9b
VK
1777
1778#define _PORT_TX_DW4_LN0_A 0x162510
1779#define _PORT_TX_DW4_LN0_B 0x6C510
1780#define _PORT_TX_DW4_LN0_C 0x6C910
1781#define _PORT_TX_DW4_GRP_A 0x162D10
1782#define _PORT_TX_DW4_GRP_B 0x6CD10
1783#define _PORT_TX_DW4_GRP_C 0x6CF10
ed37892e
ACO
1784#define BXT_PORT_TX_DW4_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1785 _PORT_TX_DW4_LN0_B, \
1786 _PORT_TX_DW4_LN0_C)
1787#define BXT_PORT_TX_DW4_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1788 _PORT_TX_DW4_GRP_B, \
1789 _PORT_TX_DW4_GRP_C)
96fb9f9b
VK
1790#define DEEMPH_SHIFT 24
1791#define DE_EMPHASIS (0xFF << DEEMPH_SHIFT)
1792
51b3ee35
ACO
1793#define _PORT_TX_DW5_LN0_A 0x162514
1794#define _PORT_TX_DW5_LN0_B 0x6C514
1795#define _PORT_TX_DW5_LN0_C 0x6C914
1796#define _PORT_TX_DW5_GRP_A 0x162D14
1797#define _PORT_TX_DW5_GRP_B 0x6CD14
1798#define _PORT_TX_DW5_GRP_C 0x6CF14
1799#define BXT_PORT_TX_DW5_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1800 _PORT_TX_DW5_LN0_B, \
1801 _PORT_TX_DW5_LN0_C)
1802#define BXT_PORT_TX_DW5_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1803 _PORT_TX_DW5_GRP_B, \
1804 _PORT_TX_DW5_GRP_C)
1805#define DCC_DELAY_RANGE_1 (1 << 9)
1806#define DCC_DELAY_RANGE_2 (1 << 8)
1807
5c6706e5
VK
1808#define _PORT_TX_DW14_LN0_A 0x162538
1809#define _PORT_TX_DW14_LN0_B 0x6C538
1810#define _PORT_TX_DW14_LN0_C 0x6C938
1811#define LATENCY_OPTIM_SHIFT 30
1812#define LATENCY_OPTIM (1 << LATENCY_OPTIM_SHIFT)
ed37892e
ACO
1813#define BXT_PORT_TX_DW14_LN(phy, ch, lane) \
1814 _MMIO(_BXT_PHY_CH(phy, ch, _PORT_TX_DW14_LN0_B, \
1815 _PORT_TX_DW14_LN0_C) + \
1816 _BXT_LANE_OFFSET(lane))
5c6706e5 1817
f8896f5d 1818/* UAIMI scratch pad register 1 */
f0f59a00 1819#define UAIMI_SPR1 _MMIO(0x4F074)
f8896f5d
DW
1820/* SKL VccIO mask */
1821#define SKL_VCCIO_MASK 0x1
1822/* SKL balance leg register */
f0f59a00 1823#define DISPIO_CR_TX_BMU_CR0 _MMIO(0x6C00C)
f8896f5d
DW
1824/* I_boost values */
1825#define BALANCE_LEG_SHIFT(port) (8+3*(port))
1826#define BALANCE_LEG_MASK(port) (7<<(8+3*(port)))
1827/* Balance leg disable bits */
1828#define BALANCE_LEG_DISABLE_SHIFT 23
a7d8dbc0 1829#define BALANCE_LEG_DISABLE(port) (1 << (23 + (port)))
f8896f5d 1830
585fb111 1831/*
de151cf6 1832 * Fence registers
eecf613a
VS
1833 * [0-7] @ 0x2000 gen2,gen3
1834 * [8-15] @ 0x3000 945,g33,pnv
1835 *
1836 * [0-15] @ 0x3000 gen4,gen5
1837 *
1838 * [0-15] @ 0x100000 gen6,vlv,chv
1839 * [0-31] @ 0x100000 gen7+
585fb111 1840 */
f0f59a00 1841#define FENCE_REG(i) _MMIO(0x2000 + (((i) & 8) << 9) + ((i) & 7) * 4)
de151cf6
JB
1842#define I830_FENCE_START_MASK 0x07f80000
1843#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 1844#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6
JB
1845#define I830_FENCE_PITCH_SHIFT 4
1846#define I830_FENCE_REG_VALID (1<<0)
c36a2a6d 1847#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 1848#define I830_FENCE_MAX_PITCH_VAL 6
8d7773a3 1849#define I830_FENCE_MAX_SIZE_VAL (1<<8)
de151cf6
JB
1850
1851#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 1852#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 1853
f0f59a00
VS
1854#define FENCE_REG_965_LO(i) _MMIO(0x03000 + (i) * 8)
1855#define FENCE_REG_965_HI(i) _MMIO(0x03000 + (i) * 8 + 4)
de151cf6
JB
1856#define I965_FENCE_PITCH_SHIFT 2
1857#define I965_FENCE_TILING_Y_SHIFT 1
1858#define I965_FENCE_REG_VALID (1<<0)
8d7773a3 1859#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 1860
f0f59a00
VS
1861#define FENCE_REG_GEN6_LO(i) _MMIO(0x100000 + (i) * 8)
1862#define FENCE_REG_GEN6_HI(i) _MMIO(0x100000 + (i) * 8 + 4)
eecf613a 1863#define GEN6_FENCE_PITCH_SHIFT 32
3a062478 1864#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 1865
2b6b3a09 1866
f691e2f4 1867/* control register for cpu gtt access */
f0f59a00 1868#define TILECTL _MMIO(0x101000)
f691e2f4 1869#define TILECTL_SWZCTL (1 << 0)
e3a29055 1870#define TILECTL_TLBPF (1 << 1)
f691e2f4
DV
1871#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
1872#define TILECTL_BACKSNOOP_DIS (1 << 3)
1873
de151cf6
JB
1874/*
1875 * Instruction and interrupt control regs
1876 */
f0f59a00 1877#define PGTBL_CTL _MMIO(0x02020)
f1e1c212
VS
1878#define PGTBL_ADDRESS_LO_MASK 0xfffff000 /* bits [31:12] */
1879#define PGTBL_ADDRESS_HI_MASK 0x000000f0 /* bits [35:32] (gen4) */
f0f59a00
VS
1880#define PGTBL_ER _MMIO(0x02024)
1881#define PRB0_BASE (0x2030-0x30)
1882#define PRB1_BASE (0x2040-0x30) /* 830,gen3 */
1883#define PRB2_BASE (0x2050-0x30) /* gen3 */
1884#define SRB0_BASE (0x2100-0x30) /* gen2 */
1885#define SRB1_BASE (0x2110-0x30) /* gen2 */
1886#define SRB2_BASE (0x2120-0x30) /* 830 */
1887#define SRB3_BASE (0x2130-0x30) /* 830 */
333e9fe9
DV
1888#define RENDER_RING_BASE 0x02000
1889#define BSD_RING_BASE 0x04000
1890#define GEN6_BSD_RING_BASE 0x12000
845f74a7 1891#define GEN8_BSD2_RING_BASE 0x1c000
1950de14 1892#define VEBOX_RING_BASE 0x1a000
549f7365 1893#define BLT_RING_BASE 0x22000
f0f59a00
VS
1894#define RING_TAIL(base) _MMIO((base)+0x30)
1895#define RING_HEAD(base) _MMIO((base)+0x34)
1896#define RING_START(base) _MMIO((base)+0x38)
1897#define RING_CTL(base) _MMIO((base)+0x3c)
62ae14b1 1898#define RING_CTL_SIZE(size) ((size) - PAGE_SIZE) /* in bytes -> pages */
f0f59a00
VS
1899#define RING_SYNC_0(base) _MMIO((base)+0x40)
1900#define RING_SYNC_1(base) _MMIO((base)+0x44)
1901#define RING_SYNC_2(base) _MMIO((base)+0x48)
1950de14
BW
1902#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
1903#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
1904#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
1905#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
1906#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
1907#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
1908#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
1909#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
1910#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
1911#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
1912#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
1913#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
f0f59a00
VS
1914#define GEN6_NOSYNC INVALID_MMIO_REG
1915#define RING_PSMI_CTL(base) _MMIO((base)+0x50)
1916#define RING_MAX_IDLE(base) _MMIO((base)+0x54)
1917#define RING_HWS_PGA(base) _MMIO((base)+0x80)
1918#define RING_HWS_PGA_GEN6(base) _MMIO((base)+0x2080)
1919#define RING_RESET_CTL(base) _MMIO((base)+0xd0)
7fd2d269
MK
1920#define RESET_CTL_REQUEST_RESET (1 << 0)
1921#define RESET_CTL_READY_TO_RESET (1 << 1)
9e72b46c 1922
f0f59a00 1923#define HSW_GTT_CACHE_EN _MMIO(0x4024)
6d50b065 1924#define GTT_CACHE_EN_ALL 0xF0007FFF
f0f59a00
VS
1925#define GEN7_WR_WATERMARK _MMIO(0x4028)
1926#define GEN7_GFX_PRIO_CTRL _MMIO(0x402C)
1927#define ARB_MODE _MMIO(0x4030)
f691e2f4
DV
1928#define ARB_MODE_SWIZZLE_SNB (1<<4)
1929#define ARB_MODE_SWIZZLE_IVB (1<<5)
f0f59a00
VS
1930#define GEN7_GFX_PEND_TLB0 _MMIO(0x4034)
1931#define GEN7_GFX_PEND_TLB1 _MMIO(0x4038)
9e72b46c 1932/* L3, CVS, ZTLB, RCC, CASC LRA min, max values */
f0f59a00 1933#define GEN7_LRA_LIMITS(i) _MMIO(0x403C + (i) * 4)
9e72b46c 1934#define GEN7_LRA_LIMITS_REG_NUM 13
f0f59a00
VS
1935#define GEN7_MEDIA_MAX_REQ_COUNT _MMIO(0x4070)
1936#define GEN7_GFX_MAX_REQ_COUNT _MMIO(0x4074)
9e72b46c 1937
f0f59a00 1938#define GAMTARBMODE _MMIO(0x04a08)
4afe8d33 1939#define ARB_MODE_BWGTLB_DISABLE (1<<9)
31a5336e 1940#define ARB_MODE_SWIZZLE_BDW (1<<1)
f0f59a00 1941#define RENDER_HWS_PGA_GEN7 _MMIO(0x04080)
5ac9793b 1942#define RING_FAULT_REG(engine) _MMIO(0x4094 + 0x100*(engine)->hw_id)
828c7908 1943#define RING_FAULT_GTTSEL_MASK (1<<11)
68d97538
VS
1944#define RING_FAULT_SRCID(x) (((x) >> 3) & 0xff)
1945#define RING_FAULT_FAULT_TYPE(x) (((x) >> 1) & 0x3)
828c7908 1946#define RING_FAULT_VALID (1<<0)
f0f59a00
VS
1947#define DONE_REG _MMIO(0x40b0)
1948#define GEN8_PRIVATE_PAT_LO _MMIO(0x40e0)
1949#define GEN8_PRIVATE_PAT_HI _MMIO(0x40e0 + 4)
1950#define BSD_HWS_PGA_GEN7 _MMIO(0x04180)
1951#define BLT_HWS_PGA_GEN7 _MMIO(0x04280)
1952#define VEBOX_HWS_PGA_GEN7 _MMIO(0x04380)
1953#define RING_ACTHD(base) _MMIO((base)+0x74)
1954#define RING_ACTHD_UDW(base) _MMIO((base)+0x5c)
1955#define RING_NOPID(base) _MMIO((base)+0x94)
1956#define RING_IMR(base) _MMIO((base)+0xa8)
1957#define RING_HWSTAM(base) _MMIO((base)+0x98)
1958#define RING_TIMESTAMP(base) _MMIO((base)+0x358)
1959#define RING_TIMESTAMP_UDW(base) _MMIO((base)+0x358 + 4)
585fb111
JB
1960#define TAIL_ADDR 0x001FFFF8
1961#define HEAD_WRAP_COUNT 0xFFE00000
1962#define HEAD_WRAP_ONE 0x00200000
1963#define HEAD_ADDR 0x001FFFFC
1964#define RING_NR_PAGES 0x001FF000
1965#define RING_REPORT_MASK 0x00000006
1966#define RING_REPORT_64K 0x00000002
1967#define RING_REPORT_128K 0x00000004
1968#define RING_NO_REPORT 0x00000000
1969#define RING_VALID_MASK 0x00000001
1970#define RING_VALID 0x00000001
1971#define RING_INVALID 0x00000000
4b60e5cb
CW
1972#define RING_WAIT_I8XX (1<<0) /* gen2, PRBx_HEAD */
1973#define RING_WAIT (1<<11) /* gen3+, PRBx_CTL */
1ec14ad3 1974#define RING_WAIT_SEMAPHORE (1<<10) /* gen6+ */
9e72b46c 1975
33136b06
AS
1976#define RING_FORCE_TO_NONPRIV(base, i) _MMIO(((base)+0x4D0) + (i)*4)
1977#define RING_MAX_NONPRIV_SLOTS 12
1978
f0f59a00 1979#define GEN7_TLB_RD_ADDR _MMIO(0x4700)
9e72b46c 1980
4ba9c1f7
MK
1981#define GEN9_GAMT_ECO_REG_RW_IA _MMIO(0x4ab0)
1982#define GAMT_ECO_ENABLE_IN_PLACE_DECOMPRESS (1<<18)
1983
c0b730d5
MK
1984#define GAMT_CHKN_BIT_REG _MMIO(0x4ab8)
1985#define GAMT_CHKN_DISABLE_DYNAMIC_CREDIT_SHARING (1<<28)
1986
8168bd48 1987#if 0
f0f59a00
VS
1988#define PRB0_TAIL _MMIO(0x2030)
1989#define PRB0_HEAD _MMIO(0x2034)
1990#define PRB0_START _MMIO(0x2038)
1991#define PRB0_CTL _MMIO(0x203c)
1992#define PRB1_TAIL _MMIO(0x2040) /* 915+ only */
1993#define PRB1_HEAD _MMIO(0x2044) /* 915+ only */
1994#define PRB1_START _MMIO(0x2048) /* 915+ only */
1995#define PRB1_CTL _MMIO(0x204c) /* 915+ only */
8168bd48 1996#endif
f0f59a00
VS
1997#define IPEIR_I965 _MMIO(0x2064)
1998#define IPEHR_I965 _MMIO(0x2068)
1999#define GEN7_SC_INSTDONE _MMIO(0x7100)
2000#define GEN7_SAMPLER_INSTDONE _MMIO(0xe160)
2001#define GEN7_ROW_INSTDONE _MMIO(0xe164)
f9e61372
BW
2002#define GEN8_MCR_SELECTOR _MMIO(0xfdc)
2003#define GEN8_MCR_SLICE(slice) (((slice) & 3) << 26)
2004#define GEN8_MCR_SLICE_MASK GEN8_MCR_SLICE(3)
2005#define GEN8_MCR_SUBSLICE(subslice) (((subslice) & 3) << 24)
2006#define GEN8_MCR_SUBSLICE_MASK GEN8_MCR_SUBSLICE(3)
f0f59a00
VS
2007#define RING_IPEIR(base) _MMIO((base)+0x64)
2008#define RING_IPEHR(base) _MMIO((base)+0x68)
f1d54348
ID
2009/*
2010 * On GEN4, only the render ring INSTDONE exists and has a different
2011 * layout than the GEN7+ version.
bd93a50e 2012 * The GEN2 counterpart of this register is GEN2_INSTDONE.
f1d54348 2013 */
f0f59a00
VS
2014#define RING_INSTDONE(base) _MMIO((base)+0x6c)
2015#define RING_INSTPS(base) _MMIO((base)+0x70)
2016#define RING_DMA_FADD(base) _MMIO((base)+0x78)
2017#define RING_DMA_FADD_UDW(base) _MMIO((base)+0x60) /* gen8+ */
2018#define RING_INSTPM(base) _MMIO((base)+0xc0)
2019#define RING_MI_MODE(base) _MMIO((base)+0x9c)
2020#define INSTPS _MMIO(0x2070) /* 965+ only */
2021#define GEN4_INSTDONE1 _MMIO(0x207c) /* 965+ only, aka INSTDONE_2 on SNB */
2022#define ACTHD_I965 _MMIO(0x2074)
2023#define HWS_PGA _MMIO(0x2080)
585fb111
JB
2024#define HWS_ADDRESS_MASK 0xfffff000
2025#define HWS_START_ADDRESS_SHIFT 4
f0f59a00 2026#define PWRCTXA _MMIO(0x2088) /* 965GM+ only */
97f5ab66 2027#define PWRCTX_EN (1<<0)
f0f59a00
VS
2028#define IPEIR _MMIO(0x2088)
2029#define IPEHR _MMIO(0x208c)
2030#define GEN2_INSTDONE _MMIO(0x2090)
2031#define NOPID _MMIO(0x2094)
2032#define HWSTAM _MMIO(0x2098)
2033#define DMA_FADD_I8XX _MMIO(0x20d0)
2034#define RING_BBSTATE(base) _MMIO((base)+0x110)
35dc3f97 2035#define RING_BB_PPGTT (1 << 5)
f0f59a00
VS
2036#define RING_SBBADDR(base) _MMIO((base)+0x114) /* hsw+ */
2037#define RING_SBBSTATE(base) _MMIO((base)+0x118) /* hsw+ */
2038#define RING_SBBADDR_UDW(base) _MMIO((base)+0x11c) /* gen8+ */
2039#define RING_BBADDR(base) _MMIO((base)+0x140)
2040#define RING_BBADDR_UDW(base) _MMIO((base)+0x168) /* gen8+ */
2041#define RING_BB_PER_CTX_PTR(base) _MMIO((base)+0x1c0) /* gen8+ */
2042#define RING_INDIRECT_CTX(base) _MMIO((base)+0x1c4) /* gen8+ */
2043#define RING_INDIRECT_CTX_OFFSET(base) _MMIO((base)+0x1c8) /* gen8+ */
2044#define RING_CTX_TIMESTAMP(base) _MMIO((base)+0x3a8) /* gen8+ */
2045
2046#define ERROR_GEN6 _MMIO(0x40a0)
2047#define GEN7_ERR_INT _MMIO(0x44040)
de032bf4 2048#define ERR_INT_POISON (1<<31)
8664281b 2049#define ERR_INT_MMIO_UNCLAIMED (1<<13)
8bf1e9f1 2050#define ERR_INT_PIPE_CRC_DONE_C (1<<8)
8664281b 2051#define ERR_INT_FIFO_UNDERRUN_C (1<<6)
8bf1e9f1 2052#define ERR_INT_PIPE_CRC_DONE_B (1<<5)
8664281b 2053#define ERR_INT_FIFO_UNDERRUN_B (1<<3)
8bf1e9f1 2054#define ERR_INT_PIPE_CRC_DONE_A (1<<2)
68d97538 2055#define ERR_INT_PIPE_CRC_DONE(pipe) (1<<(2 + (pipe)*3))
8664281b 2056#define ERR_INT_FIFO_UNDERRUN_A (1<<0)
68d97538 2057#define ERR_INT_FIFO_UNDERRUN(pipe) (1<<((pipe)*3))
f406839f 2058
f0f59a00
VS
2059#define GEN8_FAULT_TLB_DATA0 _MMIO(0x4b10)
2060#define GEN8_FAULT_TLB_DATA1 _MMIO(0x4b14)
6c826f34 2061
f0f59a00 2062#define FPGA_DBG _MMIO(0x42300)
3f1e109a
PZ
2063#define FPGA_DBG_RM_NOCLAIM (1<<31)
2064
8ac3e1bb
MK
2065#define CLAIM_ER _MMIO(VLV_DISPLAY_BASE + 0x2028)
2066#define CLAIM_ER_CLR (1 << 31)
2067#define CLAIM_ER_OVERFLOW (1 << 16)
2068#define CLAIM_ER_CTR_MASK 0xffff
2069
f0f59a00 2070#define DERRMR _MMIO(0x44050)
4e0bbc31 2071/* Note that HBLANK events are reserved on bdw+ */
ffe74d75
CW
2072#define DERRMR_PIPEA_SCANLINE (1<<0)
2073#define DERRMR_PIPEA_PRI_FLIP_DONE (1<<1)
2074#define DERRMR_PIPEA_SPR_FLIP_DONE (1<<2)
2075#define DERRMR_PIPEA_VBLANK (1<<3)
2076#define DERRMR_PIPEA_HBLANK (1<<5)
2077#define DERRMR_PIPEB_SCANLINE (1<<8)
2078#define DERRMR_PIPEB_PRI_FLIP_DONE (1<<9)
2079#define DERRMR_PIPEB_SPR_FLIP_DONE (1<<10)
2080#define DERRMR_PIPEB_VBLANK (1<<11)
2081#define DERRMR_PIPEB_HBLANK (1<<13)
2082/* Note that PIPEC is not a simple translation of PIPEA/PIPEB */
2083#define DERRMR_PIPEC_SCANLINE (1<<14)
2084#define DERRMR_PIPEC_PRI_FLIP_DONE (1<<15)
2085#define DERRMR_PIPEC_SPR_FLIP_DONE (1<<20)
2086#define DERRMR_PIPEC_VBLANK (1<<21)
2087#define DERRMR_PIPEC_HBLANK (1<<22)
2088
0f3b6849 2089
de6e2eaf
EA
2090/* GM45+ chicken bits -- debug workaround bits that may be required
2091 * for various sorts of correct behavior. The top 16 bits of each are
2092 * the enables for writing to the corresponding low bit.
2093 */
f0f59a00 2094#define _3D_CHICKEN _MMIO(0x2084)
4283908e 2095#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
f0f59a00 2096#define _3D_CHICKEN2 _MMIO(0x208c)
de6e2eaf
EA
2097/* Disables pipelining of read flushes past the SF-WIZ interface.
2098 * Required on all Ironlake steppings according to the B-Spec, but the
2099 * particular danger of not doing so is not specified.
2100 */
2101# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
f0f59a00 2102#define _3D_CHICKEN3 _MMIO(0x2090)
87f8020e 2103#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
26b6e44a 2104#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
e927ecde
VS
2105#define _3D_CHICKEN_SDE_LIMIT_FIFO_POLY_DEPTH(x) ((x)<<1) /* gen8+ */
2106#define _3D_CHICKEN3_SF_DISABLE_PIPELINED_ATTR_FETCH (1 << 1) /* gen6 */
de6e2eaf 2107
f0f59a00 2108#define MI_MODE _MMIO(0x209c)
71cf39b1 2109# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 2110# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 2111# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
e9fea574 2112# define MODE_IDLE (1 << 9)
9991ae78 2113# define STOP_RING (1 << 8)
71cf39b1 2114
f0f59a00
VS
2115#define GEN6_GT_MODE _MMIO(0x20d0)
2116#define GEN7_GT_MODE _MMIO(0x7008)
8d85d272
VS
2117#define GEN6_WIZ_HASHING(hi, lo) (((hi) << 9) | ((lo) << 7))
2118#define GEN6_WIZ_HASHING_8x8 GEN6_WIZ_HASHING(0, 0)
2119#define GEN6_WIZ_HASHING_8x4 GEN6_WIZ_HASHING(0, 1)
2120#define GEN6_WIZ_HASHING_16x4 GEN6_WIZ_HASHING(1, 0)
98533251 2121#define GEN6_WIZ_HASHING_MASK GEN6_WIZ_HASHING(1, 1)
6547fbdb 2122#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
68d97538
VS
2123#define GEN9_IZ_HASHING_MASK(slice) (0x3 << ((slice) * 2))
2124#define GEN9_IZ_HASHING(slice, val) ((val) << ((slice) * 2))
f8f2ac9a 2125
a8ab5ed5
TG
2126/* chicken reg for WaConextSwitchWithConcurrentTLBInvalidate */
2127#define GEN9_CSFE_CHICKEN1_RCS _MMIO(0x20D4)
2128#define GEN9_PREEMPT_GPGPU_SYNC_SWITCH_DISABLE (1 << 2)
2129
b1e429fe
TG
2130/* WaClearTdlStateAckDirtyBits */
2131#define GEN8_STATE_ACK _MMIO(0x20F0)
2132#define GEN9_STATE_ACK_SLICE1 _MMIO(0x20F8)
2133#define GEN9_STATE_ACK_SLICE2 _MMIO(0x2100)
2134#define GEN9_STATE_ACK_TDL0 (1 << 12)
2135#define GEN9_STATE_ACK_TDL1 (1 << 13)
2136#define GEN9_STATE_ACK_TDL2 (1 << 14)
2137#define GEN9_STATE_ACK_TDL3 (1 << 15)
2138#define GEN9_SUBSLICE_TDL_ACK_BITS \
2139 (GEN9_STATE_ACK_TDL3 | GEN9_STATE_ACK_TDL2 | \
2140 GEN9_STATE_ACK_TDL1 | GEN9_STATE_ACK_TDL0)
2141
f0f59a00
VS
2142#define GFX_MODE _MMIO(0x2520)
2143#define GFX_MODE_GEN7 _MMIO(0x229c)
bbdc070a 2144#define RING_MODE_GEN7(engine) _MMIO((engine)->mmio_base+0x29c)
1ec14ad3 2145#define GFX_RUN_LIST_ENABLE (1<<15)
4df001d3 2146#define GFX_INTERRUPT_STEERING (1<<14)
aa83e30d 2147#define GFX_TLB_INVALIDATE_EXPLICIT (1<<13)
1ec14ad3
CW
2148#define GFX_SURFACE_FAULT_ENABLE (1<<12)
2149#define GFX_REPLAY_MODE (1<<11)
2150#define GFX_PSMI_GRANULARITY (1<<10)
2151#define GFX_PPGTT_ENABLE (1<<9)
2dba3239 2152#define GEN8_GFX_PPGTT_48B (1<<7)
1ec14ad3 2153
4df001d3
DG
2154#define GFX_FORWARD_VBLANK_MASK (3<<5)
2155#define GFX_FORWARD_VBLANK_NEVER (0<<5)
2156#define GFX_FORWARD_VBLANK_ALWAYS (1<<5)
2157#define GFX_FORWARD_VBLANK_COND (2<<5)
2158
a7e806de 2159#define VLV_DISPLAY_BASE 0x180000
b6fdd0f2 2160#define VLV_MIPI_BASE VLV_DISPLAY_BASE
c6c794a2 2161#define BXT_MIPI_BASE 0x60000
a7e806de 2162
f0f59a00
VS
2163#define VLV_GU_CTL0 _MMIO(VLV_DISPLAY_BASE + 0x2030)
2164#define VLV_GU_CTL1 _MMIO(VLV_DISPLAY_BASE + 0x2034)
2165#define SCPD0 _MMIO(0x209c) /* 915+ only */
2166#define IER _MMIO(0x20a0)
2167#define IIR _MMIO(0x20a4)
2168#define IMR _MMIO(0x20a8)
2169#define ISR _MMIO(0x20ac)
2170#define VLV_GUNIT_CLOCK_GATE _MMIO(VLV_DISPLAY_BASE + 0x2060)
e4443e45 2171#define GINT_DIS (1<<22)
2d809570 2172#define GCFG_DIS (1<<8)
f0f59a00
VS
2173#define VLV_GUNIT_CLOCK_GATE2 _MMIO(VLV_DISPLAY_BASE + 0x2064)
2174#define VLV_IIR_RW _MMIO(VLV_DISPLAY_BASE + 0x2084)
2175#define VLV_IER _MMIO(VLV_DISPLAY_BASE + 0x20a0)
2176#define VLV_IIR _MMIO(VLV_DISPLAY_BASE + 0x20a4)
2177#define VLV_IMR _MMIO(VLV_DISPLAY_BASE + 0x20a8)
2178#define VLV_ISR _MMIO(VLV_DISPLAY_BASE + 0x20ac)
2179#define VLV_PCBR _MMIO(VLV_DISPLAY_BASE + 0x2120)
38807746
D
2180#define VLV_PCBR_ADDR_SHIFT 12
2181
90a72f87 2182#define DISPLAY_PLANE_FLIP_PENDING(plane) (1<<(11-(plane))) /* A and B only */
f0f59a00
VS
2183#define EIR _MMIO(0x20b0)
2184#define EMR _MMIO(0x20b4)
2185#define ESR _MMIO(0x20b8)
63eeaf38
JB
2186#define GM45_ERROR_PAGE_TABLE (1<<5)
2187#define GM45_ERROR_MEM_PRIV (1<<4)
2188#define I915_ERROR_PAGE_TABLE (1<<4)
2189#define GM45_ERROR_CP_PRIV (1<<3)
2190#define I915_ERROR_MEMORY_REFRESH (1<<1)
2191#define I915_ERROR_INSTRUCTION (1<<0)
f0f59a00 2192#define INSTPM _MMIO(0x20c0)
ee980b80 2193#define INSTPM_SELF_EN (1<<12) /* 915GM only */
3299254f 2194#define INSTPM_AGPBUSY_INT_EN (1<<11) /* gen3: when disabled, pending interrupts
8692d00e
CW
2195 will not assert AGPBUSY# and will only
2196 be delivered when out of C3. */
84f9f938 2197#define INSTPM_FORCE_ORDERING (1<<7) /* GEN6+ */
884020bf
CW
2198#define INSTPM_TLB_INVALIDATE (1<<9)
2199#define INSTPM_SYNC_FLUSH (1<<5)
f0f59a00
VS
2200#define ACTHD _MMIO(0x20c8)
2201#define MEM_MODE _MMIO(0x20cc)
1038392b
VS
2202#define MEM_DISPLAY_B_TRICKLE_FEED_DISABLE (1<<3) /* 830 only */
2203#define MEM_DISPLAY_A_TRICKLE_FEED_DISABLE (1<<2) /* 830/845 only */
2204#define MEM_DISPLAY_TRICKLE_FEED_DISABLE (1<<2) /* 85x only */
f0f59a00
VS
2205#define FW_BLC _MMIO(0x20d8)
2206#define FW_BLC2 _MMIO(0x20dc)
2207#define FW_BLC_SELF _MMIO(0x20e0) /* 915+ only */
ee980b80
LP
2208#define FW_BLC_SELF_EN_MASK (1<<31)
2209#define FW_BLC_SELF_FIFO_MASK (1<<16) /* 945 only */
2210#define FW_BLC_SELF_EN (1<<15) /* 945 only */
7662c8bd
SL
2211#define MM_BURST_LENGTH 0x00700000
2212#define MM_FIFO_WATERMARK 0x0001F000
2213#define LM_BURST_LENGTH 0x00000700
2214#define LM_FIFO_WATERMARK 0x0000001F
f0f59a00 2215#define MI_ARB_STATE _MMIO(0x20e4) /* 915+ only */
45503ded
KP
2216
2217/* Make render/texture TLB fetches lower priorty than associated data
2218 * fetches. This is not turned on by default
2219 */
2220#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
2221
2222/* Isoch request wait on GTT enable (Display A/B/C streams).
2223 * Make isoch requests stall on the TLB update. May cause
2224 * display underruns (test mode only)
2225 */
2226#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
2227
2228/* Block grant count for isoch requests when block count is
2229 * set to a finite value.
2230 */
2231#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
2232#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
2233#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
2234#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
2235#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
2236
2237/* Enable render writes to complete in C2/C3/C4 power states.
2238 * If this isn't enabled, render writes are prevented in low
2239 * power states. That seems bad to me.
2240 */
2241#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
2242
2243/* This acknowledges an async flip immediately instead
2244 * of waiting for 2TLB fetches.
2245 */
2246#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
2247
2248/* Enables non-sequential data reads through arbiter
2249 */
0206e353 2250#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
2251
2252/* Disable FSB snooping of cacheable write cycles from binner/render
2253 * command stream
2254 */
2255#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
2256
2257/* Arbiter time slice for non-isoch streams */
2258#define MI_ARB_TIME_SLICE_MASK (7 << 5)
2259#define MI_ARB_TIME_SLICE_1 (0 << 5)
2260#define MI_ARB_TIME_SLICE_2 (1 << 5)
2261#define MI_ARB_TIME_SLICE_4 (2 << 5)
2262#define MI_ARB_TIME_SLICE_6 (3 << 5)
2263#define MI_ARB_TIME_SLICE_8 (4 << 5)
2264#define MI_ARB_TIME_SLICE_10 (5 << 5)
2265#define MI_ARB_TIME_SLICE_14 (6 << 5)
2266#define MI_ARB_TIME_SLICE_16 (7 << 5)
2267
2268/* Low priority grace period page size */
2269#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
2270#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
2271
2272/* Disable display A/B trickle feed */
2273#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
2274
2275/* Set display plane priority */
2276#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
2277#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
2278
f0f59a00 2279#define MI_STATE _MMIO(0x20e4) /* gen2 only */
54e472ae
VS
2280#define MI_AGPBUSY_INT_EN (1 << 1) /* 85x only */
2281#define MI_AGPBUSY_830_MODE (1 << 0) /* 85x only */
2282
f0f59a00 2283#define CACHE_MODE_0 _MMIO(0x2120) /* 915+ only */
4358a374 2284#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1<<8)
585fb111
JB
2285#define CM0_IZ_OPT_DISABLE (1<<6)
2286#define CM0_ZR_OPT_DISABLE (1<<5)
009be664 2287#define CM0_STC_EVICT_DISABLE_LRA_SNB (1<<5)
585fb111
JB
2288#define CM0_DEPTH_EVICT_DISABLE (1<<4)
2289#define CM0_COLOR_EVICT_DISABLE (1<<3)
2290#define CM0_DEPTH_WRITE_DISABLE (1<<1)
2291#define CM0_RC_OP_FLUSH_DISABLE (1<<0)
f0f59a00
VS
2292#define GFX_FLSH_CNTL _MMIO(0x2170) /* 915+ only */
2293#define GFX_FLSH_CNTL_GEN6 _MMIO(0x101008)
0f9b91c7 2294#define GFX_FLSH_CNTL_EN (1<<0)
f0f59a00 2295#define ECOSKPD _MMIO(0x21d0)
1afe3e9d
JB
2296#define ECO_GATING_CX_ONLY (1<<3)
2297#define ECO_FLIP_DONE (1<<0)
585fb111 2298
f0f59a00 2299#define CACHE_MODE_0_GEN7 _MMIO(0x7000) /* IVB+ */
4e04632e 2300#define RC_OP_FLUSH_ENABLE (1<<0)
fe27c606 2301#define HIZ_RAW_STALL_OPT_DISABLE (1<<2)
f0f59a00 2302#define CACHE_MODE_1 _MMIO(0x7004) /* IVB+ */
5d708680
DL
2303#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1<<6)
2304#define GEN8_4x4_STC_OPTIMIZATION_DISABLE (1<<6)
9370cd98 2305#define GEN9_PARTIAL_RESOLVE_IN_VC_DISABLE (1<<1)
fb046853 2306
f0f59a00 2307#define GEN6_BLITTER_ECOSKPD _MMIO(0x221d0)
4efe0708
JB
2308#define GEN6_BLITTER_LOCK_SHIFT 16
2309#define GEN6_BLITTER_FBC_NOTIFY (1<<3)
2310
f0f59a00 2311#define GEN6_RC_SLEEP_PSMI_CONTROL _MMIO(0x2050)
2c550183 2312#define GEN6_PSMI_SLEEP_MSG_DISABLE (1 << 0)
295e8bb7 2313#define GEN8_RC_SEMA_IDLE_MSG_DISABLE (1 << 12)
e4443e45 2314#define GEN8_FF_DOP_CLOCK_GATE_DISABLE (1<<10)
295e8bb7 2315
693d11c3 2316/* Fuse readout registers for GT */
f0f59a00 2317#define CHV_FUSE_GT _MMIO(VLV_DISPLAY_BASE + 0x2168)
c93043ae
JM
2318#define CHV_FGT_DISABLE_SS0 (1 << 10)
2319#define CHV_FGT_DISABLE_SS1 (1 << 11)
693d11c3
D
2320#define CHV_FGT_EU_DIS_SS0_R0_SHIFT 16
2321#define CHV_FGT_EU_DIS_SS0_R0_MASK (0xf << CHV_FGT_EU_DIS_SS0_R0_SHIFT)
2322#define CHV_FGT_EU_DIS_SS0_R1_SHIFT 20
2323#define CHV_FGT_EU_DIS_SS0_R1_MASK (0xf << CHV_FGT_EU_DIS_SS0_R1_SHIFT)
2324#define CHV_FGT_EU_DIS_SS1_R0_SHIFT 24
2325#define CHV_FGT_EU_DIS_SS1_R0_MASK (0xf << CHV_FGT_EU_DIS_SS1_R0_SHIFT)
2326#define CHV_FGT_EU_DIS_SS1_R1_SHIFT 28
2327#define CHV_FGT_EU_DIS_SS1_R1_MASK (0xf << CHV_FGT_EU_DIS_SS1_R1_SHIFT)
2328
f0f59a00 2329#define GEN8_FUSE2 _MMIO(0x9120)
91bedd34
ŁD
2330#define GEN8_F2_SS_DIS_SHIFT 21
2331#define GEN8_F2_SS_DIS_MASK (0x7 << GEN8_F2_SS_DIS_SHIFT)
3873218f
JM
2332#define GEN8_F2_S_ENA_SHIFT 25
2333#define GEN8_F2_S_ENA_MASK (0x7 << GEN8_F2_S_ENA_SHIFT)
2334
2335#define GEN9_F2_SS_DIS_SHIFT 20
2336#define GEN9_F2_SS_DIS_MASK (0xf << GEN9_F2_SS_DIS_SHIFT)
2337
f0f59a00 2338#define GEN8_EU_DISABLE0 _MMIO(0x9134)
91bedd34
ŁD
2339#define GEN8_EU_DIS0_S0_MASK 0xffffff
2340#define GEN8_EU_DIS0_S1_SHIFT 24
2341#define GEN8_EU_DIS0_S1_MASK (0xff << GEN8_EU_DIS0_S1_SHIFT)
2342
f0f59a00 2343#define GEN8_EU_DISABLE1 _MMIO(0x9138)
91bedd34
ŁD
2344#define GEN8_EU_DIS1_S1_MASK 0xffff
2345#define GEN8_EU_DIS1_S2_SHIFT 16
2346#define GEN8_EU_DIS1_S2_MASK (0xffff << GEN8_EU_DIS1_S2_SHIFT)
2347
f0f59a00 2348#define GEN8_EU_DISABLE2 _MMIO(0x913c)
91bedd34
ŁD
2349#define GEN8_EU_DIS2_S2_MASK 0xff
2350
f0f59a00 2351#define GEN9_EU_DISABLE(slice) _MMIO(0x9134 + (slice)*0x4)
3873218f 2352
f0f59a00 2353#define GEN6_BSD_SLEEP_PSMI_CONTROL _MMIO(0x12050)
12f55818
CW
2354#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
2355#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
2356#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
2357#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 2358
cc609d5d
BW
2359/* On modern GEN architectures interrupt control consists of two sets
2360 * of registers. The first set pertains to the ring generating the
2361 * interrupt. The second control is for the functional block generating the
2362 * interrupt. These are PM, GT, DE, etc.
2363 *
2364 * Luckily *knocks on wood* all the ring interrupt bits match up with the
2365 * GT interrupt bits, so we don't need to duplicate the defines.
2366 *
2367 * These defines should cover us well from SNB->HSW with minor exceptions
2368 * it can also work on ILK.
2369 */
2370#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
2371#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
2372#define GT_BLT_USER_INTERRUPT (1 << 22)
2373#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
2374#define GT_BSD_USER_INTERRUPT (1 << 12)
35a85ac6 2375#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 (1 << 11) /* hsw+; rsvd on snb, ivb, vlv */
73d477f6 2376#define GT_CONTEXT_SWITCH_INTERRUPT (1 << 8)
cc609d5d
BW
2377#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
2378#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
2379#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
2380#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
2381#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
2382#define GT_RENDER_USER_INTERRUPT (1 << 0)
2383
12638c57
BW
2384#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
2385#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
2386
772c2a51 2387#define GT_PARITY_ERROR(dev_priv) \
35a85ac6 2388 (GT_RENDER_L3_PARITY_ERROR_INTERRUPT | \
772c2a51 2389 (IS_HASWELL(dev_priv) ? GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 : 0))
35a85ac6 2390
cc609d5d
BW
2391/* These are all the "old" interrupts */
2392#define ILK_BSD_USER_INTERRUPT (1<<5)
fac12f6c
VS
2393
2394#define I915_PM_INTERRUPT (1<<31)
2395#define I915_ISP_INTERRUPT (1<<22)
2396#define I915_LPE_PIPE_B_INTERRUPT (1<<21)
2397#define I915_LPE_PIPE_A_INTERRUPT (1<<20)
e7d7cad0 2398#define I915_MIPIC_INTERRUPT (1<<19)
fac12f6c 2399#define I915_MIPIA_INTERRUPT (1<<18)
cc609d5d
BW
2400#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1<<18)
2401#define I915_DISPLAY_PORT_INTERRUPT (1<<17)
fac12f6c
VS
2402#define I915_DISPLAY_PIPE_C_HBLANK_INTERRUPT (1<<16)
2403#define I915_MASTER_ERROR_INTERRUPT (1<<15)
cc609d5d 2404#define I915_RENDER_COMMAND_PARSER_ERROR_INTERRUPT (1<<15)
fac12f6c 2405#define I915_DISPLAY_PIPE_B_HBLANK_INTERRUPT (1<<14)
cc609d5d 2406#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1<<14) /* p-state */
fac12f6c 2407#define I915_DISPLAY_PIPE_A_HBLANK_INTERRUPT (1<<13)
cc609d5d 2408#define I915_HWB_OOM_INTERRUPT (1<<13)
fac12f6c 2409#define I915_LPE_PIPE_C_INTERRUPT (1<<12)
cc609d5d 2410#define I915_SYNC_STATUS_INTERRUPT (1<<12)
fac12f6c 2411#define I915_MISC_INTERRUPT (1<<11)
cc609d5d 2412#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1<<11)
fac12f6c 2413#define I915_DISPLAY_PIPE_C_VBLANK_INTERRUPT (1<<10)
cc609d5d 2414#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1<<10)
fac12f6c 2415#define I915_DISPLAY_PIPE_C_EVENT_INTERRUPT (1<<9)
cc609d5d 2416#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1<<9)
fac12f6c 2417#define I915_DISPLAY_PIPE_C_DPBM_INTERRUPT (1<<8)
cc609d5d
BW
2418#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1<<8)
2419#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1<<7)
2420#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1<<6)
2421#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1<<5)
2422#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1<<4)
fac12f6c
VS
2423#define I915_DISPLAY_PIPE_A_DPBM_INTERRUPT (1<<3)
2424#define I915_DISPLAY_PIPE_B_DPBM_INTERRUPT (1<<2)
cc609d5d 2425#define I915_DEBUG_INTERRUPT (1<<2)
fac12f6c 2426#define I915_WINVALID_INTERRUPT (1<<1)
cc609d5d
BW
2427#define I915_USER_INTERRUPT (1<<1)
2428#define I915_ASLE_INTERRUPT (1<<0)
fac12f6c 2429#define I915_BSD_USER_INTERRUPT (1<<25)
881f47b6 2430
f0f59a00 2431#define GEN6_BSD_RNCID _MMIO(0x12198)
881f47b6 2432
f0f59a00 2433#define GEN7_FF_THREAD_MODE _MMIO(0x20a0)
a1e969e0 2434#define GEN7_FF_SCHED_MASK 0x0077070
ab57fff1 2435#define GEN8_FF_DS_REF_CNT_FFME (1 << 19)
a1e969e0
BW
2436#define GEN7_FF_TS_SCHED_HS1 (0x5<<16)
2437#define GEN7_FF_TS_SCHED_HS0 (0x3<<16)
2438#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1<<16)
2439#define GEN7_FF_TS_SCHED_HW (0x0<<16) /* Default */
41c0b3a8 2440#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
a1e969e0
BW
2441#define GEN7_FF_VS_SCHED_HS1 (0x5<<12)
2442#define GEN7_FF_VS_SCHED_HS0 (0x3<<12)
2443#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1<<12) /* Default */
2444#define GEN7_FF_VS_SCHED_HW (0x0<<12)
2445#define GEN7_FF_DS_SCHED_HS1 (0x5<<4)
2446#define GEN7_FF_DS_SCHED_HS0 (0x3<<4)
2447#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1<<4) /* Default */
2448#define GEN7_FF_DS_SCHED_HW (0x0<<4)
2449
585fb111
JB
2450/*
2451 * Framebuffer compression (915+ only)
2452 */
2453
f0f59a00
VS
2454#define FBC_CFB_BASE _MMIO(0x3200) /* 4k page aligned */
2455#define FBC_LL_BASE _MMIO(0x3204) /* 4k page aligned */
2456#define FBC_CONTROL _MMIO(0x3208)
585fb111
JB
2457#define FBC_CTL_EN (1<<31)
2458#define FBC_CTL_PERIODIC (1<<30)
2459#define FBC_CTL_INTERVAL_SHIFT (16)
2460#define FBC_CTL_UNCOMPRESSIBLE (1<<14)
49677901 2461#define FBC_CTL_C3_IDLE (1<<13)
585fb111 2462#define FBC_CTL_STRIDE_SHIFT (5)
82f34496 2463#define FBC_CTL_FENCENO_SHIFT (0)
f0f59a00 2464#define FBC_COMMAND _MMIO(0x320c)
585fb111 2465#define FBC_CMD_COMPRESS (1<<0)
f0f59a00 2466#define FBC_STATUS _MMIO(0x3210)
585fb111
JB
2467#define FBC_STAT_COMPRESSING (1<<31)
2468#define FBC_STAT_COMPRESSED (1<<30)
2469#define FBC_STAT_MODIFIED (1<<29)
82f34496 2470#define FBC_STAT_CURRENT_LINE_SHIFT (0)
f0f59a00 2471#define FBC_CONTROL2 _MMIO(0x3214)
585fb111
JB
2472#define FBC_CTL_FENCE_DBL (0<<4)
2473#define FBC_CTL_IDLE_IMM (0<<2)
2474#define FBC_CTL_IDLE_FULL (1<<2)
2475#define FBC_CTL_IDLE_LINE (2<<2)
2476#define FBC_CTL_IDLE_DEBUG (3<<2)
2477#define FBC_CTL_CPU_FENCE (1<<1)
7f2cf220 2478#define FBC_CTL_PLANE(plane) ((plane)<<0)
f0f59a00
VS
2479#define FBC_FENCE_OFF _MMIO(0x3218) /* BSpec typo has 321Bh */
2480#define FBC_TAG(i) _MMIO(0x3300 + (i) * 4)
585fb111 2481
0fc6a9dc
PZ
2482#define FBC_STATUS2 _MMIO(0x43214)
2483#define IVB_FBC_COMPRESSION_MASK 0x7ff
2484#define BDW_FBC_COMPRESSION_MASK 0xfff
31b9df10 2485
585fb111
JB
2486#define FBC_LL_SIZE (1536)
2487
44fff99f
MK
2488#define FBC_LLC_READ_CTRL _MMIO(0x9044)
2489#define FBC_LLC_FULLY_OPEN (1<<30)
2490
74dff282 2491/* Framebuffer compression for GM45+ */
f0f59a00
VS
2492#define DPFC_CB_BASE _MMIO(0x3200)
2493#define DPFC_CONTROL _MMIO(0x3208)
74dff282 2494#define DPFC_CTL_EN (1<<31)
7f2cf220
VS
2495#define DPFC_CTL_PLANE(plane) ((plane)<<30)
2496#define IVB_DPFC_CTL_PLANE(plane) ((plane)<<29)
74dff282 2497#define DPFC_CTL_FENCE_EN (1<<29)
abe959c7 2498#define IVB_DPFC_CTL_FENCE_EN (1<<28)
9ce9d069 2499#define DPFC_CTL_PERSISTENT_MODE (1<<25)
74dff282
JB
2500#define DPFC_SR_EN (1<<10)
2501#define DPFC_CTL_LIMIT_1X (0<<6)
2502#define DPFC_CTL_LIMIT_2X (1<<6)
2503#define DPFC_CTL_LIMIT_4X (2<<6)
f0f59a00 2504#define DPFC_RECOMP_CTL _MMIO(0x320c)
74dff282
JB
2505#define DPFC_RECOMP_STALL_EN (1<<27)
2506#define DPFC_RECOMP_STALL_WM_SHIFT (16)
2507#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
2508#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
2509#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
f0f59a00 2510#define DPFC_STATUS _MMIO(0x3210)
74dff282
JB
2511#define DPFC_INVAL_SEG_SHIFT (16)
2512#define DPFC_INVAL_SEG_MASK (0x07ff0000)
2513#define DPFC_COMP_SEG_SHIFT (0)
2514#define DPFC_COMP_SEG_MASK (0x000003ff)
f0f59a00
VS
2515#define DPFC_STATUS2 _MMIO(0x3214)
2516#define DPFC_FENCE_YOFF _MMIO(0x3218)
2517#define DPFC_CHICKEN _MMIO(0x3224)
74dff282
JB
2518#define DPFC_HT_MODIFY (1<<31)
2519
b52eb4dc 2520/* Framebuffer compression for Ironlake */
f0f59a00
VS
2521#define ILK_DPFC_CB_BASE _MMIO(0x43200)
2522#define ILK_DPFC_CONTROL _MMIO(0x43208)
da46f936 2523#define FBC_CTL_FALSE_COLOR (1<<10)
b52eb4dc
ZY
2524/* The bit 28-8 is reserved */
2525#define DPFC_RESERVED (0x1FFFFF00)
f0f59a00
VS
2526#define ILK_DPFC_RECOMP_CTL _MMIO(0x4320c)
2527#define ILK_DPFC_STATUS _MMIO(0x43210)
2528#define ILK_DPFC_FENCE_YOFF _MMIO(0x43218)
2529#define ILK_DPFC_CHICKEN _MMIO(0x43224)
d1b4eefd 2530#define ILK_DPFC_DISABLE_DUMMY0 (1<<8)
031cd8c8 2531#define ILK_DPFC_NUKE_ON_ANY_MODIFICATION (1<<23)
f0f59a00 2532#define ILK_FBC_RT_BASE _MMIO(0x2128)
b52eb4dc 2533#define ILK_FBC_RT_VALID (1<<0)
abe959c7 2534#define SNB_FBC_FRONT_BUFFER (1<<1)
b52eb4dc 2535
f0f59a00 2536#define ILK_DISPLAY_CHICKEN1 _MMIO(0x42000)
b52eb4dc 2537#define ILK_FBCQ_DIS (1<<22)
0206e353 2538#define ILK_PABSTRETCH_DIS (1<<21)
1398261a 2539
b52eb4dc 2540
9c04f015
YL
2541/*
2542 * Framebuffer compression for Sandybridge
2543 *
2544 * The following two registers are of type GTTMMADR
2545 */
f0f59a00 2546#define SNB_DPFC_CTL_SA _MMIO(0x100100)
9c04f015 2547#define SNB_CPU_FENCE_ENABLE (1<<29)
f0f59a00 2548#define DPFC_CPU_FENCE_OFFSET _MMIO(0x100104)
9c04f015 2549
abe959c7 2550/* Framebuffer compression for Ivybridge */
f0f59a00 2551#define IVB_FBC_RT_BASE _MMIO(0x7020)
abe959c7 2552
f0f59a00 2553#define IPS_CTL _MMIO(0x43408)
42db64ef 2554#define IPS_ENABLE (1 << 31)
9c04f015 2555
f0f59a00 2556#define MSG_FBC_REND_STATE _MMIO(0x50380)
fd3da6c9
RV
2557#define FBC_REND_NUKE (1<<2)
2558#define FBC_REND_CACHE_CLEAN (1<<1)
2559
585fb111
JB
2560/*
2561 * GPIO regs
2562 */
f0f59a00
VS
2563#define GPIOA _MMIO(0x5010)
2564#define GPIOB _MMIO(0x5014)
2565#define GPIOC _MMIO(0x5018)
2566#define GPIOD _MMIO(0x501c)
2567#define GPIOE _MMIO(0x5020)
2568#define GPIOF _MMIO(0x5024)
2569#define GPIOG _MMIO(0x5028)
2570#define GPIOH _MMIO(0x502c)
585fb111
JB
2571# define GPIO_CLOCK_DIR_MASK (1 << 0)
2572# define GPIO_CLOCK_DIR_IN (0 << 1)
2573# define GPIO_CLOCK_DIR_OUT (1 << 1)
2574# define GPIO_CLOCK_VAL_MASK (1 << 2)
2575# define GPIO_CLOCK_VAL_OUT (1 << 3)
2576# define GPIO_CLOCK_VAL_IN (1 << 4)
2577# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
2578# define GPIO_DATA_DIR_MASK (1 << 8)
2579# define GPIO_DATA_DIR_IN (0 << 9)
2580# define GPIO_DATA_DIR_OUT (1 << 9)
2581# define GPIO_DATA_VAL_MASK (1 << 10)
2582# define GPIO_DATA_VAL_OUT (1 << 11)
2583# define GPIO_DATA_VAL_IN (1 << 12)
2584# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
2585
f0f59a00 2586#define GMBUS0 _MMIO(dev_priv->gpio_mmio_base + 0x5100) /* clock/port select */
f899fc64
CW
2587#define GMBUS_RATE_100KHZ (0<<8)
2588#define GMBUS_RATE_50KHZ (1<<8)
2589#define GMBUS_RATE_400KHZ (2<<8) /* reserved on Pineview */
2590#define GMBUS_RATE_1MHZ (3<<8) /* reserved on Pineview */
2591#define GMBUS_HOLD_EXT (1<<7) /* 300ns hold time, rsvd on Pineview */
988c7015
JN
2592#define GMBUS_PIN_DISABLED 0
2593#define GMBUS_PIN_SSC 1
2594#define GMBUS_PIN_VGADDC 2
2595#define GMBUS_PIN_PANEL 3
2596#define GMBUS_PIN_DPD_CHV 3 /* HDMID_CHV */
2597#define GMBUS_PIN_DPC 4 /* HDMIC */
2598#define GMBUS_PIN_DPB 5 /* SDVO, HDMIB */
2599#define GMBUS_PIN_DPD 6 /* HDMID */
2600#define GMBUS_PIN_RESERVED 7 /* 7 reserved */
4c272834
JN
2601#define GMBUS_PIN_1_BXT 1
2602#define GMBUS_PIN_2_BXT 2
2603#define GMBUS_PIN_3_BXT 3
5ea6e5e3 2604#define GMBUS_NUM_PINS 7 /* including 0 */
f0f59a00 2605#define GMBUS1 _MMIO(dev_priv->gpio_mmio_base + 0x5104) /* command/status */
f899fc64
CW
2606#define GMBUS_SW_CLR_INT (1<<31)
2607#define GMBUS_SW_RDY (1<<30)
2608#define GMBUS_ENT (1<<29) /* enable timeout */
2609#define GMBUS_CYCLE_NONE (0<<25)
2610#define GMBUS_CYCLE_WAIT (1<<25)
2611#define GMBUS_CYCLE_INDEX (2<<25)
2612#define GMBUS_CYCLE_STOP (4<<25)
2613#define GMBUS_BYTE_COUNT_SHIFT 16
9535c475 2614#define GMBUS_BYTE_COUNT_MAX 256U
f899fc64
CW
2615#define GMBUS_SLAVE_INDEX_SHIFT 8
2616#define GMBUS_SLAVE_ADDR_SHIFT 1
2617#define GMBUS_SLAVE_READ (1<<0)
2618#define GMBUS_SLAVE_WRITE (0<<0)
f0f59a00 2619#define GMBUS2 _MMIO(dev_priv->gpio_mmio_base + 0x5108) /* status */
f899fc64
CW
2620#define GMBUS_INUSE (1<<15)
2621#define GMBUS_HW_WAIT_PHASE (1<<14)
2622#define GMBUS_STALL_TIMEOUT (1<<13)
2623#define GMBUS_INT (1<<12)
2624#define GMBUS_HW_RDY (1<<11)
2625#define GMBUS_SATOER (1<<10)
2626#define GMBUS_ACTIVE (1<<9)
f0f59a00
VS
2627#define GMBUS3 _MMIO(dev_priv->gpio_mmio_base + 0x510c) /* data buffer bytes 3-0 */
2628#define GMBUS4 _MMIO(dev_priv->gpio_mmio_base + 0x5110) /* interrupt mask (Pineview+) */
f899fc64
CW
2629#define GMBUS_SLAVE_TIMEOUT_EN (1<<4)
2630#define GMBUS_NAK_EN (1<<3)
2631#define GMBUS_IDLE_EN (1<<2)
2632#define GMBUS_HW_WAIT_EN (1<<1)
2633#define GMBUS_HW_RDY_EN (1<<0)
f0f59a00 2634#define GMBUS5 _MMIO(dev_priv->gpio_mmio_base + 0x5120) /* byte index */
f899fc64 2635#define GMBUS_2BYTE_INDEX_EN (1<<31)
f0217c42 2636
585fb111
JB
2637/*
2638 * Clock control & power management
2639 */
2d401b17
VS
2640#define _DPLL_A (dev_priv->info.display_mmio_offset + 0x6014)
2641#define _DPLL_B (dev_priv->info.display_mmio_offset + 0x6018)
2642#define _CHV_DPLL_C (dev_priv->info.display_mmio_offset + 0x6030)
f0f59a00 2643#define DPLL(pipe) _MMIO_PIPE3((pipe), _DPLL_A, _DPLL_B, _CHV_DPLL_C)
585fb111 2644
f0f59a00
VS
2645#define VGA0 _MMIO(0x6000)
2646#define VGA1 _MMIO(0x6004)
2647#define VGA_PD _MMIO(0x6010)
585fb111
JB
2648#define VGA0_PD_P2_DIV_4 (1 << 7)
2649#define VGA0_PD_P1_DIV_2 (1 << 5)
2650#define VGA0_PD_P1_SHIFT 0
2651#define VGA0_PD_P1_MASK (0x1f << 0)
2652#define VGA1_PD_P2_DIV_4 (1 << 15)
2653#define VGA1_PD_P1_DIV_2 (1 << 13)
2654#define VGA1_PD_P1_SHIFT 8
2655#define VGA1_PD_P1_MASK (0x1f << 8)
585fb111 2656#define DPLL_VCO_ENABLE (1 << 31)
4a33e48d
DV
2657#define DPLL_SDVO_HIGH_SPEED (1 << 30)
2658#define DPLL_DVO_2X_MODE (1 << 30)
25eb05fc 2659#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 2660#define DPLL_SYNCLOCK_ENABLE (1 << 29)
60bfe44f 2661#define DPLL_REF_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
2662#define DPLL_VGA_MODE_DIS (1 << 28)
2663#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
2664#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
2665#define DPLL_MODE_MASK (3 << 26)
2666#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
2667#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
2668#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
2669#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
2670#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
2671#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 2672#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
a0c4da24 2673#define DPLL_LOCK_VLV (1<<15)
598fac6b 2674#define DPLL_INTEGRATED_CRI_CLK_VLV (1<<14)
60bfe44f
VS
2675#define DPLL_INTEGRATED_REF_CLK_VLV (1<<13)
2676#define DPLL_SSC_REF_CLK_CHV (1<<13)
598fac6b
DV
2677#define DPLL_PORTC_READY_MASK (0xf << 4)
2678#define DPLL_PORTB_READY_MASK (0xf)
585fb111 2679
585fb111 2680#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
00fc31b7
CML
2681
2682/* Additional CHV pll/phy registers */
f0f59a00 2683#define DPIO_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x6240)
00fc31b7 2684#define DPLL_PORTD_READY_MASK (0xf)
f0f59a00 2685#define DISPLAY_PHY_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x60100)
e0fce78f 2686#define PHY_CH_POWER_DOWN_OVRD_EN(phy, ch) (1 << (2*(phy)+(ch)+27))
bc284542
VS
2687#define PHY_LDO_DELAY_0NS 0x0
2688#define PHY_LDO_DELAY_200NS 0x1
2689#define PHY_LDO_DELAY_600NS 0x2
2690#define PHY_LDO_SEQ_DELAY(delay, phy) ((delay) << (2*(phy)+23))
e0fce78f 2691#define PHY_CH_POWER_DOWN_OVRD(mask, phy, ch) ((mask) << (8*(phy)+4*(ch)+11))
70722468
VS
2692#define PHY_CH_SU_PSR 0x1
2693#define PHY_CH_DEEP_PSR 0x7
2694#define PHY_CH_POWER_MODE(mode, phy, ch) ((mode) << (6*(phy)+3*(ch)+2))
2695#define PHY_COM_LANE_RESET_DEASSERT(phy) (1 << (phy))
f0f59a00 2696#define DISPLAY_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x60104)
efd814b7 2697#define PHY_POWERGOOD(phy) (((phy) == DPIO_PHY0) ? (1<<31) : (1<<30))
30142273
VS
2698#define PHY_STATUS_CMN_LDO(phy, ch) (1 << (6-(6*(phy)+3*(ch))))
2699#define PHY_STATUS_SPLINE_LDO(phy, ch, spline) (1 << (8-(6*(phy)+3*(ch)+(spline))))
076ed3b2 2700
585fb111
JB
2701/*
2702 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
2703 * this field (only one bit may be set).
2704 */
2705#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
2706#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 2707#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
2708/* i830, required in DVO non-gang */
2709#define PLL_P2_DIVIDE_BY_4 (1 << 23)
2710#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
2711#define PLL_REF_INPUT_DREFCLK (0 << 13)
2712#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
2713#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
2714#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
2715#define PLL_REF_INPUT_MASK (3 << 13)
2716#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 2717/* Ironlake */
b9055052
ZW
2718# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
2719# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
2720# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x)-1) << 9)
2721# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
2722# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
2723
585fb111
JB
2724/*
2725 * Parallel to Serial Load Pulse phase selection.
2726 * Selects the phase for the 10X DPLL clock for the PCIe
2727 * digital display port. The range is 4 to 13; 10 or more
2728 * is just a flip delay. The default is 6
2729 */
2730#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
2731#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
2732/*
2733 * SDVO multiplier for 945G/GM. Not used on 965.
2734 */
2735#define SDVO_MULTIPLIER_MASK 0x000000ff
2736#define SDVO_MULTIPLIER_SHIFT_HIRES 4
2737#define SDVO_MULTIPLIER_SHIFT_VGA 0
a57c774a 2738
2d401b17
VS
2739#define _DPLL_A_MD (dev_priv->info.display_mmio_offset + 0x601c)
2740#define _DPLL_B_MD (dev_priv->info.display_mmio_offset + 0x6020)
2741#define _CHV_DPLL_C_MD (dev_priv->info.display_mmio_offset + 0x603c)
f0f59a00 2742#define DPLL_MD(pipe) _MMIO_PIPE3((pipe), _DPLL_A_MD, _DPLL_B_MD, _CHV_DPLL_C_MD)
a57c774a 2743
585fb111
JB
2744/*
2745 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
2746 *
2747 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
2748 */
2749#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
2750#define DPLL_MD_UDI_DIVIDER_SHIFT 24
2751/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
2752#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
2753#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
2754/*
2755 * SDVO/UDI pixel multiplier.
2756 *
2757 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
2758 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
2759 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
2760 * dummy bytes in the datastream at an increased clock rate, with both sides of
2761 * the link knowing how many bytes are fill.
2762 *
2763 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
2764 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
2765 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
2766 * through an SDVO command.
2767 *
2768 * This register field has values of multiplication factor minus 1, with
2769 * a maximum multiplier of 5 for SDVO.
2770 */
2771#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
2772#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
2773/*
2774 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
2775 * This best be set to the default value (3) or the CRT won't work. No,
2776 * I don't entirely understand what this does...
2777 */
2778#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
2779#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
25eb05fc 2780
19ab4ed3
VS
2781#define RAWCLK_FREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6024)
2782
f0f59a00
VS
2783#define _FPA0 0x6040
2784#define _FPA1 0x6044
2785#define _FPB0 0x6048
2786#define _FPB1 0x604c
2787#define FP0(pipe) _MMIO_PIPE(pipe, _FPA0, _FPB0)
2788#define FP1(pipe) _MMIO_PIPE(pipe, _FPA1, _FPB1)
585fb111 2789#define FP_N_DIV_MASK 0x003f0000
f2b115e6 2790#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
2791#define FP_N_DIV_SHIFT 16
2792#define FP_M1_DIV_MASK 0x00003f00
2793#define FP_M1_DIV_SHIFT 8
2794#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 2795#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111 2796#define FP_M2_DIV_SHIFT 0
f0f59a00 2797#define DPLL_TEST _MMIO(0x606c)
585fb111
JB
2798#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
2799#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
2800#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
2801#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
2802#define DPLLB_TEST_N_BYPASS (1 << 19)
2803#define DPLLB_TEST_M_BYPASS (1 << 18)
2804#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
2805#define DPLLA_TEST_N_BYPASS (1 << 3)
2806#define DPLLA_TEST_M_BYPASS (1 << 2)
2807#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
f0f59a00 2808#define D_STATE _MMIO(0x6104)
dc96e9b8 2809#define DSTATE_GFX_RESET_I830 (1<<6)
652c393a
JB
2810#define DSTATE_PLL_D3_OFF (1<<3)
2811#define DSTATE_GFX_CLOCK_GATING (1<<1)
2812#define DSTATE_DOT_CLOCK_GATING (1<<0)
f0f59a00 2813#define DSPCLK_GATE_D _MMIO(dev_priv->info.display_mmio_offset + 0x6200)
652c393a
JB
2814# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
2815# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
2816# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
2817# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
2818# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
2819# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
2820# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
2821# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
2822# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
2823# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
2824# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
2825# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
2826# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
2827# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
2828# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
2829# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
2830# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
2831# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
2832# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
2833# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
2834# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
2835# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
2836# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
2837# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
2838# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
2839# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
2840# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
2841# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
646b4269 2842/*
652c393a
JB
2843 * This bit must be set on the 830 to prevent hangs when turning off the
2844 * overlay scaler.
2845 */
2846# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
2847# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
2848# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
2849# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
2850# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
2851
f0f59a00 2852#define RENCLK_GATE_D1 _MMIO(0x6204)
652c393a
JB
2853# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
2854# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
2855# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
2856# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
2857# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
2858# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
2859# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
2860# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
2861# define MAG_CLOCK_GATE_DISABLE (1 << 5)
646b4269 2862/* This bit must be unset on 855,865 */
652c393a
JB
2863# define MECI_CLOCK_GATE_DISABLE (1 << 4)
2864# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
2865# define MEC_CLOCK_GATE_DISABLE (1 << 2)
2866# define MECO_CLOCK_GATE_DISABLE (1 << 1)
646b4269 2867/* This bit must be set on 855,865. */
652c393a
JB
2868# define SV_CLOCK_GATE_DISABLE (1 << 0)
2869# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
2870# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
2871# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
2872# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
2873# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
2874# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
2875# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
2876# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
2877# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
2878# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
2879# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
2880# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
2881# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
2882# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
2883# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
2884# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
2885# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
2886
2887# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
646b4269 2888/* This bit must always be set on 965G/965GM */
652c393a
JB
2889# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
2890# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
2891# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
2892# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
2893# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
2894# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
646b4269 2895/* This bit must always be set on 965G */
652c393a
JB
2896# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
2897# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
2898# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
2899# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
2900# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
2901# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
2902# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
2903# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
2904# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
2905# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
2906# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
2907# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
2908# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
2909# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
2910# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
2911# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
2912# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
2913# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
2914# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
2915
f0f59a00 2916#define RENCLK_GATE_D2 _MMIO(0x6208)
652c393a
JB
2917#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
2918#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
2919#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
fa4f53c4 2920
f0f59a00 2921#define VDECCLK_GATE_D _MMIO(0x620C) /* g4x only */
fa4f53c4
VS
2922#define VCP_UNIT_CLOCK_GATE_DISABLE (1 << 4)
2923
f0f59a00
VS
2924#define RAMCLK_GATE_D _MMIO(0x6210) /* CRL only */
2925#define DEUC _MMIO(0x6214) /* CRL only */
585fb111 2926
f0f59a00 2927#define FW_BLC_SELF_VLV _MMIO(VLV_DISPLAY_BASE + 0x6500)
ceb04246
JB
2928#define FW_CSPWRDWNEN (1<<15)
2929
f0f59a00 2930#define MI_ARB_VLV _MMIO(VLV_DISPLAY_BASE + 0x6504)
e0d8d59b 2931
f0f59a00 2932#define CZCLK_CDCLK_FREQ_RATIO _MMIO(VLV_DISPLAY_BASE + 0x6508)
24eb2d59
CML
2933#define CDCLK_FREQ_SHIFT 4
2934#define CDCLK_FREQ_MASK (0x1f << CDCLK_FREQ_SHIFT)
2935#define CZCLK_FREQ_MASK 0xf
1e69cd74 2936
f0f59a00 2937#define GCI_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x650C)
1e69cd74
VS
2938#define PFI_CREDIT_63 (9 << 28) /* chv only */
2939#define PFI_CREDIT_31 (8 << 28) /* chv only */
2940#define PFI_CREDIT(x) (((x) - 8) << 28) /* 8-15 */
2941#define PFI_CREDIT_RESEND (1 << 27)
2942#define VGA_FAST_MODE_DISABLE (1 << 14)
2943
f0f59a00 2944#define GMBUSFREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6510)
24eb2d59 2945
585fb111
JB
2946/*
2947 * Palette regs
2948 */
a57c774a
AK
2949#define PALETTE_A_OFFSET 0xa000
2950#define PALETTE_B_OFFSET 0xa800
84fd4f4e 2951#define CHV_PALETTE_C_OFFSET 0xc000
f0f59a00
VS
2952#define PALETTE(pipe, i) _MMIO(dev_priv->info.palette_offsets[pipe] + \
2953 dev_priv->info.display_mmio_offset + (i) * 4)
585fb111 2954
673a394b
EA
2955/* MCH MMIO space */
2956
2957/*
2958 * MCHBAR mirror.
2959 *
2960 * This mirrors the MCHBAR MMIO space whose location is determined by
2961 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
2962 * every way. It is not accessible from the CP register read instructions.
2963 *
515b2392
PZ
2964 * Starting from Haswell, you can't write registers using the MCHBAR mirror,
2965 * just read.
673a394b
EA
2966 */
2967#define MCHBAR_MIRROR_BASE 0x10000
2968
1398261a
YL
2969#define MCHBAR_MIRROR_BASE_SNB 0x140000
2970
f0f59a00
VS
2971#define CTG_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x34)
2972#define ELK_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x48)
7d316aec
VS
2973#define G4X_STOLEN_RESERVED_ADDR1_MASK (0xFFFF << 16)
2974#define G4X_STOLEN_RESERVED_ADDR2_MASK (0xFFF << 4)
2975
3ebecd07 2976/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
f0f59a00 2977#define DCLK _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5e04)
3ebecd07 2978
646b4269 2979/* 915-945 and GM965 MCH register controlling DRAM channel access */
f0f59a00 2980#define DCC _MMIO(MCHBAR_MIRROR_BASE + 0x200)
673a394b
EA
2981#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
2982#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
2983#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
2984#define DCC_ADDRESSING_MODE_MASK (3 << 0)
2985#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 2986#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
f0f59a00 2987#define DCC2 _MMIO(MCHBAR_MIRROR_BASE + 0x204)
656bfa3a 2988#define DCC2_MODIFIED_ENHANCED_DISABLE (1 << 20)
673a394b 2989
646b4269 2990/* Pineview MCH register contains DDR3 setting */
f0f59a00 2991#define CSHRDDR3CTL _MMIO(MCHBAR_MIRROR_BASE + 0x1a8)
95534263
LP
2992#define CSHRDDR3CTL_DDR3 (1 << 2)
2993
646b4269 2994/* 965 MCH register controlling DRAM channel configuration */
f0f59a00
VS
2995#define C0DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x206)
2996#define C1DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x606)
673a394b 2997
646b4269 2998/* snb MCH registers for reading the DRAM channel configuration */
f0f59a00
VS
2999#define MAD_DIMM_C0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5004)
3000#define MAD_DIMM_C1 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5008)
3001#define MAD_DIMM_C2 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
f691e2f4
DV
3002#define MAD_DIMM_ECC_MASK (0x3 << 24)
3003#define MAD_DIMM_ECC_OFF (0x0 << 24)
3004#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
3005#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
3006#define MAD_DIMM_ECC_ON (0x3 << 24)
3007#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
3008#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
3009#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
3010#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
3011#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
3012#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
3013#define MAD_DIMM_A_SELECT (0x1 << 16)
3014/* DIMM sizes are in multiples of 256mb. */
3015#define MAD_DIMM_B_SIZE_SHIFT 8
3016#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
3017#define MAD_DIMM_A_SIZE_SHIFT 0
3018#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
3019
646b4269 3020/* snb MCH registers for priority tuning */
f0f59a00 3021#define MCH_SSKPD _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1d7aaa0c
DV
3022#define MCH_SSKPD_WM0_MASK 0x3f
3023#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 3024
f0f59a00 3025#define MCH_SECP_NRG_STTS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x592c)
ec013e7f 3026
b11248df 3027/* Clocking configuration register */
f0f59a00 3028#define CLKCFG _MMIO(MCHBAR_MIRROR_BASE + 0xc00)
7662c8bd 3029#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
3030#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
3031#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
3032#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
3033#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
3034#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
7662c8bd 3035/* Note, below two are guess */
b11248df 3036#define CLKCFG_FSB_1600 (4 << 0) /* hrawclk 400 */
7662c8bd 3037#define CLKCFG_FSB_1600_ALT (0 << 0) /* hrawclk 400 */
b11248df 3038#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
3039#define CLKCFG_MEM_533 (1 << 4)
3040#define CLKCFG_MEM_667 (2 << 4)
3041#define CLKCFG_MEM_800 (3 << 4)
3042#define CLKCFG_MEM_MASK (7 << 4)
3043
f0f59a00
VS
3044#define HPLLVCO _MMIO(MCHBAR_MIRROR_BASE + 0xc38)
3045#define HPLLVCO_MOBILE _MMIO(MCHBAR_MIRROR_BASE + 0xc0f)
34edce2f 3046
f0f59a00 3047#define TSC1 _MMIO(0x11001)
ea056c14 3048#define TSE (1<<0)
f0f59a00
VS
3049#define TR1 _MMIO(0x11006)
3050#define TSFS _MMIO(0x11020)
7648fa99
JB
3051#define TSFS_SLOPE_MASK 0x0000ff00
3052#define TSFS_SLOPE_SHIFT 8
3053#define TSFS_INTR_MASK 0x000000ff
3054
f0f59a00
VS
3055#define CRSTANDVID _MMIO(0x11100)
3056#define PXVFREQ(fstart) _MMIO(0x11110 + (fstart) * 4) /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
f97108d1
JB
3057#define PXVFREQ_PX_MASK 0x7f000000
3058#define PXVFREQ_PX_SHIFT 24
f0f59a00
VS
3059#define VIDFREQ_BASE _MMIO(0x11110)
3060#define VIDFREQ1 _MMIO(0x11110) /* VIDFREQ1-4 (0x1111c) (Cantiga) */
3061#define VIDFREQ2 _MMIO(0x11114)
3062#define VIDFREQ3 _MMIO(0x11118)
3063#define VIDFREQ4 _MMIO(0x1111c)
f97108d1
JB
3064#define VIDFREQ_P0_MASK 0x1f000000
3065#define VIDFREQ_P0_SHIFT 24
3066#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
3067#define VIDFREQ_P0_CSCLK_SHIFT 20
3068#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
3069#define VIDFREQ_P0_CRCLK_SHIFT 16
3070#define VIDFREQ_P1_MASK 0x00001f00
3071#define VIDFREQ_P1_SHIFT 8
3072#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
3073#define VIDFREQ_P1_CSCLK_SHIFT 4
3074#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
f0f59a00
VS
3075#define INTTOEXT_BASE_ILK _MMIO(0x11300)
3076#define INTTOEXT_BASE _MMIO(0x11120) /* INTTOEXT1-8 (0x1113c) */
f97108d1
JB
3077#define INTTOEXT_MAP3_SHIFT 24
3078#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
3079#define INTTOEXT_MAP2_SHIFT 16
3080#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
3081#define INTTOEXT_MAP1_SHIFT 8
3082#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
3083#define INTTOEXT_MAP0_SHIFT 0
3084#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
f0f59a00 3085#define MEMSWCTL _MMIO(0x11170) /* Ironlake only */
f97108d1
JB
3086#define MEMCTL_CMD_MASK 0xe000
3087#define MEMCTL_CMD_SHIFT 13
3088#define MEMCTL_CMD_RCLK_OFF 0
3089#define MEMCTL_CMD_RCLK_ON 1
3090#define MEMCTL_CMD_CHFREQ 2
3091#define MEMCTL_CMD_CHVID 3
3092#define MEMCTL_CMD_VMMOFF 4
3093#define MEMCTL_CMD_VMMON 5
3094#define MEMCTL_CMD_STS (1<<12) /* write 1 triggers command, clears
3095 when command complete */
3096#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
3097#define MEMCTL_FREQ_SHIFT 8
3098#define MEMCTL_SFCAVM (1<<7)
3099#define MEMCTL_TGT_VID_MASK 0x007f
f0f59a00
VS
3100#define MEMIHYST _MMIO(0x1117c)
3101#define MEMINTREN _MMIO(0x11180) /* 16 bits */
f97108d1
JB
3102#define MEMINT_RSEXIT_EN (1<<8)
3103#define MEMINT_CX_SUPR_EN (1<<7)
3104#define MEMINT_CONT_BUSY_EN (1<<6)
3105#define MEMINT_AVG_BUSY_EN (1<<5)
3106#define MEMINT_EVAL_CHG_EN (1<<4)
3107#define MEMINT_MON_IDLE_EN (1<<3)
3108#define MEMINT_UP_EVAL_EN (1<<2)
3109#define MEMINT_DOWN_EVAL_EN (1<<1)
3110#define MEMINT_SW_CMD_EN (1<<0)
f0f59a00 3111#define MEMINTRSTR _MMIO(0x11182) /* 16 bits */
f97108d1
JB
3112#define MEM_RSEXIT_MASK 0xc000
3113#define MEM_RSEXIT_SHIFT 14
3114#define MEM_CONT_BUSY_MASK 0x3000
3115#define MEM_CONT_BUSY_SHIFT 12
3116#define MEM_AVG_BUSY_MASK 0x0c00
3117#define MEM_AVG_BUSY_SHIFT 10
3118#define MEM_EVAL_CHG_MASK 0x0300
3119#define MEM_EVAL_BUSY_SHIFT 8
3120#define MEM_MON_IDLE_MASK 0x00c0
3121#define MEM_MON_IDLE_SHIFT 6
3122#define MEM_UP_EVAL_MASK 0x0030
3123#define MEM_UP_EVAL_SHIFT 4
3124#define MEM_DOWN_EVAL_MASK 0x000c
3125#define MEM_DOWN_EVAL_SHIFT 2
3126#define MEM_SW_CMD_MASK 0x0003
3127#define MEM_INT_STEER_GFX 0
3128#define MEM_INT_STEER_CMR 1
3129#define MEM_INT_STEER_SMI 2
3130#define MEM_INT_STEER_SCI 3
f0f59a00 3131#define MEMINTRSTS _MMIO(0x11184)
f97108d1
JB
3132#define MEMINT_RSEXIT (1<<7)
3133#define MEMINT_CONT_BUSY (1<<6)
3134#define MEMINT_AVG_BUSY (1<<5)
3135#define MEMINT_EVAL_CHG (1<<4)
3136#define MEMINT_MON_IDLE (1<<3)
3137#define MEMINT_UP_EVAL (1<<2)
3138#define MEMINT_DOWN_EVAL (1<<1)
3139#define MEMINT_SW_CMD (1<<0)
f0f59a00 3140#define MEMMODECTL _MMIO(0x11190)
f97108d1
JB
3141#define MEMMODE_BOOST_EN (1<<31)
3142#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
3143#define MEMMODE_BOOST_FREQ_SHIFT 24
3144#define MEMMODE_IDLE_MODE_MASK 0x00030000
3145#define MEMMODE_IDLE_MODE_SHIFT 16
3146#define MEMMODE_IDLE_MODE_EVAL 0
3147#define MEMMODE_IDLE_MODE_CONT 1
3148#define MEMMODE_HWIDLE_EN (1<<15)
3149#define MEMMODE_SWMODE_EN (1<<14)
3150#define MEMMODE_RCLK_GATE (1<<13)
3151#define MEMMODE_HW_UPDATE (1<<12)
3152#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
3153#define MEMMODE_FSTART_SHIFT 8
3154#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
3155#define MEMMODE_FMAX_SHIFT 4
3156#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
f0f59a00
VS
3157#define RCBMAXAVG _MMIO(0x1119c)
3158#define MEMSWCTL2 _MMIO(0x1119e) /* Cantiga only */
f97108d1
JB
3159#define SWMEMCMD_RENDER_OFF (0 << 13)
3160#define SWMEMCMD_RENDER_ON (1 << 13)
3161#define SWMEMCMD_SWFREQ (2 << 13)
3162#define SWMEMCMD_TARVID (3 << 13)
3163#define SWMEMCMD_VRM_OFF (4 << 13)
3164#define SWMEMCMD_VRM_ON (5 << 13)
3165#define CMDSTS (1<<12)
3166#define SFCAVM (1<<11)
3167#define SWFREQ_MASK 0x0380 /* P0-7 */
3168#define SWFREQ_SHIFT 7
3169#define TARVID_MASK 0x001f
f0f59a00
VS
3170#define MEMSTAT_CTG _MMIO(0x111a0)
3171#define RCBMINAVG _MMIO(0x111a0)
3172#define RCUPEI _MMIO(0x111b0)
3173#define RCDNEI _MMIO(0x111b4)
3174#define RSTDBYCTL _MMIO(0x111b8)
88271da3
JB
3175#define RS1EN (1<<31)
3176#define RS2EN (1<<30)
3177#define RS3EN (1<<29)
3178#define D3RS3EN (1<<28) /* Display D3 imlies RS3 */
3179#define SWPROMORSX (1<<27) /* RSx promotion timers ignored */
3180#define RCWAKERW (1<<26) /* Resetwarn from PCH causes wakeup */
3181#define DPRSLPVREN (1<<25) /* Fast voltage ramp enable */
3182#define GFXTGHYST (1<<24) /* Hysteresis to allow trunk gating */
3183#define RCX_SW_EXIT (1<<23) /* Leave RSx and prevent re-entry */
3184#define RSX_STATUS_MASK (7<<20)
3185#define RSX_STATUS_ON (0<<20)
3186#define RSX_STATUS_RC1 (1<<20)
3187#define RSX_STATUS_RC1E (2<<20)
3188#define RSX_STATUS_RS1 (3<<20)
3189#define RSX_STATUS_RS2 (4<<20) /* aka rc6 */
3190#define RSX_STATUS_RSVD (5<<20) /* deep rc6 unsupported on ilk */
3191#define RSX_STATUS_RS3 (6<<20) /* rs3 unsupported on ilk */
3192#define RSX_STATUS_RSVD2 (7<<20)
3193#define UWRCRSXE (1<<19) /* wake counter limit prevents rsx */
3194#define RSCRP (1<<18) /* rs requests control on rs1/2 reqs */
3195#define JRSC (1<<17) /* rsx coupled to cpu c-state */
3196#define RS2INC0 (1<<16) /* allow rs2 in cpu c0 */
3197#define RS1CONTSAV_MASK (3<<14)
3198#define RS1CONTSAV_NO_RS1 (0<<14) /* rs1 doesn't save/restore context */
3199#define RS1CONTSAV_RSVD (1<<14)
3200#define RS1CONTSAV_SAVE_RS1 (2<<14) /* rs1 saves context */
3201#define RS1CONTSAV_FULL_RS1 (3<<14) /* rs1 saves and restores context */
3202#define NORMSLEXLAT_MASK (3<<12)
3203#define SLOW_RS123 (0<<12)
3204#define SLOW_RS23 (1<<12)
3205#define SLOW_RS3 (2<<12)
3206#define NORMAL_RS123 (3<<12)
3207#define RCMODE_TIMEOUT (1<<11) /* 0 is eval interval method */
3208#define IMPROMOEN (1<<10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
3209#define RCENTSYNC (1<<9) /* rs coupled to cpu c-state (3/6/7) */
3210#define STATELOCK (1<<7) /* locked to rs_cstate if 0 */
3211#define RS_CSTATE_MASK (3<<4)
3212#define RS_CSTATE_C367_RS1 (0<<4)
3213#define RS_CSTATE_C36_RS1_C7_RS2 (1<<4)
3214#define RS_CSTATE_RSVD (2<<4)
3215#define RS_CSTATE_C367_RS2 (3<<4)
3216#define REDSAVES (1<<3) /* no context save if was idle during rs0 */
3217#define REDRESTORES (1<<2) /* no restore if was idle during rs0 */
f0f59a00
VS
3218#define VIDCTL _MMIO(0x111c0)
3219#define VIDSTS _MMIO(0x111c8)
3220#define VIDSTART _MMIO(0x111cc) /* 8 bits */
3221#define MEMSTAT_ILK _MMIO(0x111f8)
f97108d1
JB
3222#define MEMSTAT_VID_MASK 0x7f00
3223#define MEMSTAT_VID_SHIFT 8
3224#define MEMSTAT_PSTATE_MASK 0x00f8
3225#define MEMSTAT_PSTATE_SHIFT 3
3226#define MEMSTAT_MON_ACTV (1<<2)
3227#define MEMSTAT_SRC_CTL_MASK 0x0003
3228#define MEMSTAT_SRC_CTL_CORE 0
3229#define MEMSTAT_SRC_CTL_TRB 1
3230#define MEMSTAT_SRC_CTL_THM 2
3231#define MEMSTAT_SRC_CTL_STDBY 3
f0f59a00
VS
3232#define RCPREVBSYTUPAVG _MMIO(0x113b8)
3233#define RCPREVBSYTDNAVG _MMIO(0x113bc)
3234#define PMMISC _MMIO(0x11214)
ea056c14 3235#define MCPPCE_EN (1<<0) /* enable PM_MSG from PCH->MPC */
f0f59a00
VS
3236#define SDEW _MMIO(0x1124c)
3237#define CSIEW0 _MMIO(0x11250)
3238#define CSIEW1 _MMIO(0x11254)
3239#define CSIEW2 _MMIO(0x11258)
3240#define PEW(i) _MMIO(0x1125c + (i) * 4) /* 5 registers */
3241#define DEW(i) _MMIO(0x11270 + (i) * 4) /* 3 registers */
3242#define MCHAFE _MMIO(0x112c0)
3243#define CSIEC _MMIO(0x112e0)
3244#define DMIEC _MMIO(0x112e4)
3245#define DDREC _MMIO(0x112e8)
3246#define PEG0EC _MMIO(0x112ec)
3247#define PEG1EC _MMIO(0x112f0)
3248#define GFXEC _MMIO(0x112f4)
3249#define RPPREVBSYTUPAVG _MMIO(0x113b8)
3250#define RPPREVBSYTDNAVG _MMIO(0x113bc)
3251#define ECR _MMIO(0x11600)
7648fa99
JB
3252#define ECR_GPFE (1<<31)
3253#define ECR_IMONE (1<<30)
3254#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
f0f59a00
VS
3255#define OGW0 _MMIO(0x11608)
3256#define OGW1 _MMIO(0x1160c)
3257#define EG0 _MMIO(0x11610)
3258#define EG1 _MMIO(0x11614)
3259#define EG2 _MMIO(0x11618)
3260#define EG3 _MMIO(0x1161c)
3261#define EG4 _MMIO(0x11620)
3262#define EG5 _MMIO(0x11624)
3263#define EG6 _MMIO(0x11628)
3264#define EG7 _MMIO(0x1162c)
3265#define PXW(i) _MMIO(0x11664 + (i) * 4) /* 4 registers */
3266#define PXWL(i) _MMIO(0x11680 + (i) * 8) /* 8 registers */
3267#define LCFUSE02 _MMIO(0x116c0)
7648fa99 3268#define LCFUSE_HIV_MASK 0x000000ff
f0f59a00
VS
3269#define CSIPLL0 _MMIO(0x12c10)
3270#define DDRMPLL1 _MMIO(0X12c20)
3271#define PEG_BAND_GAP_DATA _MMIO(0x14d68)
7d57382e 3272
f0f59a00 3273#define GEN6_GT_THREAD_STATUS_REG _MMIO(0x13805c)
c4de7b0f 3274#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
c4de7b0f 3275
f0f59a00
VS
3276#define GEN6_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5948)
3277#define BXT_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7070)
3278#define GEN6_RP_STATE_LIMITS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5994)
3279#define GEN6_RP_STATE_CAP _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5998)
3280#define BXT_RP_STATE_CAP _MMIO(0x138170)
3b8d8d91 3281
8a292d01
VS
3282/*
3283 * Make these a multiple of magic 25 to avoid SNB (eg. Dell XPS
3284 * 8300) freezing up around GPU hangs. Looks as if even
3285 * scheduling/timer interrupts start misbehaving if the RPS
3286 * EI/thresholds are "bad", leading to a very sluggish or even
3287 * frozen machine.
3288 */
3289#define INTERVAL_1_28_US(us) roundup(((us) * 100) >> 7, 25)
de43ae9d 3290#define INTERVAL_1_33_US(us) (((us) * 3) >> 2)
26148bd3 3291#define INTERVAL_0_833_US(us) (((us) * 6) / 5)
de43ae9d 3292#define GT_INTERVAL_FROM_US(dev_priv, us) (IS_GEN9(dev_priv) ? \
cc3f90f0 3293 (IS_GEN9_LP(dev_priv) ? \
26148bd3
AG
3294 INTERVAL_0_833_US(us) : \
3295 INTERVAL_1_33_US(us)) : \
de43ae9d
AG
3296 INTERVAL_1_28_US(us))
3297
52530cba
AG
3298#define INTERVAL_1_28_TO_US(interval) (((interval) << 7) / 100)
3299#define INTERVAL_1_33_TO_US(interval) (((interval) << 2) / 3)
3300#define INTERVAL_0_833_TO_US(interval) (((interval) * 5) / 6)
3301#define GT_PM_INTERVAL_TO_US(dev_priv, interval) (IS_GEN9(dev_priv) ? \
cc3f90f0 3302 (IS_GEN9_LP(dev_priv) ? \
52530cba
AG
3303 INTERVAL_0_833_TO_US(interval) : \
3304 INTERVAL_1_33_TO_US(interval)) : \
3305 INTERVAL_1_28_TO_US(interval))
3306
aa40d6bb
ZN
3307/*
3308 * Logical Context regs
3309 */
f0f59a00 3310#define CCID _MMIO(0x2180)
aa40d6bb 3311#define CCID_EN (1<<0)
e8016055
VS
3312/*
3313 * Notes on SNB/IVB/VLV context size:
3314 * - Power context is saved elsewhere (LLC or stolen)
3315 * - Ring/execlist context is saved on SNB, not on IVB
3316 * - Extended context size already includes render context size
3317 * - We always need to follow the extended context size.
3318 * SNB BSpec has comments indicating that we should use the
3319 * render context size instead if execlists are disabled, but
3320 * based on empirical testing that's just nonsense.
3321 * - Pipelined/VF state is saved on SNB/IVB respectively
3322 * - GT1 size just indicates how much of render context
3323 * doesn't need saving on GT1
3324 */
f0f59a00 3325#define CXT_SIZE _MMIO(0x21a0)
68d97538
VS
3326#define GEN6_CXT_POWER_SIZE(cxt_reg) (((cxt_reg) >> 24) & 0x3f)
3327#define GEN6_CXT_RING_SIZE(cxt_reg) (((cxt_reg) >> 18) & 0x3f)
3328#define GEN6_CXT_RENDER_SIZE(cxt_reg) (((cxt_reg) >> 12) & 0x3f)
3329#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) (((cxt_reg) >> 6) & 0x3f)
3330#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) (((cxt_reg) >> 0) & 0x3f)
e8016055 3331#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_RING_SIZE(cxt_reg) + \
fe1cc68f
BW
3332 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
3333 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
f0f59a00 3334#define GEN7_CXT_SIZE _MMIO(0x21a8)
68d97538
VS
3335#define GEN7_CXT_POWER_SIZE(ctx_reg) (((ctx_reg) >> 25) & 0x7f)
3336#define GEN7_CXT_RING_SIZE(ctx_reg) (((ctx_reg) >> 22) & 0x7)
3337#define GEN7_CXT_RENDER_SIZE(ctx_reg) (((ctx_reg) >> 16) & 0x3f)
3338#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) (((ctx_reg) >> 9) & 0x7f)
3339#define GEN7_CXT_GT1_SIZE(ctx_reg) (((ctx_reg) >> 6) & 0x7)
3340#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) (((ctx_reg) >> 0) & 0x3f)
e8016055 3341#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
4f91dd6f 3342 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
a0de80a0
BW
3343/* Haswell does have the CXT_SIZE register however it does not appear to be
3344 * valid. Now, docs explain in dwords what is in the context object. The full
3345 * size is 70720 bytes, however, the power context and execlist context will
3346 * never be saved (power context is stored elsewhere, and execlists don't work
4c436d55
AJ
3347 * on HSW) - so the final size, including the extra state required for the
3348 * Resource Streamer, is 66944 bytes, which rounds to 17 pages.
a0de80a0
BW
3349 */
3350#define HSW_CXT_TOTAL_SIZE (17 * PAGE_SIZE)
8897644a
BW
3351/* Same as Haswell, but 72064 bytes now. */
3352#define GEN8_CXT_TOTAL_SIZE (18 * PAGE_SIZE)
3353
c01fc532
ZW
3354enum {
3355 INTEL_ADVANCED_CONTEXT = 0,
3356 INTEL_LEGACY_32B_CONTEXT,
3357 INTEL_ADVANCED_AD_CONTEXT,
3358 INTEL_LEGACY_64B_CONTEXT
3359};
3360
3361#define GEN8_CTX_ADDRESSING_MODE_SHIFT 3
3362#define GEN8_CTX_ADDRESSING_MODE(dev_priv) (USES_FULL_48BIT_PPGTT(dev_priv) ?\
3363 INTEL_LEGACY_64B_CONTEXT : \
3364 INTEL_LEGACY_32B_CONTEXT)
3365
f0f59a00
VS
3366#define CHV_CLK_CTL1 _MMIO(0x101100)
3367#define VLV_CLK_CTL2 _MMIO(0x101104)
e454a05d
JB
3368#define CLK_CTL2_CZCOUNT_30NS_SHIFT 28
3369
585fb111
JB
3370/*
3371 * Overlay regs
3372 */
3373
f0f59a00
VS
3374#define OVADD _MMIO(0x30000)
3375#define DOVSTA _MMIO(0x30008)
585fb111 3376#define OC_BUF (0x3<<20)
f0f59a00
VS
3377#define OGAMC5 _MMIO(0x30010)
3378#define OGAMC4 _MMIO(0x30014)
3379#define OGAMC3 _MMIO(0x30018)
3380#define OGAMC2 _MMIO(0x3001c)
3381#define OGAMC1 _MMIO(0x30020)
3382#define OGAMC0 _MMIO(0x30024)
585fb111 3383
d965e7ac
ID
3384/*
3385 * GEN9 clock gating regs
3386 */
3387#define GEN9_CLKGATE_DIS_0 _MMIO(0x46530)
3388#define PWM2_GATING_DIS (1 << 14)
3389#define PWM1_GATING_DIS (1 << 13)
3390
585fb111
JB
3391/*
3392 * Display engine regs
3393 */
3394
8bf1e9f1 3395/* Pipe A CRC regs */
a57c774a 3396#define _PIPE_CRC_CTL_A 0x60050
8bf1e9f1 3397#define PIPE_CRC_ENABLE (1 << 31)
b4437a41 3398/* ivb+ source selection */
8bf1e9f1
SH
3399#define PIPE_CRC_SOURCE_PRIMARY_IVB (0 << 29)
3400#define PIPE_CRC_SOURCE_SPRITE_IVB (1 << 29)
3401#define PIPE_CRC_SOURCE_PF_IVB (2 << 29)
b4437a41 3402/* ilk+ source selection */
5a6b5c84
DV
3403#define PIPE_CRC_SOURCE_PRIMARY_ILK (0 << 28)
3404#define PIPE_CRC_SOURCE_SPRITE_ILK (1 << 28)
3405#define PIPE_CRC_SOURCE_PIPE_ILK (2 << 28)
3406/* embedded DP port on the north display block, reserved on ivb */
3407#define PIPE_CRC_SOURCE_PORT_A_ILK (4 << 28)
3408#define PIPE_CRC_SOURCE_FDI_ILK (5 << 28) /* reserved on ivb */
b4437a41
DV
3409/* vlv source selection */
3410#define PIPE_CRC_SOURCE_PIPE_VLV (0 << 27)
3411#define PIPE_CRC_SOURCE_HDMIB_VLV (1 << 27)
3412#define PIPE_CRC_SOURCE_HDMIC_VLV (2 << 27)
3413/* with DP port the pipe source is invalid */
3414#define PIPE_CRC_SOURCE_DP_D_VLV (3 << 27)
3415#define PIPE_CRC_SOURCE_DP_B_VLV (6 << 27)
3416#define PIPE_CRC_SOURCE_DP_C_VLV (7 << 27)
3417/* gen3+ source selection */
3418#define PIPE_CRC_SOURCE_PIPE_I9XX (0 << 28)
3419#define PIPE_CRC_SOURCE_SDVOB_I9XX (1 << 28)
3420#define PIPE_CRC_SOURCE_SDVOC_I9XX (2 << 28)
3421/* with DP/TV port the pipe source is invalid */
3422#define PIPE_CRC_SOURCE_DP_D_G4X (3 << 28)
3423#define PIPE_CRC_SOURCE_TV_PRE (4 << 28)
3424#define PIPE_CRC_SOURCE_TV_POST (5 << 28)
3425#define PIPE_CRC_SOURCE_DP_B_G4X (6 << 28)
3426#define PIPE_CRC_SOURCE_DP_C_G4X (7 << 28)
3427/* gen2 doesn't have source selection bits */
52f843f6 3428#define PIPE_CRC_INCLUDE_BORDER_I8XX (1 << 30)
b4437a41 3429
5a6b5c84
DV
3430#define _PIPE_CRC_RES_1_A_IVB 0x60064
3431#define _PIPE_CRC_RES_2_A_IVB 0x60068
3432#define _PIPE_CRC_RES_3_A_IVB 0x6006c
3433#define _PIPE_CRC_RES_4_A_IVB 0x60070
3434#define _PIPE_CRC_RES_5_A_IVB 0x60074
3435
a57c774a
AK
3436#define _PIPE_CRC_RES_RED_A 0x60060
3437#define _PIPE_CRC_RES_GREEN_A 0x60064
3438#define _PIPE_CRC_RES_BLUE_A 0x60068
3439#define _PIPE_CRC_RES_RES1_A_I915 0x6006c
3440#define _PIPE_CRC_RES_RES2_A_G4X 0x60080
8bf1e9f1
SH
3441
3442/* Pipe B CRC regs */
5a6b5c84
DV
3443#define _PIPE_CRC_RES_1_B_IVB 0x61064
3444#define _PIPE_CRC_RES_2_B_IVB 0x61068
3445#define _PIPE_CRC_RES_3_B_IVB 0x6106c
3446#define _PIPE_CRC_RES_4_B_IVB 0x61070
3447#define _PIPE_CRC_RES_5_B_IVB 0x61074
8bf1e9f1 3448
f0f59a00
VS
3449#define PIPE_CRC_CTL(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_CTL_A)
3450#define PIPE_CRC_RES_1_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_1_A_IVB)
3451#define PIPE_CRC_RES_2_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_2_A_IVB)
3452#define PIPE_CRC_RES_3_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_3_A_IVB)
3453#define PIPE_CRC_RES_4_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_4_A_IVB)
3454#define PIPE_CRC_RES_5_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_5_A_IVB)
3455
3456#define PIPE_CRC_RES_RED(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RED_A)
3457#define PIPE_CRC_RES_GREEN(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_GREEN_A)
3458#define PIPE_CRC_RES_BLUE(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_BLUE_A)
3459#define PIPE_CRC_RES_RES1_I915(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES1_A_I915)
3460#define PIPE_CRC_RES_RES2_G4X(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES2_A_G4X)
5a6b5c84 3461
585fb111 3462/* Pipe A timing regs */
a57c774a
AK
3463#define _HTOTAL_A 0x60000
3464#define _HBLANK_A 0x60004
3465#define _HSYNC_A 0x60008
3466#define _VTOTAL_A 0x6000c
3467#define _VBLANK_A 0x60010
3468#define _VSYNC_A 0x60014
3469#define _PIPEASRC 0x6001c
3470#define _BCLRPAT_A 0x60020
3471#define _VSYNCSHIFT_A 0x60028
ebb69c95 3472#define _PIPE_MULT_A 0x6002c
585fb111
JB
3473
3474/* Pipe B timing regs */
a57c774a
AK
3475#define _HTOTAL_B 0x61000
3476#define _HBLANK_B 0x61004
3477#define _HSYNC_B 0x61008
3478#define _VTOTAL_B 0x6100c
3479#define _VBLANK_B 0x61010
3480#define _VSYNC_B 0x61014
3481#define _PIPEBSRC 0x6101c
3482#define _BCLRPAT_B 0x61020
3483#define _VSYNCSHIFT_B 0x61028
ebb69c95 3484#define _PIPE_MULT_B 0x6102c
a57c774a
AK
3485
3486#define TRANSCODER_A_OFFSET 0x60000
3487#define TRANSCODER_B_OFFSET 0x61000
3488#define TRANSCODER_C_OFFSET 0x62000
84fd4f4e 3489#define CHV_TRANSCODER_C_OFFSET 0x63000
a57c774a
AK
3490#define TRANSCODER_EDP_OFFSET 0x6f000
3491
f0f59a00 3492#define _MMIO_TRANS2(pipe, reg) _MMIO(dev_priv->info.trans_offsets[(pipe)] - \
5c969aa7
DL
3493 dev_priv->info.trans_offsets[TRANSCODER_A] + (reg) + \
3494 dev_priv->info.display_mmio_offset)
a57c774a 3495
f0f59a00
VS
3496#define HTOTAL(trans) _MMIO_TRANS2(trans, _HTOTAL_A)
3497#define HBLANK(trans) _MMIO_TRANS2(trans, _HBLANK_A)
3498#define HSYNC(trans) _MMIO_TRANS2(trans, _HSYNC_A)
3499#define VTOTAL(trans) _MMIO_TRANS2(trans, _VTOTAL_A)
3500#define VBLANK(trans) _MMIO_TRANS2(trans, _VBLANK_A)
3501#define VSYNC(trans) _MMIO_TRANS2(trans, _VSYNC_A)
3502#define BCLRPAT(trans) _MMIO_TRANS2(trans, _BCLRPAT_A)
3503#define VSYNCSHIFT(trans) _MMIO_TRANS2(trans, _VSYNCSHIFT_A)
3504#define PIPESRC(trans) _MMIO_TRANS2(trans, _PIPEASRC)
3505#define PIPE_MULT(trans) _MMIO_TRANS2(trans, _PIPE_MULT_A)
5eddb70b 3506
c8f7df58
RV
3507/* VLV eDP PSR registers */
3508#define _PSRCTLA (VLV_DISPLAY_BASE + 0x60090)
3509#define _PSRCTLB (VLV_DISPLAY_BASE + 0x61090)
3510#define VLV_EDP_PSR_ENABLE (1<<0)
3511#define VLV_EDP_PSR_RESET (1<<1)
3512#define VLV_EDP_PSR_MODE_MASK (7<<2)
3513#define VLV_EDP_PSR_MODE_HW_TIMER (1<<3)
3514#define VLV_EDP_PSR_MODE_SW_TIMER (1<<2)
3515#define VLV_EDP_PSR_SINGLE_FRAME_UPDATE (1<<7)
3516#define VLV_EDP_PSR_ACTIVE_ENTRY (1<<8)
3517#define VLV_EDP_PSR_SRC_TRANSMITTER_STATE (1<<9)
3518#define VLV_EDP_PSR_DBL_FRAME (1<<10)
3519#define VLV_EDP_PSR_FRAME_COUNT_MASK (0xff<<16)
3520#define VLV_EDP_PSR_IDLE_FRAME_SHIFT 16
f0f59a00 3521#define VLV_PSRCTL(pipe) _MMIO_PIPE(pipe, _PSRCTLA, _PSRCTLB)
c8f7df58
RV
3522
3523#define _VSCSDPA (VLV_DISPLAY_BASE + 0x600a0)
3524#define _VSCSDPB (VLV_DISPLAY_BASE + 0x610a0)
3525#define VLV_EDP_PSR_SDP_FREQ_MASK (3<<30)
3526#define VLV_EDP_PSR_SDP_FREQ_ONCE (1<<31)
3527#define VLV_EDP_PSR_SDP_FREQ_EVFRAME (1<<30)
f0f59a00 3528#define VLV_VSCSDP(pipe) _MMIO_PIPE(pipe, _VSCSDPA, _VSCSDPB)
c8f7df58
RV
3529
3530#define _PSRSTATA (VLV_DISPLAY_BASE + 0x60094)
3531#define _PSRSTATB (VLV_DISPLAY_BASE + 0x61094)
3532#define VLV_EDP_PSR_LAST_STATE_MASK (7<<3)
3533#define VLV_EDP_PSR_CURR_STATE_MASK 7
3534#define VLV_EDP_PSR_DISABLED (0<<0)
3535#define VLV_EDP_PSR_INACTIVE (1<<0)
3536#define VLV_EDP_PSR_IN_TRANS_TO_ACTIVE (2<<0)
3537#define VLV_EDP_PSR_ACTIVE_NORFB_UP (3<<0)
3538#define VLV_EDP_PSR_ACTIVE_SF_UPDATE (4<<0)
3539#define VLV_EDP_PSR_EXIT (5<<0)
3540#define VLV_EDP_PSR_IN_TRANS (1<<7)
f0f59a00 3541#define VLV_PSRSTAT(pipe) _MMIO_PIPE(pipe, _PSRSTATA, _PSRSTATB)
c8f7df58 3542
ed8546ac 3543/* HSW+ eDP PSR registers */
443a389f
VS
3544#define HSW_EDP_PSR_BASE 0x64800
3545#define BDW_EDP_PSR_BASE 0x6f800
f0f59a00 3546#define EDP_PSR_CTL _MMIO(dev_priv->psr_mmio_base + 0)
2b28bb1b 3547#define EDP_PSR_ENABLE (1<<31)
82c56254 3548#define BDW_PSR_SINGLE_FRAME (1<<30)
2b28bb1b
RV
3549#define EDP_PSR_LINK_STANDBY (1<<27)
3550#define EDP_PSR_MIN_LINK_ENTRY_TIME_MASK (3<<25)
3551#define EDP_PSR_MIN_LINK_ENTRY_TIME_8_LINES (0<<25)
3552#define EDP_PSR_MIN_LINK_ENTRY_TIME_4_LINES (1<<25)
3553#define EDP_PSR_MIN_LINK_ENTRY_TIME_2_LINES (2<<25)
3554#define EDP_PSR_MIN_LINK_ENTRY_TIME_0_LINES (3<<25)
3555#define EDP_PSR_MAX_SLEEP_TIME_SHIFT 20
3556#define EDP_PSR_SKIP_AUX_EXIT (1<<12)
3557#define EDP_PSR_TP1_TP2_SEL (0<<11)
3558#define EDP_PSR_TP1_TP3_SEL (1<<11)
3559#define EDP_PSR_TP2_TP3_TIME_500us (0<<8)
3560#define EDP_PSR_TP2_TP3_TIME_100us (1<<8)
3561#define EDP_PSR_TP2_TP3_TIME_2500us (2<<8)
3562#define EDP_PSR_TP2_TP3_TIME_0us (3<<8)
3563#define EDP_PSR_TP1_TIME_500us (0<<4)
3564#define EDP_PSR_TP1_TIME_100us (1<<4)
3565#define EDP_PSR_TP1_TIME_2500us (2<<4)
3566#define EDP_PSR_TP1_TIME_0us (3<<4)
3567#define EDP_PSR_IDLE_FRAME_SHIFT 0
3568
f0f59a00
VS
3569#define EDP_PSR_AUX_CTL _MMIO(dev_priv->psr_mmio_base + 0x10)
3570#define EDP_PSR_AUX_DATA(i) _MMIO(dev_priv->psr_mmio_base + 0x14 + (i) * 4) /* 5 registers */
2b28bb1b 3571
f0f59a00 3572#define EDP_PSR_STATUS_CTL _MMIO(dev_priv->psr_mmio_base + 0x40)
2b28bb1b 3573#define EDP_PSR_STATUS_STATE_MASK (7<<29)
e91fd8c6
RV
3574#define EDP_PSR_STATUS_STATE_IDLE (0<<29)
3575#define EDP_PSR_STATUS_STATE_SRDONACK (1<<29)
3576#define EDP_PSR_STATUS_STATE_SRDENT (2<<29)
3577#define EDP_PSR_STATUS_STATE_BUFOFF (3<<29)
3578#define EDP_PSR_STATUS_STATE_BUFON (4<<29)
3579#define EDP_PSR_STATUS_STATE_AUXACK (5<<29)
3580#define EDP_PSR_STATUS_STATE_SRDOFFACK (6<<29)
3581#define EDP_PSR_STATUS_LINK_MASK (3<<26)
3582#define EDP_PSR_STATUS_LINK_FULL_OFF (0<<26)
3583#define EDP_PSR_STATUS_LINK_FULL_ON (1<<26)
3584#define EDP_PSR_STATUS_LINK_STANDBY (2<<26)
3585#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_SHIFT 20
3586#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_MASK 0x1f
3587#define EDP_PSR_STATUS_COUNT_SHIFT 16
3588#define EDP_PSR_STATUS_COUNT_MASK 0xf
3589#define EDP_PSR_STATUS_AUX_ERROR (1<<15)
3590#define EDP_PSR_STATUS_AUX_SENDING (1<<12)
3591#define EDP_PSR_STATUS_SENDING_IDLE (1<<9)
3592#define EDP_PSR_STATUS_SENDING_TP2_TP3 (1<<8)
3593#define EDP_PSR_STATUS_SENDING_TP1 (1<<4)
3594#define EDP_PSR_STATUS_IDLE_MASK 0xf
3595
f0f59a00 3596#define EDP_PSR_PERF_CNT _MMIO(dev_priv->psr_mmio_base + 0x44)
e91fd8c6 3597#define EDP_PSR_PERF_CNT_MASK 0xffffff
2b28bb1b 3598
f0f59a00 3599#define EDP_PSR_DEBUG_CTL _MMIO(dev_priv->psr_mmio_base + 0x60)
2b28bb1b
RV
3600#define EDP_PSR_DEBUG_MASK_LPSP (1<<27)
3601#define EDP_PSR_DEBUG_MASK_MEMUP (1<<26)
3602#define EDP_PSR_DEBUG_MASK_HPD (1<<25)
3603
f0f59a00 3604#define EDP_PSR2_CTL _MMIO(0x6f900)
474d1ec4
SJ
3605#define EDP_PSR2_ENABLE (1<<31)
3606#define EDP_SU_TRACK_ENABLE (1<<30)
3607#define EDP_MAX_SU_DISABLE_TIME(t) ((t)<<20)
3608#define EDP_MAX_SU_DISABLE_TIME_MASK (0x1f<<20)
3609#define EDP_PSR2_TP2_TIME_500 (0<<8)
3610#define EDP_PSR2_TP2_TIME_100 (1<<8)
3611#define EDP_PSR2_TP2_TIME_2500 (2<<8)
3612#define EDP_PSR2_TP2_TIME_50 (3<<8)
3613#define EDP_PSR2_TP2_TIME_MASK (3<<8)
3614#define EDP_PSR2_FRAME_BEFORE_SU_SHIFT 4
3615#define EDP_PSR2_FRAME_BEFORE_SU_MASK (0xf<<4)
3616#define EDP_PSR2_IDLE_MASK 0xf
3617
3fcb0ca1
NV
3618#define EDP_PSR2_STATUS_CTL _MMIO(0x6f940)
3619#define EDP_PSR2_STATUS_STATE_MASK (0xf<<28)
3620
585fb111 3621/* VGA port control */
f0f59a00
VS
3622#define ADPA _MMIO(0x61100)
3623#define PCH_ADPA _MMIO(0xe1100)
3624#define VLV_ADPA _MMIO(VLV_DISPLAY_BASE + 0x61100)
ebc0fd88 3625
585fb111
JB
3626#define ADPA_DAC_ENABLE (1<<31)
3627#define ADPA_DAC_DISABLE 0
3628#define ADPA_PIPE_SELECT_MASK (1<<30)
3629#define ADPA_PIPE_A_SELECT 0
3630#define ADPA_PIPE_B_SELECT (1<<30)
1519b995 3631#define ADPA_PIPE_SELECT(pipe) ((pipe) << 30)
ebc0fd88
DV
3632/* CPT uses bits 29:30 for pch transcoder select */
3633#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
3634#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0<<24)
3635#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3<<24)
3636#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3<<24)
3637#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2<<24)
3638#define ADPA_CRT_HOTPLUG_ENABLE (1<<23)
3639#define ADPA_CRT_HOTPLUG_PERIOD_64 (0<<22)
3640#define ADPA_CRT_HOTPLUG_PERIOD_128 (1<<22)
3641#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0<<21)
3642#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1<<21)
3643#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0<<20)
3644#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1<<20)
3645#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0<<18)
3646#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1<<18)
3647#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2<<18)
3648#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3<<18)
3649#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0<<17)
3650#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1<<17)
3651#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1<<16)
585fb111
JB
3652#define ADPA_USE_VGA_HVPOLARITY (1<<15)
3653#define ADPA_SETS_HVPOLARITY 0
60222c0c 3654#define ADPA_VSYNC_CNTL_DISABLE (1<<10)
585fb111 3655#define ADPA_VSYNC_CNTL_ENABLE 0
60222c0c 3656#define ADPA_HSYNC_CNTL_DISABLE (1<<11)
585fb111
JB
3657#define ADPA_HSYNC_CNTL_ENABLE 0
3658#define ADPA_VSYNC_ACTIVE_HIGH (1<<4)
3659#define ADPA_VSYNC_ACTIVE_LOW 0
3660#define ADPA_HSYNC_ACTIVE_HIGH (1<<3)
3661#define ADPA_HSYNC_ACTIVE_LOW 0
3662#define ADPA_DPMS_MASK (~(3<<10))
3663#define ADPA_DPMS_ON (0<<10)
3664#define ADPA_DPMS_SUSPEND (1<<10)
3665#define ADPA_DPMS_STANDBY (2<<10)
3666#define ADPA_DPMS_OFF (3<<10)
3667
939fe4d7 3668
585fb111 3669/* Hotplug control (945+ only) */
f0f59a00 3670#define PORT_HOTPLUG_EN _MMIO(dev_priv->info.display_mmio_offset + 0x61110)
26739f12
DV
3671#define PORTB_HOTPLUG_INT_EN (1 << 29)
3672#define PORTC_HOTPLUG_INT_EN (1 << 28)
3673#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
3674#define SDVOB_HOTPLUG_INT_EN (1 << 26)
3675#define SDVOC_HOTPLUG_INT_EN (1 << 25)
3676#define TV_HOTPLUG_INT_EN (1 << 18)
3677#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
3678#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
3679 PORTC_HOTPLUG_INT_EN | \
3680 PORTD_HOTPLUG_INT_EN | \
3681 SDVOC_HOTPLUG_INT_EN | \
3682 SDVOB_HOTPLUG_INT_EN | \
3683 CRT_HOTPLUG_INT_EN)
585fb111 3684#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
3685#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
3686/* must use period 64 on GM45 according to docs */
3687#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
3688#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
3689#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
3690#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
3691#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
3692#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
3693#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
3694#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
3695#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
3696#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
3697#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
3698#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 3699
f0f59a00 3700#define PORT_HOTPLUG_STAT _MMIO(dev_priv->info.display_mmio_offset + 0x61114)
0ce99f74 3701/*
0780cd36 3702 * HDMI/DP bits are g4x+
0ce99f74
DV
3703 *
3704 * WARNING: Bspec for hpd status bits on gen4 seems to be completely confused.
3705 * Please check the detailed lore in the commit message for for experimental
3706 * evidence.
3707 */
0780cd36
VS
3708/* Bspec says GM45 should match G4X/VLV/CHV, but reality disagrees */
3709#define PORTD_HOTPLUG_LIVE_STATUS_GM45 (1 << 29)
3710#define PORTC_HOTPLUG_LIVE_STATUS_GM45 (1 << 28)
3711#define PORTB_HOTPLUG_LIVE_STATUS_GM45 (1 << 27)
3712/* G4X/VLV/CHV DP/HDMI bits again match Bspec */
3713#define PORTD_HOTPLUG_LIVE_STATUS_G4X (1 << 27)
232a6ee9 3714#define PORTC_HOTPLUG_LIVE_STATUS_G4X (1 << 28)
0780cd36 3715#define PORTB_HOTPLUG_LIVE_STATUS_G4X (1 << 29)
26739f12 3716#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
a211b497
DV
3717#define PORTD_HOTPLUG_INT_LONG_PULSE (2 << 21)
3718#define PORTD_HOTPLUG_INT_SHORT_PULSE (1 << 21)
26739f12 3719#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
a211b497
DV
3720#define PORTC_HOTPLUG_INT_LONG_PULSE (2 << 19)
3721#define PORTC_HOTPLUG_INT_SHORT_PULSE (1 << 19)
26739f12 3722#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
a211b497
DV
3723#define PORTB_HOTPLUG_INT_LONG_PULSE (2 << 17)
3724#define PORTB_HOTPLUG_INT_SHORT_PLUSE (1 << 17)
084b612e 3725/* CRT/TV common between gen3+ */
585fb111
JB
3726#define CRT_HOTPLUG_INT_STATUS (1 << 11)
3727#define TV_HOTPLUG_INT_STATUS (1 << 10)
3728#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
3729#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
3730#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
3731#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
4aeebd74
DV
3732#define DP_AUX_CHANNEL_D_INT_STATUS_G4X (1 << 6)
3733#define DP_AUX_CHANNEL_C_INT_STATUS_G4X (1 << 5)
3734#define DP_AUX_CHANNEL_B_INT_STATUS_G4X (1 << 4)
bfbdb420
ID
3735#define DP_AUX_CHANNEL_MASK_INT_STATUS_G4X (7 << 4)
3736
084b612e
CW
3737/* SDVO is different across gen3/4 */
3738#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
3739#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
4f7fd709
DV
3740/*
3741 * Bspec seems to be seriously misleaded about the SDVO hpd bits on i965g/gm,
3742 * since reality corrobates that they're the same as on gen3. But keep these
3743 * bits here (and the comment!) to help any other lost wanderers back onto the
3744 * right tracks.
3745 */
084b612e
CW
3746#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
3747#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
3748#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
3749#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
3750#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
3751 SDVOB_HOTPLUG_INT_STATUS_G4X | \
3752 SDVOC_HOTPLUG_INT_STATUS_G4X | \
3753 PORTB_HOTPLUG_INT_STATUS | \
3754 PORTC_HOTPLUG_INT_STATUS | \
3755 PORTD_HOTPLUG_INT_STATUS)
e5868a31
EE
3756
3757#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
3758 SDVOB_HOTPLUG_INT_STATUS_I915 | \
3759 SDVOC_HOTPLUG_INT_STATUS_I915 | \
3760 PORTB_HOTPLUG_INT_STATUS | \
3761 PORTC_HOTPLUG_INT_STATUS | \
3762 PORTD_HOTPLUG_INT_STATUS)
585fb111 3763
c20cd312
PZ
3764/* SDVO and HDMI port control.
3765 * The same register may be used for SDVO or HDMI */
f0f59a00
VS
3766#define _GEN3_SDVOB 0x61140
3767#define _GEN3_SDVOC 0x61160
3768#define GEN3_SDVOB _MMIO(_GEN3_SDVOB)
3769#define GEN3_SDVOC _MMIO(_GEN3_SDVOC)
c20cd312
PZ
3770#define GEN4_HDMIB GEN3_SDVOB
3771#define GEN4_HDMIC GEN3_SDVOC
f0f59a00
VS
3772#define VLV_HDMIB _MMIO(VLV_DISPLAY_BASE + 0x61140)
3773#define VLV_HDMIC _MMIO(VLV_DISPLAY_BASE + 0x61160)
3774#define CHV_HDMID _MMIO(VLV_DISPLAY_BASE + 0x6116C)
3775#define PCH_SDVOB _MMIO(0xe1140)
c20cd312 3776#define PCH_HDMIB PCH_SDVOB
f0f59a00
VS
3777#define PCH_HDMIC _MMIO(0xe1150)
3778#define PCH_HDMID _MMIO(0xe1160)
c20cd312 3779
f0f59a00 3780#define PORT_DFT_I9XX _MMIO(0x61150)
84093603 3781#define DC_BALANCE_RESET (1 << 25)
f0f59a00 3782#define PORT_DFT2_G4X _MMIO(dev_priv->info.display_mmio_offset + 0x61154)
84093603 3783#define DC_BALANCE_RESET_VLV (1 << 31)
eb736679
VS
3784#define PIPE_SCRAMBLE_RESET_MASK ((1 << 14) | (0x3 << 0))
3785#define PIPE_C_SCRAMBLE_RESET (1 << 14) /* chv */
84093603
DV
3786#define PIPE_B_SCRAMBLE_RESET (1 << 1)
3787#define PIPE_A_SCRAMBLE_RESET (1 << 0)
3788
c20cd312
PZ
3789/* Gen 3 SDVO bits: */
3790#define SDVO_ENABLE (1 << 31)
dc0fa718
PZ
3791#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
3792#define SDVO_PIPE_SEL_MASK (1 << 30)
c20cd312
PZ
3793#define SDVO_PIPE_B_SELECT (1 << 30)
3794#define SDVO_STALL_SELECT (1 << 29)
3795#define SDVO_INTERRUPT_ENABLE (1 << 26)
646b4269 3796/*
585fb111 3797 * 915G/GM SDVO pixel multiplier.
585fb111 3798 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
3799 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
3800 */
c20cd312 3801#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 3802#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
3803#define SDVO_PHASE_SELECT_MASK (15 << 19)
3804#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
3805#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
3806#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
3807#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
3808#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
3809#define SDVO_DETECTED (1 << 2)
585fb111 3810/* Bits to be preserved when writing */
c20cd312
PZ
3811#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
3812 SDVO_INTERRUPT_ENABLE)
3813#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
3814
3815/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 3816#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
18442d08 3817#define SDVO_COLOR_FORMAT_MASK (7 << 26)
c20cd312
PZ
3818#define SDVO_ENCODING_SDVO (0 << 10)
3819#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
3820#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
3821#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 3822#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
3823#define SDVO_AUDIO_ENABLE (1 << 6)
3824/* VSYNC/HSYNC bits new with 965, default is to be set */
3825#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
3826#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
3827
3828/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 3829#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
3830#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
3831
3832/* Gen 6 (CPT) SDVO/HDMI bits: */
dc0fa718
PZ
3833#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
3834#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
c20cd312 3835
44f37d1f
CML
3836/* CHV SDVO/HDMI bits: */
3837#define SDVO_PIPE_SEL_CHV(pipe) ((pipe) << 24)
3838#define SDVO_PIPE_SEL_MASK_CHV (3 << 24)
3839
585fb111
JB
3840
3841/* DVO port control */
f0f59a00
VS
3842#define _DVOA 0x61120
3843#define DVOA _MMIO(_DVOA)
3844#define _DVOB 0x61140
3845#define DVOB _MMIO(_DVOB)
3846#define _DVOC 0x61160
3847#define DVOC _MMIO(_DVOC)
585fb111
JB
3848#define DVO_ENABLE (1 << 31)
3849#define DVO_PIPE_B_SELECT (1 << 30)
3850#define DVO_PIPE_STALL_UNUSED (0 << 28)
3851#define DVO_PIPE_STALL (1 << 28)
3852#define DVO_PIPE_STALL_TV (2 << 28)
3853#define DVO_PIPE_STALL_MASK (3 << 28)
3854#define DVO_USE_VGA_SYNC (1 << 15)
3855#define DVO_DATA_ORDER_I740 (0 << 14)
3856#define DVO_DATA_ORDER_FP (1 << 14)
3857#define DVO_VSYNC_DISABLE (1 << 11)
3858#define DVO_HSYNC_DISABLE (1 << 10)
3859#define DVO_VSYNC_TRISTATE (1 << 9)
3860#define DVO_HSYNC_TRISTATE (1 << 8)
3861#define DVO_BORDER_ENABLE (1 << 7)
3862#define DVO_DATA_ORDER_GBRG (1 << 6)
3863#define DVO_DATA_ORDER_RGGB (0 << 6)
3864#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
3865#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
3866#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
3867#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
3868#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
3869#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
3870#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
3871#define DVO_PRESERVE_MASK (0x7<<24)
f0f59a00
VS
3872#define DVOA_SRCDIM _MMIO(0x61124)
3873#define DVOB_SRCDIM _MMIO(0x61144)
3874#define DVOC_SRCDIM _MMIO(0x61164)
585fb111
JB
3875#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
3876#define DVO_SRCDIM_VERTICAL_SHIFT 0
3877
3878/* LVDS port control */
f0f59a00 3879#define LVDS _MMIO(0x61180)
585fb111
JB
3880/*
3881 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
3882 * the DPLL semantics change when the LVDS is assigned to that pipe.
3883 */
3884#define LVDS_PORT_EN (1 << 31)
3885/* Selects pipe B for LVDS data. Must be set on pre-965. */
3886#define LVDS_PIPEB_SELECT (1 << 30)
47a05eca 3887#define LVDS_PIPE_MASK (1 << 30)
1519b995 3888#define LVDS_PIPE(pipe) ((pipe) << 30)
898822ce
ZY
3889/* LVDS dithering flag on 965/g4x platform */
3890#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
3891/* LVDS sync polarity flags. Set to invert (i.e. negative) */
3892#define LVDS_VSYNC_POLARITY (1 << 21)
3893#define LVDS_HSYNC_POLARITY (1 << 20)
3894
a3e17eb8
ZY
3895/* Enable border for unscaled (or aspect-scaled) display */
3896#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
3897/*
3898 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
3899 * pixel.
3900 */
3901#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
3902#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
3903#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
3904/*
3905 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
3906 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
3907 * on.
3908 */
3909#define LVDS_A3_POWER_MASK (3 << 6)
3910#define LVDS_A3_POWER_DOWN (0 << 6)
3911#define LVDS_A3_POWER_UP (3 << 6)
3912/*
3913 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
3914 * is set.
3915 */
3916#define LVDS_CLKB_POWER_MASK (3 << 4)
3917#define LVDS_CLKB_POWER_DOWN (0 << 4)
3918#define LVDS_CLKB_POWER_UP (3 << 4)
3919/*
3920 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
3921 * setting for whether we are in dual-channel mode. The B3 pair will
3922 * additionally only be powered up when LVDS_A3_POWER_UP is set.
3923 */
3924#define LVDS_B0B3_POWER_MASK (3 << 2)
3925#define LVDS_B0B3_POWER_DOWN (0 << 2)
3926#define LVDS_B0B3_POWER_UP (3 << 2)
3927
3c17fe4b 3928/* Video Data Island Packet control */
f0f59a00 3929#define VIDEO_DIP_DATA _MMIO(0x61178)
fd0753cf 3930/* Read the description of VIDEO_DIP_DATA (before Haswell) or VIDEO_DIP_ECC
adf00b26
PZ
3931 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
3932 * of the infoframe structure specified by CEA-861. */
3933#define VIDEO_DIP_DATA_SIZE 32
2b28bb1b 3934#define VIDEO_DIP_VSC_DATA_SIZE 36
f0f59a00 3935#define VIDEO_DIP_CTL _MMIO(0x61170)
2da8af54 3936/* Pre HSW: */
3c17fe4b 3937#define VIDEO_DIP_ENABLE (1 << 31)
822cdc52 3938#define VIDEO_DIP_PORT(port) ((port) << 29)
3e6e6395 3939#define VIDEO_DIP_PORT_MASK (3 << 29)
0dd87d20 3940#define VIDEO_DIP_ENABLE_GCP (1 << 25)
3c17fe4b
DH
3941#define VIDEO_DIP_ENABLE_AVI (1 << 21)
3942#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
0dd87d20 3943#define VIDEO_DIP_ENABLE_GAMUT (4 << 21)
3c17fe4b
DH
3944#define VIDEO_DIP_ENABLE_SPD (8 << 21)
3945#define VIDEO_DIP_SELECT_AVI (0 << 19)
3946#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
3947#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 3948#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
3949#define VIDEO_DIP_FREQ_ONCE (0 << 16)
3950#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
3951#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 3952#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 3953/* HSW and later: */
0dd87d20
PZ
3954#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
3955#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 3956#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
3957#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
3958#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 3959#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 3960
585fb111 3961/* Panel power sequencing */
44cb734c
ID
3962#define PPS_BASE 0x61200
3963#define VLV_PPS_BASE (VLV_DISPLAY_BASE + PPS_BASE)
3964#define PCH_PPS_BASE 0xC7200
3965
3966#define _MMIO_PPS(pps_idx, reg) _MMIO(dev_priv->pps_mmio_base - \
3967 PPS_BASE + (reg) + \
3968 (pps_idx) * 0x100)
3969
3970#define _PP_STATUS 0x61200
3971#define PP_STATUS(pps_idx) _MMIO_PPS(pps_idx, _PP_STATUS)
3972#define PP_ON (1 << 31)
585fb111
JB
3973/*
3974 * Indicates that all dependencies of the panel are on:
3975 *
3976 * - PLL enabled
3977 * - pipe enabled
3978 * - LVDS/DVOB/DVOC on
3979 */
44cb734c
ID
3980#define PP_READY (1 << 30)
3981#define PP_SEQUENCE_NONE (0 << 28)
3982#define PP_SEQUENCE_POWER_UP (1 << 28)
3983#define PP_SEQUENCE_POWER_DOWN (2 << 28)
3984#define PP_SEQUENCE_MASK (3 << 28)
3985#define PP_SEQUENCE_SHIFT 28
3986#define PP_CYCLE_DELAY_ACTIVE (1 << 27)
3987#define PP_SEQUENCE_STATE_MASK 0x0000000f
99ea7127
KP
3988#define PP_SEQUENCE_STATE_OFF_IDLE (0x0 << 0)
3989#define PP_SEQUENCE_STATE_OFF_S0_1 (0x1 << 0)
3990#define PP_SEQUENCE_STATE_OFF_S0_2 (0x2 << 0)
3991#define PP_SEQUENCE_STATE_OFF_S0_3 (0x3 << 0)
3992#define PP_SEQUENCE_STATE_ON_IDLE (0x8 << 0)
3993#define PP_SEQUENCE_STATE_ON_S1_0 (0x9 << 0)
3994#define PP_SEQUENCE_STATE_ON_S1_2 (0xa << 0)
3995#define PP_SEQUENCE_STATE_ON_S1_3 (0xb << 0)
3996#define PP_SEQUENCE_STATE_RESET (0xf << 0)
44cb734c
ID
3997
3998#define _PP_CONTROL 0x61204
3999#define PP_CONTROL(pps_idx) _MMIO_PPS(pps_idx, _PP_CONTROL)
4000#define PANEL_UNLOCK_REGS (0xabcd << 16)
4001#define PANEL_UNLOCK_MASK (0xffff << 16)
4002#define BXT_POWER_CYCLE_DELAY_MASK 0x1f0
4003#define BXT_POWER_CYCLE_DELAY_SHIFT 4
4004#define EDP_FORCE_VDD (1 << 3)
4005#define EDP_BLC_ENABLE (1 << 2)
4006#define PANEL_POWER_RESET (1 << 1)
4007#define PANEL_POWER_OFF (0 << 0)
4008#define PANEL_POWER_ON (1 << 0)
44cb734c
ID
4009
4010#define _PP_ON_DELAYS 0x61208
4011#define PP_ON_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_ON_DELAYS)
ed6143b8 4012#define PANEL_PORT_SELECT_SHIFT 30
44cb734c
ID
4013#define PANEL_PORT_SELECT_MASK (3 << 30)
4014#define PANEL_PORT_SELECT_LVDS (0 << 30)
4015#define PANEL_PORT_SELECT_DPA (1 << 30)
4016#define PANEL_PORT_SELECT_DPC (2 << 30)
4017#define PANEL_PORT_SELECT_DPD (3 << 30)
4018#define PANEL_PORT_SELECT_VLV(port) ((port) << 30)
4019#define PANEL_POWER_UP_DELAY_MASK 0x1fff0000
4020#define PANEL_POWER_UP_DELAY_SHIFT 16
4021#define PANEL_LIGHT_ON_DELAY_MASK 0x1fff
4022#define PANEL_LIGHT_ON_DELAY_SHIFT 0
4023
4024#define _PP_OFF_DELAYS 0x6120C
4025#define PP_OFF_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_OFF_DELAYS)
4026#define PANEL_POWER_DOWN_DELAY_MASK 0x1fff0000
4027#define PANEL_POWER_DOWN_DELAY_SHIFT 16
4028#define PANEL_LIGHT_OFF_DELAY_MASK 0x1fff
4029#define PANEL_LIGHT_OFF_DELAY_SHIFT 0
4030
4031#define _PP_DIVISOR 0x61210
4032#define PP_DIVISOR(pps_idx) _MMIO_PPS(pps_idx, _PP_DIVISOR)
4033#define PP_REFERENCE_DIVIDER_MASK 0xffffff00
4034#define PP_REFERENCE_DIVIDER_SHIFT 8
4035#define PANEL_POWER_CYCLE_DELAY_MASK 0x1f
4036#define PANEL_POWER_CYCLE_DELAY_SHIFT 0
585fb111
JB
4037
4038/* Panel fitting */
f0f59a00 4039#define PFIT_CONTROL _MMIO(dev_priv->info.display_mmio_offset + 0x61230)
585fb111
JB
4040#define PFIT_ENABLE (1 << 31)
4041#define PFIT_PIPE_MASK (3 << 29)
4042#define PFIT_PIPE_SHIFT 29
4043#define VERT_INTERP_DISABLE (0 << 10)
4044#define VERT_INTERP_BILINEAR (1 << 10)
4045#define VERT_INTERP_MASK (3 << 10)
4046#define VERT_AUTO_SCALE (1 << 9)
4047#define HORIZ_INTERP_DISABLE (0 << 6)
4048#define HORIZ_INTERP_BILINEAR (1 << 6)
4049#define HORIZ_INTERP_MASK (3 << 6)
4050#define HORIZ_AUTO_SCALE (1 << 5)
4051#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
4052#define PFIT_FILTER_FUZZY (0 << 24)
4053#define PFIT_SCALING_AUTO (0 << 26)
4054#define PFIT_SCALING_PROGRAMMED (1 << 26)
4055#define PFIT_SCALING_PILLAR (2 << 26)
4056#define PFIT_SCALING_LETTER (3 << 26)
f0f59a00 4057#define PFIT_PGM_RATIOS _MMIO(dev_priv->info.display_mmio_offset + 0x61234)
3fbe18d6
ZY
4058/* Pre-965 */
4059#define PFIT_VERT_SCALE_SHIFT 20
4060#define PFIT_VERT_SCALE_MASK 0xfff00000
4061#define PFIT_HORIZ_SCALE_SHIFT 4
4062#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
4063/* 965+ */
4064#define PFIT_VERT_SCALE_SHIFT_965 16
4065#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
4066#define PFIT_HORIZ_SCALE_SHIFT_965 0
4067#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
4068
f0f59a00 4069#define PFIT_AUTO_RATIOS _MMIO(dev_priv->info.display_mmio_offset + 0x61238)
585fb111 4070
5c969aa7
DL
4071#define _VLV_BLC_PWM_CTL2_A (dev_priv->info.display_mmio_offset + 0x61250)
4072#define _VLV_BLC_PWM_CTL2_B (dev_priv->info.display_mmio_offset + 0x61350)
f0f59a00
VS
4073#define VLV_BLC_PWM_CTL2(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL2_A, \
4074 _VLV_BLC_PWM_CTL2_B)
07bf139b 4075
5c969aa7
DL
4076#define _VLV_BLC_PWM_CTL_A (dev_priv->info.display_mmio_offset + 0x61254)
4077#define _VLV_BLC_PWM_CTL_B (dev_priv->info.display_mmio_offset + 0x61354)
f0f59a00
VS
4078#define VLV_BLC_PWM_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL_A, \
4079 _VLV_BLC_PWM_CTL_B)
07bf139b 4080
5c969aa7
DL
4081#define _VLV_BLC_HIST_CTL_A (dev_priv->info.display_mmio_offset + 0x61260)
4082#define _VLV_BLC_HIST_CTL_B (dev_priv->info.display_mmio_offset + 0x61360)
f0f59a00
VS
4083#define VLV_BLC_HIST_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_HIST_CTL_A, \
4084 _VLV_BLC_HIST_CTL_B)
07bf139b 4085
585fb111 4086/* Backlight control */
f0f59a00 4087#define BLC_PWM_CTL2 _MMIO(dev_priv->info.display_mmio_offset + 0x61250) /* 965+ only */
7cf41601
DV
4088#define BLM_PWM_ENABLE (1 << 31)
4089#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
4090#define BLM_PIPE_SELECT (1 << 29)
4091#define BLM_PIPE_SELECT_IVB (3 << 29)
4092#define BLM_PIPE_A (0 << 29)
4093#define BLM_PIPE_B (1 << 29)
4094#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
4095#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
4096#define BLM_TRANSCODER_B BLM_PIPE_B
4097#define BLM_TRANSCODER_C BLM_PIPE_C
4098#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
4099#define BLM_PIPE(pipe) ((pipe) << 29)
4100#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
4101#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
4102#define BLM_PHASE_IN_ENABLE (1 << 25)
4103#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
4104#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
4105#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
4106#define BLM_PHASE_IN_COUNT_SHIFT (8)
4107#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
4108#define BLM_PHASE_IN_INCR_SHIFT (0)
4109#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
f0f59a00 4110#define BLC_PWM_CTL _MMIO(dev_priv->info.display_mmio_offset + 0x61254)
ba3820ad
TI
4111/*
4112 * This is the most significant 15 bits of the number of backlight cycles in a
4113 * complete cycle of the modulated backlight control.
4114 *
4115 * The actual value is this field multiplied by two.
4116 */
7cf41601
DV
4117#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
4118#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
4119#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
4120/*
4121 * This is the number of cycles out of the backlight modulation cycle for which
4122 * the backlight is on.
4123 *
4124 * This field must be no greater than the number of cycles in the complete
4125 * backlight modulation cycle.
4126 */
4127#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
4128#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
4129#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
4130#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 4131
f0f59a00 4132#define BLC_HIST_CTL _MMIO(dev_priv->info.display_mmio_offset + 0x61260)
2059ac3b 4133#define BLM_HISTOGRAM_ENABLE (1 << 31)
0eb96d6e 4134
7cf41601
DV
4135/* New registers for PCH-split platforms. Safe where new bits show up, the
4136 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
f0f59a00
VS
4137#define BLC_PWM_CPU_CTL2 _MMIO(0x48250)
4138#define BLC_PWM_CPU_CTL _MMIO(0x48254)
7cf41601 4139
f0f59a00 4140#define HSW_BLC_PWM2_CTL _MMIO(0x48350)
be256dc7 4141
7cf41601
DV
4142/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
4143 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
f0f59a00 4144#define BLC_PWM_PCH_CTL1 _MMIO(0xc8250)
4b4147c3 4145#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
4146#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
4147#define BLM_PCH_POLARITY (1 << 29)
f0f59a00 4148#define BLC_PWM_PCH_CTL2 _MMIO(0xc8254)
7cf41601 4149
f0f59a00 4150#define UTIL_PIN_CTL _MMIO(0x48400)
be256dc7
PZ
4151#define UTIL_PIN_ENABLE (1 << 31)
4152
022e4e52
SK
4153#define UTIL_PIN_PIPE(x) ((x) << 29)
4154#define UTIL_PIN_PIPE_MASK (3 << 29)
4155#define UTIL_PIN_MODE_PWM (1 << 24)
4156#define UTIL_PIN_MODE_MASK (0xf << 24)
4157#define UTIL_PIN_POLARITY (1 << 22)
4158
0fb890c0 4159/* BXT backlight register definition. */
022e4e52 4160#define _BXT_BLC_PWM_CTL1 0xC8250
0fb890c0
VK
4161#define BXT_BLC_PWM_ENABLE (1 << 31)
4162#define BXT_BLC_PWM_POLARITY (1 << 29)
022e4e52
SK
4163#define _BXT_BLC_PWM_FREQ1 0xC8254
4164#define _BXT_BLC_PWM_DUTY1 0xC8258
4165
4166#define _BXT_BLC_PWM_CTL2 0xC8350
4167#define _BXT_BLC_PWM_FREQ2 0xC8354
4168#define _BXT_BLC_PWM_DUTY2 0xC8358
4169
f0f59a00 4170#define BXT_BLC_PWM_CTL(controller) _MMIO_PIPE(controller, \
022e4e52 4171 _BXT_BLC_PWM_CTL1, _BXT_BLC_PWM_CTL2)
f0f59a00 4172#define BXT_BLC_PWM_FREQ(controller) _MMIO_PIPE(controller, \
022e4e52 4173 _BXT_BLC_PWM_FREQ1, _BXT_BLC_PWM_FREQ2)
f0f59a00 4174#define BXT_BLC_PWM_DUTY(controller) _MMIO_PIPE(controller, \
022e4e52 4175 _BXT_BLC_PWM_DUTY1, _BXT_BLC_PWM_DUTY2)
0fb890c0 4176
f0f59a00 4177#define PCH_GTC_CTL _MMIO(0xe7000)
be256dc7
PZ
4178#define PCH_GTC_ENABLE (1 << 31)
4179
585fb111 4180/* TV port control */
f0f59a00 4181#define TV_CTL _MMIO(0x68000)
646b4269 4182/* Enables the TV encoder */
585fb111 4183# define TV_ENC_ENABLE (1 << 31)
646b4269 4184/* Sources the TV encoder input from pipe B instead of A. */
585fb111 4185# define TV_ENC_PIPEB_SELECT (1 << 30)
646b4269 4186/* Outputs composite video (DAC A only) */
585fb111 4187# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
646b4269 4188/* Outputs SVideo video (DAC B/C) */
585fb111 4189# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
646b4269 4190/* Outputs Component video (DAC A/B/C) */
585fb111 4191# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
646b4269 4192/* Outputs Composite and SVideo (DAC A/B/C) */
585fb111
JB
4193# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
4194# define TV_TRILEVEL_SYNC (1 << 21)
646b4269 4195/* Enables slow sync generation (945GM only) */
585fb111 4196# define TV_SLOW_SYNC (1 << 20)
646b4269 4197/* Selects 4x oversampling for 480i and 576p */
585fb111 4198# define TV_OVERSAMPLE_4X (0 << 18)
646b4269 4199/* Selects 2x oversampling for 720p and 1080i */
585fb111 4200# define TV_OVERSAMPLE_2X (1 << 18)
646b4269 4201/* Selects no oversampling for 1080p */
585fb111 4202# define TV_OVERSAMPLE_NONE (2 << 18)
646b4269 4203/* Selects 8x oversampling */
585fb111 4204# define TV_OVERSAMPLE_8X (3 << 18)
646b4269 4205/* Selects progressive mode rather than interlaced */
585fb111 4206# define TV_PROGRESSIVE (1 << 17)
646b4269 4207/* Sets the colorburst to PAL mode. Required for non-M PAL modes. */
585fb111 4208# define TV_PAL_BURST (1 << 16)
646b4269 4209/* Field for setting delay of Y compared to C */
585fb111 4210# define TV_YC_SKEW_MASK (7 << 12)
646b4269 4211/* Enables a fix for 480p/576p standard definition modes on the 915GM only */
585fb111 4212# define TV_ENC_SDP_FIX (1 << 11)
646b4269 4213/*
585fb111
JB
4214 * Enables a fix for the 915GM only.
4215 *
4216 * Not sure what it does.
4217 */
4218# define TV_ENC_C0_FIX (1 << 10)
646b4269 4219/* Bits that must be preserved by software */
d2d9f232 4220# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111 4221# define TV_FUSE_STATE_MASK (3 << 4)
646b4269 4222/* Read-only state that reports all features enabled */
585fb111 4223# define TV_FUSE_STATE_ENABLED (0 << 4)
646b4269 4224/* Read-only state that reports that Macrovision is disabled in hardware*/
585fb111 4225# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
646b4269 4226/* Read-only state that reports that TV-out is disabled in hardware. */
585fb111 4227# define TV_FUSE_STATE_DISABLED (2 << 4)
646b4269 4228/* Normal operation */
585fb111 4229# define TV_TEST_MODE_NORMAL (0 << 0)
646b4269 4230/* Encoder test pattern 1 - combo pattern */
585fb111 4231# define TV_TEST_MODE_PATTERN_1 (1 << 0)
646b4269 4232/* Encoder test pattern 2 - full screen vertical 75% color bars */
585fb111 4233# define TV_TEST_MODE_PATTERN_2 (2 << 0)
646b4269 4234/* Encoder test pattern 3 - full screen horizontal 75% color bars */
585fb111 4235# define TV_TEST_MODE_PATTERN_3 (3 << 0)
646b4269 4236/* Encoder test pattern 4 - random noise */
585fb111 4237# define TV_TEST_MODE_PATTERN_4 (4 << 0)
646b4269 4238/* Encoder test pattern 5 - linear color ramps */
585fb111 4239# define TV_TEST_MODE_PATTERN_5 (5 << 0)
646b4269 4240/*
585fb111
JB
4241 * This test mode forces the DACs to 50% of full output.
4242 *
4243 * This is used for load detection in combination with TVDAC_SENSE_MASK
4244 */
4245# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
4246# define TV_TEST_MODE_MASK (7 << 0)
4247
f0f59a00 4248#define TV_DAC _MMIO(0x68004)
b8ed2a4f 4249# define TV_DAC_SAVE 0x00ffff00
646b4269 4250/*
585fb111
JB
4251 * Reports that DAC state change logic has reported change (RO).
4252 *
4253 * This gets cleared when TV_DAC_STATE_EN is cleared
4254*/
4255# define TVDAC_STATE_CHG (1 << 31)
4256# define TVDAC_SENSE_MASK (7 << 28)
646b4269 4257/* Reports that DAC A voltage is above the detect threshold */
585fb111 4258# define TVDAC_A_SENSE (1 << 30)
646b4269 4259/* Reports that DAC B voltage is above the detect threshold */
585fb111 4260# define TVDAC_B_SENSE (1 << 29)
646b4269 4261/* Reports that DAC C voltage is above the detect threshold */
585fb111 4262# define TVDAC_C_SENSE (1 << 28)
646b4269 4263/*
585fb111
JB
4264 * Enables DAC state detection logic, for load-based TV detection.
4265 *
4266 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
4267 * to off, for load detection to work.
4268 */
4269# define TVDAC_STATE_CHG_EN (1 << 27)
646b4269 4270/* Sets the DAC A sense value to high */
585fb111 4271# define TVDAC_A_SENSE_CTL (1 << 26)
646b4269 4272/* Sets the DAC B sense value to high */
585fb111 4273# define TVDAC_B_SENSE_CTL (1 << 25)
646b4269 4274/* Sets the DAC C sense value to high */
585fb111 4275# define TVDAC_C_SENSE_CTL (1 << 24)
646b4269 4276/* Overrides the ENC_ENABLE and DAC voltage levels */
585fb111 4277# define DAC_CTL_OVERRIDE (1 << 7)
646b4269 4278/* Sets the slew rate. Must be preserved in software */
585fb111
JB
4279# define ENC_TVDAC_SLEW_FAST (1 << 6)
4280# define DAC_A_1_3_V (0 << 4)
4281# define DAC_A_1_1_V (1 << 4)
4282# define DAC_A_0_7_V (2 << 4)
cb66c692 4283# define DAC_A_MASK (3 << 4)
585fb111
JB
4284# define DAC_B_1_3_V (0 << 2)
4285# define DAC_B_1_1_V (1 << 2)
4286# define DAC_B_0_7_V (2 << 2)
cb66c692 4287# define DAC_B_MASK (3 << 2)
585fb111
JB
4288# define DAC_C_1_3_V (0 << 0)
4289# define DAC_C_1_1_V (1 << 0)
4290# define DAC_C_0_7_V (2 << 0)
cb66c692 4291# define DAC_C_MASK (3 << 0)
585fb111 4292
646b4269 4293/*
585fb111
JB
4294 * CSC coefficients are stored in a floating point format with 9 bits of
4295 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
4296 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
4297 * -1 (0x3) being the only legal negative value.
4298 */
f0f59a00 4299#define TV_CSC_Y _MMIO(0x68010)
585fb111
JB
4300# define TV_RY_MASK 0x07ff0000
4301# define TV_RY_SHIFT 16
4302# define TV_GY_MASK 0x00000fff
4303# define TV_GY_SHIFT 0
4304
f0f59a00 4305#define TV_CSC_Y2 _MMIO(0x68014)
585fb111
JB
4306# define TV_BY_MASK 0x07ff0000
4307# define TV_BY_SHIFT 16
646b4269 4308/*
585fb111
JB
4309 * Y attenuation for component video.
4310 *
4311 * Stored in 1.9 fixed point.
4312 */
4313# define TV_AY_MASK 0x000003ff
4314# define TV_AY_SHIFT 0
4315
f0f59a00 4316#define TV_CSC_U _MMIO(0x68018)
585fb111
JB
4317# define TV_RU_MASK 0x07ff0000
4318# define TV_RU_SHIFT 16
4319# define TV_GU_MASK 0x000007ff
4320# define TV_GU_SHIFT 0
4321
f0f59a00 4322#define TV_CSC_U2 _MMIO(0x6801c)
585fb111
JB
4323# define TV_BU_MASK 0x07ff0000
4324# define TV_BU_SHIFT 16
646b4269 4325/*
585fb111
JB
4326 * U attenuation for component video.
4327 *
4328 * Stored in 1.9 fixed point.
4329 */
4330# define TV_AU_MASK 0x000003ff
4331# define TV_AU_SHIFT 0
4332
f0f59a00 4333#define TV_CSC_V _MMIO(0x68020)
585fb111
JB
4334# define TV_RV_MASK 0x0fff0000
4335# define TV_RV_SHIFT 16
4336# define TV_GV_MASK 0x000007ff
4337# define TV_GV_SHIFT 0
4338
f0f59a00 4339#define TV_CSC_V2 _MMIO(0x68024)
585fb111
JB
4340# define TV_BV_MASK 0x07ff0000
4341# define TV_BV_SHIFT 16
646b4269 4342/*
585fb111
JB
4343 * V attenuation for component video.
4344 *
4345 * Stored in 1.9 fixed point.
4346 */
4347# define TV_AV_MASK 0x000007ff
4348# define TV_AV_SHIFT 0
4349
f0f59a00 4350#define TV_CLR_KNOBS _MMIO(0x68028)
646b4269 4351/* 2s-complement brightness adjustment */
585fb111
JB
4352# define TV_BRIGHTNESS_MASK 0xff000000
4353# define TV_BRIGHTNESS_SHIFT 24
646b4269 4354/* Contrast adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
4355# define TV_CONTRAST_MASK 0x00ff0000
4356# define TV_CONTRAST_SHIFT 16
646b4269 4357/* Saturation adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
4358# define TV_SATURATION_MASK 0x0000ff00
4359# define TV_SATURATION_SHIFT 8
646b4269 4360/* Hue adjustment, as an integer phase angle in degrees */
585fb111
JB
4361# define TV_HUE_MASK 0x000000ff
4362# define TV_HUE_SHIFT 0
4363
f0f59a00 4364#define TV_CLR_LEVEL _MMIO(0x6802c)
646b4269 4365/* Controls the DAC level for black */
585fb111
JB
4366# define TV_BLACK_LEVEL_MASK 0x01ff0000
4367# define TV_BLACK_LEVEL_SHIFT 16
646b4269 4368/* Controls the DAC level for blanking */
585fb111
JB
4369# define TV_BLANK_LEVEL_MASK 0x000001ff
4370# define TV_BLANK_LEVEL_SHIFT 0
4371
f0f59a00 4372#define TV_H_CTL_1 _MMIO(0x68030)
646b4269 4373/* Number of pixels in the hsync. */
585fb111
JB
4374# define TV_HSYNC_END_MASK 0x1fff0000
4375# define TV_HSYNC_END_SHIFT 16
646b4269 4376/* Total number of pixels minus one in the line (display and blanking). */
585fb111
JB
4377# define TV_HTOTAL_MASK 0x00001fff
4378# define TV_HTOTAL_SHIFT 0
4379
f0f59a00 4380#define TV_H_CTL_2 _MMIO(0x68034)
646b4269 4381/* Enables the colorburst (needed for non-component color) */
585fb111 4382# define TV_BURST_ENA (1 << 31)
646b4269 4383/* Offset of the colorburst from the start of hsync, in pixels minus one. */
585fb111
JB
4384# define TV_HBURST_START_SHIFT 16
4385# define TV_HBURST_START_MASK 0x1fff0000
646b4269 4386/* Length of the colorburst */
585fb111
JB
4387# define TV_HBURST_LEN_SHIFT 0
4388# define TV_HBURST_LEN_MASK 0x0001fff
4389
f0f59a00 4390#define TV_H_CTL_3 _MMIO(0x68038)
646b4269 4391/* End of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
4392# define TV_HBLANK_END_SHIFT 16
4393# define TV_HBLANK_END_MASK 0x1fff0000
646b4269 4394/* Start of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
4395# define TV_HBLANK_START_SHIFT 0
4396# define TV_HBLANK_START_MASK 0x0001fff
4397
f0f59a00 4398#define TV_V_CTL_1 _MMIO(0x6803c)
646b4269 4399/* XXX */
585fb111
JB
4400# define TV_NBR_END_SHIFT 16
4401# define TV_NBR_END_MASK 0x07ff0000
646b4269 4402/* XXX */
585fb111
JB
4403# define TV_VI_END_F1_SHIFT 8
4404# define TV_VI_END_F1_MASK 0x00003f00
646b4269 4405/* XXX */
585fb111
JB
4406# define TV_VI_END_F2_SHIFT 0
4407# define TV_VI_END_F2_MASK 0x0000003f
4408
f0f59a00 4409#define TV_V_CTL_2 _MMIO(0x68040)
646b4269 4410/* Length of vsync, in half lines */
585fb111
JB
4411# define TV_VSYNC_LEN_MASK 0x07ff0000
4412# define TV_VSYNC_LEN_SHIFT 16
646b4269 4413/* Offset of the start of vsync in field 1, measured in one less than the
585fb111
JB
4414 * number of half lines.
4415 */
4416# define TV_VSYNC_START_F1_MASK 0x00007f00
4417# define TV_VSYNC_START_F1_SHIFT 8
646b4269 4418/*
585fb111
JB
4419 * Offset of the start of vsync in field 2, measured in one less than the
4420 * number of half lines.
4421 */
4422# define TV_VSYNC_START_F2_MASK 0x0000007f
4423# define TV_VSYNC_START_F2_SHIFT 0
4424
f0f59a00 4425#define TV_V_CTL_3 _MMIO(0x68044)
646b4269 4426/* Enables generation of the equalization signal */
585fb111 4427# define TV_EQUAL_ENA (1 << 31)
646b4269 4428/* Length of vsync, in half lines */
585fb111
JB
4429# define TV_VEQ_LEN_MASK 0x007f0000
4430# define TV_VEQ_LEN_SHIFT 16
646b4269 4431/* Offset of the start of equalization in field 1, measured in one less than
585fb111
JB
4432 * the number of half lines.
4433 */
4434# define TV_VEQ_START_F1_MASK 0x0007f00
4435# define TV_VEQ_START_F1_SHIFT 8
646b4269 4436/*
585fb111
JB
4437 * Offset of the start of equalization in field 2, measured in one less than
4438 * the number of half lines.
4439 */
4440# define TV_VEQ_START_F2_MASK 0x000007f
4441# define TV_VEQ_START_F2_SHIFT 0
4442
f0f59a00 4443#define TV_V_CTL_4 _MMIO(0x68048)
646b4269 4444/*
585fb111
JB
4445 * Offset to start of vertical colorburst, measured in one less than the
4446 * number of lines from vertical start.
4447 */
4448# define TV_VBURST_START_F1_MASK 0x003f0000
4449# define TV_VBURST_START_F1_SHIFT 16
646b4269 4450/*
585fb111
JB
4451 * Offset to the end of vertical colorburst, measured in one less than the
4452 * number of lines from the start of NBR.
4453 */
4454# define TV_VBURST_END_F1_MASK 0x000000ff
4455# define TV_VBURST_END_F1_SHIFT 0
4456
f0f59a00 4457#define TV_V_CTL_5 _MMIO(0x6804c)
646b4269 4458/*
585fb111
JB
4459 * Offset to start of vertical colorburst, measured in one less than the
4460 * number of lines from vertical start.
4461 */
4462# define TV_VBURST_START_F2_MASK 0x003f0000
4463# define TV_VBURST_START_F2_SHIFT 16
646b4269 4464/*
585fb111
JB
4465 * Offset to the end of vertical colorburst, measured in one less than the
4466 * number of lines from the start of NBR.
4467 */
4468# define TV_VBURST_END_F2_MASK 0x000000ff
4469# define TV_VBURST_END_F2_SHIFT 0
4470
f0f59a00 4471#define TV_V_CTL_6 _MMIO(0x68050)
646b4269 4472/*
585fb111
JB
4473 * Offset to start of vertical colorburst, measured in one less than the
4474 * number of lines from vertical start.
4475 */
4476# define TV_VBURST_START_F3_MASK 0x003f0000
4477# define TV_VBURST_START_F3_SHIFT 16
646b4269 4478/*
585fb111
JB
4479 * Offset to the end of vertical colorburst, measured in one less than the
4480 * number of lines from the start of NBR.
4481 */
4482# define TV_VBURST_END_F3_MASK 0x000000ff
4483# define TV_VBURST_END_F3_SHIFT 0
4484
f0f59a00 4485#define TV_V_CTL_7 _MMIO(0x68054)
646b4269 4486/*
585fb111
JB
4487 * Offset to start of vertical colorburst, measured in one less than the
4488 * number of lines from vertical start.
4489 */
4490# define TV_VBURST_START_F4_MASK 0x003f0000
4491# define TV_VBURST_START_F4_SHIFT 16
646b4269 4492/*
585fb111
JB
4493 * Offset to the end of vertical colorburst, measured in one less than the
4494 * number of lines from the start of NBR.
4495 */
4496# define TV_VBURST_END_F4_MASK 0x000000ff
4497# define TV_VBURST_END_F4_SHIFT 0
4498
f0f59a00 4499#define TV_SC_CTL_1 _MMIO(0x68060)
646b4269 4500/* Turns on the first subcarrier phase generation DDA */
585fb111 4501# define TV_SC_DDA1_EN (1 << 31)
646b4269 4502/* Turns on the first subcarrier phase generation DDA */
585fb111 4503# define TV_SC_DDA2_EN (1 << 30)
646b4269 4504/* Turns on the first subcarrier phase generation DDA */
585fb111 4505# define TV_SC_DDA3_EN (1 << 29)
646b4269 4506/* Sets the subcarrier DDA to reset frequency every other field */
585fb111 4507# define TV_SC_RESET_EVERY_2 (0 << 24)
646b4269 4508/* Sets the subcarrier DDA to reset frequency every fourth field */
585fb111 4509# define TV_SC_RESET_EVERY_4 (1 << 24)
646b4269 4510/* Sets the subcarrier DDA to reset frequency every eighth field */
585fb111 4511# define TV_SC_RESET_EVERY_8 (2 << 24)
646b4269 4512/* Sets the subcarrier DDA to never reset the frequency */
585fb111 4513# define TV_SC_RESET_NEVER (3 << 24)
646b4269 4514/* Sets the peak amplitude of the colorburst.*/
585fb111
JB
4515# define TV_BURST_LEVEL_MASK 0x00ff0000
4516# define TV_BURST_LEVEL_SHIFT 16
646b4269 4517/* Sets the increment of the first subcarrier phase generation DDA */
585fb111
JB
4518# define TV_SCDDA1_INC_MASK 0x00000fff
4519# define TV_SCDDA1_INC_SHIFT 0
4520
f0f59a00 4521#define TV_SC_CTL_2 _MMIO(0x68064)
646b4269 4522/* Sets the rollover for the second subcarrier phase generation DDA */
585fb111
JB
4523# define TV_SCDDA2_SIZE_MASK 0x7fff0000
4524# define TV_SCDDA2_SIZE_SHIFT 16
646b4269 4525/* Sets the increent of the second subcarrier phase generation DDA */
585fb111
JB
4526# define TV_SCDDA2_INC_MASK 0x00007fff
4527# define TV_SCDDA2_INC_SHIFT 0
4528
f0f59a00 4529#define TV_SC_CTL_3 _MMIO(0x68068)
646b4269 4530/* Sets the rollover for the third subcarrier phase generation DDA */
585fb111
JB
4531# define TV_SCDDA3_SIZE_MASK 0x7fff0000
4532# define TV_SCDDA3_SIZE_SHIFT 16
646b4269 4533/* Sets the increent of the third subcarrier phase generation DDA */
585fb111
JB
4534# define TV_SCDDA3_INC_MASK 0x00007fff
4535# define TV_SCDDA3_INC_SHIFT 0
4536
f0f59a00 4537#define TV_WIN_POS _MMIO(0x68070)
646b4269 4538/* X coordinate of the display from the start of horizontal active */
585fb111
JB
4539# define TV_XPOS_MASK 0x1fff0000
4540# define TV_XPOS_SHIFT 16
646b4269 4541/* Y coordinate of the display from the start of vertical active (NBR) */
585fb111
JB
4542# define TV_YPOS_MASK 0x00000fff
4543# define TV_YPOS_SHIFT 0
4544
f0f59a00 4545#define TV_WIN_SIZE _MMIO(0x68074)
646b4269 4546/* Horizontal size of the display window, measured in pixels*/
585fb111
JB
4547# define TV_XSIZE_MASK 0x1fff0000
4548# define TV_XSIZE_SHIFT 16
646b4269 4549/*
585fb111
JB
4550 * Vertical size of the display window, measured in pixels.
4551 *
4552 * Must be even for interlaced modes.
4553 */
4554# define TV_YSIZE_MASK 0x00000fff
4555# define TV_YSIZE_SHIFT 0
4556
f0f59a00 4557#define TV_FILTER_CTL_1 _MMIO(0x68080)
646b4269 4558/*
585fb111
JB
4559 * Enables automatic scaling calculation.
4560 *
4561 * If set, the rest of the registers are ignored, and the calculated values can
4562 * be read back from the register.
4563 */
4564# define TV_AUTO_SCALE (1 << 31)
646b4269 4565/*
585fb111
JB
4566 * Disables the vertical filter.
4567 *
4568 * This is required on modes more than 1024 pixels wide */
4569# define TV_V_FILTER_BYPASS (1 << 29)
646b4269 4570/* Enables adaptive vertical filtering */
585fb111
JB
4571# define TV_VADAPT (1 << 28)
4572# define TV_VADAPT_MODE_MASK (3 << 26)
646b4269 4573/* Selects the least adaptive vertical filtering mode */
585fb111 4574# define TV_VADAPT_MODE_LEAST (0 << 26)
646b4269 4575/* Selects the moderately adaptive vertical filtering mode */
585fb111 4576# define TV_VADAPT_MODE_MODERATE (1 << 26)
646b4269 4577/* Selects the most adaptive vertical filtering mode */
585fb111 4578# define TV_VADAPT_MODE_MOST (3 << 26)
646b4269 4579/*
585fb111
JB
4580 * Sets the horizontal scaling factor.
4581 *
4582 * This should be the fractional part of the horizontal scaling factor divided
4583 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
4584 *
4585 * (src width - 1) / ((oversample * dest width) - 1)
4586 */
4587# define TV_HSCALE_FRAC_MASK 0x00003fff
4588# define TV_HSCALE_FRAC_SHIFT 0
4589
f0f59a00 4590#define TV_FILTER_CTL_2 _MMIO(0x68084)
646b4269 4591/*
585fb111
JB
4592 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
4593 *
4594 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
4595 */
4596# define TV_VSCALE_INT_MASK 0x00038000
4597# define TV_VSCALE_INT_SHIFT 15
646b4269 4598/*
585fb111
JB
4599 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
4600 *
4601 * \sa TV_VSCALE_INT_MASK
4602 */
4603# define TV_VSCALE_FRAC_MASK 0x00007fff
4604# define TV_VSCALE_FRAC_SHIFT 0
4605
f0f59a00 4606#define TV_FILTER_CTL_3 _MMIO(0x68088)
646b4269 4607/*
585fb111
JB
4608 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
4609 *
4610 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
4611 *
4612 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
4613 */
4614# define TV_VSCALE_IP_INT_MASK 0x00038000
4615# define TV_VSCALE_IP_INT_SHIFT 15
646b4269 4616/*
585fb111
JB
4617 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
4618 *
4619 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
4620 *
4621 * \sa TV_VSCALE_IP_INT_MASK
4622 */
4623# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
4624# define TV_VSCALE_IP_FRAC_SHIFT 0
4625
f0f59a00 4626#define TV_CC_CONTROL _MMIO(0x68090)
585fb111 4627# define TV_CC_ENABLE (1 << 31)
646b4269 4628/*
585fb111
JB
4629 * Specifies which field to send the CC data in.
4630 *
4631 * CC data is usually sent in field 0.
4632 */
4633# define TV_CC_FID_MASK (1 << 27)
4634# define TV_CC_FID_SHIFT 27
646b4269 4635/* Sets the horizontal position of the CC data. Usually 135. */
585fb111
JB
4636# define TV_CC_HOFF_MASK 0x03ff0000
4637# define TV_CC_HOFF_SHIFT 16
646b4269 4638/* Sets the vertical position of the CC data. Usually 21 */
585fb111
JB
4639# define TV_CC_LINE_MASK 0x0000003f
4640# define TV_CC_LINE_SHIFT 0
4641
f0f59a00 4642#define TV_CC_DATA _MMIO(0x68094)
585fb111 4643# define TV_CC_RDY (1 << 31)
646b4269 4644/* Second word of CC data to be transmitted. */
585fb111
JB
4645# define TV_CC_DATA_2_MASK 0x007f0000
4646# define TV_CC_DATA_2_SHIFT 16
646b4269 4647/* First word of CC data to be transmitted. */
585fb111
JB
4648# define TV_CC_DATA_1_MASK 0x0000007f
4649# define TV_CC_DATA_1_SHIFT 0
4650
f0f59a00
VS
4651#define TV_H_LUMA(i) _MMIO(0x68100 + (i) * 4) /* 60 registers */
4652#define TV_H_CHROMA(i) _MMIO(0x68200 + (i) * 4) /* 60 registers */
4653#define TV_V_LUMA(i) _MMIO(0x68300 + (i) * 4) /* 43 registers */
4654#define TV_V_CHROMA(i) _MMIO(0x68400 + (i) * 4) /* 43 registers */
585fb111 4655
040d87f1 4656/* Display Port */
f0f59a00
VS
4657#define DP_A _MMIO(0x64000) /* eDP */
4658#define DP_B _MMIO(0x64100)
4659#define DP_C _MMIO(0x64200)
4660#define DP_D _MMIO(0x64300)
040d87f1 4661
f0f59a00
VS
4662#define VLV_DP_B _MMIO(VLV_DISPLAY_BASE + 0x64100)
4663#define VLV_DP_C _MMIO(VLV_DISPLAY_BASE + 0x64200)
4664#define CHV_DP_D _MMIO(VLV_DISPLAY_BASE + 0x64300)
e66eb81d 4665
040d87f1
KP
4666#define DP_PORT_EN (1 << 31)
4667#define DP_PIPEB_SELECT (1 << 30)
47a05eca 4668#define DP_PIPE_MASK (1 << 30)
44f37d1f
CML
4669#define DP_PIPE_SELECT_CHV(pipe) ((pipe) << 16)
4670#define DP_PIPE_MASK_CHV (3 << 16)
47a05eca 4671
040d87f1
KP
4672/* Link training mode - select a suitable mode for each stage */
4673#define DP_LINK_TRAIN_PAT_1 (0 << 28)
4674#define DP_LINK_TRAIN_PAT_2 (1 << 28)
4675#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
4676#define DP_LINK_TRAIN_OFF (3 << 28)
4677#define DP_LINK_TRAIN_MASK (3 << 28)
4678#define DP_LINK_TRAIN_SHIFT 28
aad3d14d
VS
4679#define DP_LINK_TRAIN_PAT_3_CHV (1 << 14)
4680#define DP_LINK_TRAIN_MASK_CHV ((3 << 28)|(1<<14))
040d87f1 4681
8db9d77b
ZW
4682/* CPT Link training mode */
4683#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
4684#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
4685#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
4686#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
4687#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
4688#define DP_LINK_TRAIN_SHIFT_CPT 8
4689
040d87f1
KP
4690/* Signal voltages. These are mostly controlled by the other end */
4691#define DP_VOLTAGE_0_4 (0 << 25)
4692#define DP_VOLTAGE_0_6 (1 << 25)
4693#define DP_VOLTAGE_0_8 (2 << 25)
4694#define DP_VOLTAGE_1_2 (3 << 25)
4695#define DP_VOLTAGE_MASK (7 << 25)
4696#define DP_VOLTAGE_SHIFT 25
4697
4698/* Signal pre-emphasis levels, like voltages, the other end tells us what
4699 * they want
4700 */
4701#define DP_PRE_EMPHASIS_0 (0 << 22)
4702#define DP_PRE_EMPHASIS_3_5 (1 << 22)
4703#define DP_PRE_EMPHASIS_6 (2 << 22)
4704#define DP_PRE_EMPHASIS_9_5 (3 << 22)
4705#define DP_PRE_EMPHASIS_MASK (7 << 22)
4706#define DP_PRE_EMPHASIS_SHIFT 22
4707
4708/* How many wires to use. I guess 3 was too hard */
17aa6be9 4709#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1 4710#define DP_PORT_WIDTH_MASK (7 << 19)
90a6b7b0 4711#define DP_PORT_WIDTH_SHIFT 19
040d87f1
KP
4712
4713/* Mystic DPCD version 1.1 special mode */
4714#define DP_ENHANCED_FRAMING (1 << 18)
4715
32f9d658
ZW
4716/* eDP */
4717#define DP_PLL_FREQ_270MHZ (0 << 16)
b377e0df 4718#define DP_PLL_FREQ_162MHZ (1 << 16)
32f9d658
ZW
4719#define DP_PLL_FREQ_MASK (3 << 16)
4720
646b4269 4721/* locked once port is enabled */
040d87f1
KP
4722#define DP_PORT_REVERSAL (1 << 15)
4723
32f9d658
ZW
4724/* eDP */
4725#define DP_PLL_ENABLE (1 << 14)
4726
646b4269 4727/* sends the clock on lane 15 of the PEG for debug */
040d87f1
KP
4728#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
4729
4730#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 4731#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1 4732
646b4269 4733/* limit RGB values to avoid confusing TVs */
040d87f1
KP
4734#define DP_COLOR_RANGE_16_235 (1 << 8)
4735
646b4269 4736/* Turn on the audio link */
040d87f1
KP
4737#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
4738
646b4269 4739/* vs and hs sync polarity */
040d87f1
KP
4740#define DP_SYNC_VS_HIGH (1 << 4)
4741#define DP_SYNC_HS_HIGH (1 << 3)
4742
646b4269 4743/* A fantasy */
040d87f1
KP
4744#define DP_DETECTED (1 << 2)
4745
646b4269 4746/* The aux channel provides a way to talk to the
040d87f1
KP
4747 * signal sink for DDC etc. Max packet size supported
4748 * is 20 bytes in each direction, hence the 5 fixed
4749 * data registers
4750 */
da00bdcf
VS
4751#define _DPA_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64010)
4752#define _DPA_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64014)
4753#define _DPA_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64018)
4754#define _DPA_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6401c)
4755#define _DPA_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64020)
4756#define _DPA_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64024)
4757
4758#define _DPB_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64110)
4759#define _DPB_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64114)
4760#define _DPB_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64118)
4761#define _DPB_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6411c)
4762#define _DPB_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64120)
4763#define _DPB_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64124)
4764
4765#define _DPC_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64210)
4766#define _DPC_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64214)
4767#define _DPC_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64218)
4768#define _DPC_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6421c)
4769#define _DPC_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64220)
4770#define _DPC_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64224)
4771
4772#define _DPD_AUX_CH_CTL (dev_priv->info.display_mmio_offset + 0x64310)
4773#define _DPD_AUX_CH_DATA1 (dev_priv->info.display_mmio_offset + 0x64314)
4774#define _DPD_AUX_CH_DATA2 (dev_priv->info.display_mmio_offset + 0x64318)
4775#define _DPD_AUX_CH_DATA3 (dev_priv->info.display_mmio_offset + 0x6431c)
4776#define _DPD_AUX_CH_DATA4 (dev_priv->info.display_mmio_offset + 0x64320)
4777#define _DPD_AUX_CH_DATA5 (dev_priv->info.display_mmio_offset + 0x64324)
750a951f 4778
f0f59a00
VS
4779#define DP_AUX_CH_CTL(port) _MMIO_PORT(port, _DPA_AUX_CH_CTL, _DPB_AUX_CH_CTL)
4780#define DP_AUX_CH_DATA(port, i) _MMIO(_PORT(port, _DPA_AUX_CH_DATA1, _DPB_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
040d87f1
KP
4781
4782#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
4783#define DP_AUX_CH_CTL_DONE (1 << 30)
4784#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
4785#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
4786#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
4787#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
4788#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
4789#define DP_AUX_CH_CTL_TIME_OUT_1600us (3 << 26)
4790#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
4791#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
4792#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
4793#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
4794#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
4795#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
4796#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
4797#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
4798#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
4799#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
4800#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
4801#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
4802#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
e3d99845
SJ
4803#define DP_AUX_CH_CTL_PSR_DATA_AUX_REG_SKL (1 << 14)
4804#define DP_AUX_CH_CTL_FS_DATA_AUX_REG_SKL (1 << 13)
4805#define DP_AUX_CH_CTL_GTC_DATA_AUX_REG_SKL (1 << 12)
395b2913 4806#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL_MASK (0x1f << 5)
e3d99845 4807#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL(c) (((c) - 1) << 5)
b9ca5fad 4808#define DP_AUX_CH_CTL_SYNC_PULSE_SKL(c) ((c) - 1)
040d87f1
KP
4809
4810/*
4811 * Computing GMCH M and N values for the Display Port link
4812 *
4813 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
4814 *
4815 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
4816 *
4817 * The GMCH value is used internally
4818 *
4819 * bytes_per_pixel is the number of bytes coming out of the plane,
4820 * which is after the LUTs, so we want the bytes for our color format.
4821 * For our current usage, this is always 3, one byte for R, G and B.
4822 */
e3b95f1e
DV
4823#define _PIPEA_DATA_M_G4X 0x70050
4824#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
4825
4826/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
a65851af 4827#define TU_SIZE(x) (((x)-1) << 25) /* default size 64 */
72419203 4828#define TU_SIZE_SHIFT 25
a65851af 4829#define TU_SIZE_MASK (0x3f << 25)
040d87f1 4830
a65851af
VS
4831#define DATA_LINK_M_N_MASK (0xffffff)
4832#define DATA_LINK_N_MAX (0x800000)
040d87f1 4833
e3b95f1e
DV
4834#define _PIPEA_DATA_N_G4X 0x70054
4835#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
4836#define PIPE_GMCH_DATA_N_MASK (0xffffff)
4837
4838/*
4839 * Computing Link M and N values for the Display Port link
4840 *
4841 * Link M / N = pixel_clock / ls_clk
4842 *
4843 * (the DP spec calls pixel_clock the 'strm_clk')
4844 *
4845 * The Link value is transmitted in the Main Stream
4846 * Attributes and VB-ID.
4847 */
4848
e3b95f1e
DV
4849#define _PIPEA_LINK_M_G4X 0x70060
4850#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
4851#define PIPEA_DP_LINK_M_MASK (0xffffff)
4852
e3b95f1e
DV
4853#define _PIPEA_LINK_N_G4X 0x70064
4854#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
4855#define PIPEA_DP_LINK_N_MASK (0xffffff)
4856
f0f59a00
VS
4857#define PIPE_DATA_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
4858#define PIPE_DATA_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
4859#define PIPE_LINK_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
4860#define PIPE_LINK_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 4861
585fb111
JB
4862/* Display & cursor control */
4863
4864/* Pipe A */
a57c774a 4865#define _PIPEADSL 0x70000
837ba00f
PZ
4866#define DSL_LINEMASK_GEN2 0x00000fff
4867#define DSL_LINEMASK_GEN3 0x00001fff
a57c774a 4868#define _PIPEACONF 0x70008
5eddb70b
CW
4869#define PIPECONF_ENABLE (1<<31)
4870#define PIPECONF_DISABLE 0
4871#define PIPECONF_DOUBLE_WIDE (1<<30)
585fb111 4872#define I965_PIPECONF_ACTIVE (1<<30)
b6ec10b3 4873#define PIPECONF_DSI_PLL_LOCKED (1<<29) /* vlv & pipe A only */
f47166d2 4874#define PIPECONF_FRAME_START_DELAY_MASK (3<<27)
5eddb70b
CW
4875#define PIPECONF_SINGLE_WIDE 0
4876#define PIPECONF_PIPE_UNLOCKED 0
4877#define PIPECONF_PIPE_LOCKED (1<<25)
4878#define PIPECONF_PALETTE 0
4879#define PIPECONF_GAMMA (1<<24)
585fb111 4880#define PIPECONF_FORCE_BORDER (1<<25)
59df7b17 4881#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 4882#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
4883/* Note that pre-gen3 does not support interlaced display directly. Panel
4884 * fitting must be disabled on pre-ilk for interlaced. */
4885#define PIPECONF_PROGRESSIVE (0 << 21)
4886#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
4887#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
4888#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
4889#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
4890/* Ironlake and later have a complete new set of values for interlaced. PFIT
4891 * means panel fitter required, PF means progressive fetch, DBL means power
4892 * saving pixel doubling. */
4893#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
4894#define PIPECONF_INTERLACED_ILK (3 << 21)
4895#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
4896#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 4897#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
439d7ac0 4898#define PIPECONF_EDP_RR_MODE_SWITCH (1 << 20)
652c393a 4899#define PIPECONF_CXSR_DOWNCLOCK (1<<16)
6fa7aec1 4900#define PIPECONF_EDP_RR_MODE_SWITCH_VLV (1 << 14)
3685a8f3 4901#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72
DV
4902#define PIPECONF_BPC_MASK (0x7 << 5)
4903#define PIPECONF_8BPC (0<<5)
4904#define PIPECONF_10BPC (1<<5)
4905#define PIPECONF_6BPC (2<<5)
4906#define PIPECONF_12BPC (3<<5)
4f0d1aff
JB
4907#define PIPECONF_DITHER_EN (1<<4)
4908#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
4909#define PIPECONF_DITHER_TYPE_SP (0<<2)
4910#define PIPECONF_DITHER_TYPE_ST1 (1<<2)
4911#define PIPECONF_DITHER_TYPE_ST2 (2<<2)
4912#define PIPECONF_DITHER_TYPE_TEMP (3<<2)
a57c774a 4913#define _PIPEASTAT 0x70024
585fb111 4914#define PIPE_FIFO_UNDERRUN_STATUS (1UL<<31)
579a9b0e 4915#define SPRITE1_FLIP_DONE_INT_EN_VLV (1UL<<30)
585fb111
JB
4916#define PIPE_CRC_ERROR_ENABLE (1UL<<29)
4917#define PIPE_CRC_DONE_ENABLE (1UL<<28)
8cc96e7c 4918#define PERF_COUNTER2_INTERRUPT_EN (1UL<<27)
585fb111 4919#define PIPE_GMBUS_EVENT_ENABLE (1UL<<27)
c46ce4d7 4920#define PLANE_FLIP_DONE_INT_EN_VLV (1UL<<26)
585fb111
JB
4921#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL<<26)
4922#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL<<25)
4923#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL<<24)
4924#define PIPE_DPST_EVENT_ENABLE (1UL<<23)
c70af1e4 4925#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL<<22)
585fb111
JB
4926#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL<<22)
4927#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL<<21)
4928#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL<<20)
10c59c51 4929#define PIPE_B_PSR_INTERRUPT_ENABLE_VLV (1UL<<19)
8cc96e7c 4930#define PERF_COUNTER_INTERRUPT_EN (1UL<<19)
585fb111
JB
4931#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL<<18) /* pre-965 */
4932#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL<<18) /* 965 or later */
8cc96e7c 4933#define PIPE_FRAMESTART_INTERRUPT_ENABLE (1UL<<17)
585fb111 4934#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL<<17)
c46ce4d7 4935#define PIPEA_HBLANK_INT_EN_VLV (1UL<<16)
585fb111 4936#define PIPE_OVERLAY_UPDATED_ENABLE (1UL<<16)
579a9b0e
ID
4937#define SPRITE1_FLIP_DONE_INT_STATUS_VLV (1UL<<15)
4938#define SPRITE0_FLIP_DONE_INT_STATUS_VLV (1UL<<14)
585fb111
JB
4939#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL<<13)
4940#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL<<12)
8cc96e7c 4941#define PERF_COUNTER2_INTERRUPT_STATUS (1UL<<11)
585fb111 4942#define PIPE_GMBUS_INTERRUPT_STATUS (1UL<<11)
579a9b0e 4943#define PLANE_FLIP_DONE_INT_STATUS_VLV (1UL<<10)
585fb111
JB
4944#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL<<10)
4945#define PIPE_VSYNC_INTERRUPT_STATUS (1UL<<9)
4946#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL<<8)
4947#define PIPE_DPST_EVENT_STATUS (1UL<<7)
10c59c51 4948#define PIPE_A_PSR_STATUS_VLV (1UL<<6)
8cc96e7c 4949#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL<<6)
585fb111
JB
4950#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL<<5)
4951#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL<<4)
10c59c51 4952#define PIPE_B_PSR_STATUS_VLV (1UL<<3)
8cc96e7c 4953#define PERF_COUNTER_INTERRUPT_STATUS (1UL<<3)
585fb111
JB
4954#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL<<2) /* pre-965 */
4955#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL<<2) /* 965 or later */
8cc96e7c 4956#define PIPE_FRAMESTART_INTERRUPT_STATUS (1UL<<1)
585fb111 4957#define PIPE_VBLANK_INTERRUPT_STATUS (1UL<<1)
8cc96e7c 4958#define PIPE_HBLANK_INT_STATUS (1UL<<0)
585fb111
JB
4959#define PIPE_OVERLAY_UPDATED_STATUS (1UL<<0)
4960
755e9019
ID
4961#define PIPESTAT_INT_ENABLE_MASK 0x7fff0000
4962#define PIPESTAT_INT_STATUS_MASK 0x0000ffff
4963
84fd4f4e
RB
4964#define PIPE_A_OFFSET 0x70000
4965#define PIPE_B_OFFSET 0x71000
4966#define PIPE_C_OFFSET 0x72000
4967#define CHV_PIPE_C_OFFSET 0x74000
a57c774a
AK
4968/*
4969 * There's actually no pipe EDP. Some pipe registers have
4970 * simply shifted from the pipe to the transcoder, while
4971 * keeping their original offset. Thus we need PIPE_EDP_OFFSET
4972 * to access such registers in transcoder EDP.
4973 */
4974#define PIPE_EDP_OFFSET 0x7f000
4975
f0f59a00 4976#define _MMIO_PIPE2(pipe, reg) _MMIO(dev_priv->info.pipe_offsets[pipe] - \
5c969aa7
DL
4977 dev_priv->info.pipe_offsets[PIPE_A] + (reg) + \
4978 dev_priv->info.display_mmio_offset)
a57c774a 4979
f0f59a00
VS
4980#define PIPECONF(pipe) _MMIO_PIPE2(pipe, _PIPEACONF)
4981#define PIPEDSL(pipe) _MMIO_PIPE2(pipe, _PIPEADSL)
4982#define PIPEFRAME(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEHIGH)
4983#define PIPEFRAMEPIXEL(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEPIXEL)
4984#define PIPESTAT(pipe) _MMIO_PIPE2(pipe, _PIPEASTAT)
5eddb70b 4985
756f85cf
PZ
4986#define _PIPE_MISC_A 0x70030
4987#define _PIPE_MISC_B 0x71030
4988#define PIPEMISC_DITHER_BPC_MASK (7<<5)
4989#define PIPEMISC_DITHER_8_BPC (0<<5)
4990#define PIPEMISC_DITHER_10_BPC (1<<5)
4991#define PIPEMISC_DITHER_6_BPC (2<<5)
4992#define PIPEMISC_DITHER_12_BPC (3<<5)
4993#define PIPEMISC_DITHER_ENABLE (1<<4)
4994#define PIPEMISC_DITHER_TYPE_MASK (3<<2)
4995#define PIPEMISC_DITHER_TYPE_SP (0<<2)
f0f59a00 4996#define PIPEMISC(pipe) _MMIO_PIPE2(pipe, _PIPE_MISC_A)
756f85cf 4997
f0f59a00 4998#define VLV_DPFLIPSTAT _MMIO(VLV_DISPLAY_BASE + 0x70028)
7983117f 4999#define PIPEB_LINE_COMPARE_INT_EN (1<<29)
c46ce4d7
JB
5000#define PIPEB_HLINE_INT_EN (1<<28)
5001#define PIPEB_VBLANK_INT_EN (1<<27)
579a9b0e
ID
5002#define SPRITED_FLIP_DONE_INT_EN (1<<26)
5003#define SPRITEC_FLIP_DONE_INT_EN (1<<25)
5004#define PLANEB_FLIP_DONE_INT_EN (1<<24)
f3c67fdd 5005#define PIPE_PSR_INT_EN (1<<22)
7983117f 5006#define PIPEA_LINE_COMPARE_INT_EN (1<<21)
c46ce4d7
JB
5007#define PIPEA_HLINE_INT_EN (1<<20)
5008#define PIPEA_VBLANK_INT_EN (1<<19)
579a9b0e
ID
5009#define SPRITEB_FLIP_DONE_INT_EN (1<<18)
5010#define SPRITEA_FLIP_DONE_INT_EN (1<<17)
c46ce4d7 5011#define PLANEA_FLIPDONE_INT_EN (1<<16)
f3c67fdd
VS
5012#define PIPEC_LINE_COMPARE_INT_EN (1<<13)
5013#define PIPEC_HLINE_INT_EN (1<<12)
5014#define PIPEC_VBLANK_INT_EN (1<<11)
5015#define SPRITEF_FLIPDONE_INT_EN (1<<10)
5016#define SPRITEE_FLIPDONE_INT_EN (1<<9)
5017#define PLANEC_FLIPDONE_INT_EN (1<<8)
c46ce4d7 5018
f0f59a00 5019#define DPINVGTT _MMIO(VLV_DISPLAY_BASE + 0x7002c) /* VLV/CHV only */
bf67a6fd
VS
5020#define SPRITEF_INVALID_GTT_INT_EN (1<<27)
5021#define SPRITEE_INVALID_GTT_INT_EN (1<<26)
5022#define PLANEC_INVALID_GTT_INT_EN (1<<25)
5023#define CURSORC_INVALID_GTT_INT_EN (1<<24)
c46ce4d7
JB
5024#define CURSORB_INVALID_GTT_INT_EN (1<<23)
5025#define CURSORA_INVALID_GTT_INT_EN (1<<22)
5026#define SPRITED_INVALID_GTT_INT_EN (1<<21)
5027#define SPRITEC_INVALID_GTT_INT_EN (1<<20)
5028#define PLANEB_INVALID_GTT_INT_EN (1<<19)
5029#define SPRITEB_INVALID_GTT_INT_EN (1<<18)
5030#define SPRITEA_INVALID_GTT_INT_EN (1<<17)
5031#define PLANEA_INVALID_GTT_INT_EN (1<<16)
5032#define DPINVGTT_EN_MASK 0xff0000
bf67a6fd
VS
5033#define DPINVGTT_EN_MASK_CHV 0xfff0000
5034#define SPRITEF_INVALID_GTT_STATUS (1<<11)
5035#define SPRITEE_INVALID_GTT_STATUS (1<<10)
5036#define PLANEC_INVALID_GTT_STATUS (1<<9)
5037#define CURSORC_INVALID_GTT_STATUS (1<<8)
c46ce4d7
JB
5038#define CURSORB_INVALID_GTT_STATUS (1<<7)
5039#define CURSORA_INVALID_GTT_STATUS (1<<6)
5040#define SPRITED_INVALID_GTT_STATUS (1<<5)
5041#define SPRITEC_INVALID_GTT_STATUS (1<<4)
5042#define PLANEB_INVALID_GTT_STATUS (1<<3)
5043#define SPRITEB_INVALID_GTT_STATUS (1<<2)
5044#define SPRITEA_INVALID_GTT_STATUS (1<<1)
5045#define PLANEA_INVALID_GTT_STATUS (1<<0)
5046#define DPINVGTT_STATUS_MASK 0xff
bf67a6fd 5047#define DPINVGTT_STATUS_MASK_CHV 0xfff
c46ce4d7 5048
f0f59a00 5049#define DSPARB _MMIO(dev_priv->info.display_mmio_offset + 0x70030)
585fb111
JB
5050#define DSPARB_CSTART_MASK (0x7f << 7)
5051#define DSPARB_CSTART_SHIFT 7
5052#define DSPARB_BSTART_MASK (0x7f)
5053#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
5054#define DSPARB_BEND_SHIFT 9 /* on 855 */
5055#define DSPARB_AEND_SHIFT 0
54f1b6e1
VS
5056#define DSPARB_SPRITEA_SHIFT_VLV 0
5057#define DSPARB_SPRITEA_MASK_VLV (0xff << 0)
5058#define DSPARB_SPRITEB_SHIFT_VLV 8
5059#define DSPARB_SPRITEB_MASK_VLV (0xff << 8)
5060#define DSPARB_SPRITEC_SHIFT_VLV 16
5061#define DSPARB_SPRITEC_MASK_VLV (0xff << 16)
5062#define DSPARB_SPRITED_SHIFT_VLV 24
5063#define DSPARB_SPRITED_MASK_VLV (0xff << 24)
f0f59a00 5064#define DSPARB2 _MMIO(VLV_DISPLAY_BASE + 0x70060) /* vlv/chv */
54f1b6e1
VS
5065#define DSPARB_SPRITEA_HI_SHIFT_VLV 0
5066#define DSPARB_SPRITEA_HI_MASK_VLV (0x1 << 0)
5067#define DSPARB_SPRITEB_HI_SHIFT_VLV 4
5068#define DSPARB_SPRITEB_HI_MASK_VLV (0x1 << 4)
5069#define DSPARB_SPRITEC_HI_SHIFT_VLV 8
5070#define DSPARB_SPRITEC_HI_MASK_VLV (0x1 << 8)
5071#define DSPARB_SPRITED_HI_SHIFT_VLV 12
5072#define DSPARB_SPRITED_HI_MASK_VLV (0x1 << 12)
5073#define DSPARB_SPRITEE_HI_SHIFT_VLV 16
5074#define DSPARB_SPRITEE_HI_MASK_VLV (0x1 << 16)
5075#define DSPARB_SPRITEF_HI_SHIFT_VLV 20
5076#define DSPARB_SPRITEF_HI_MASK_VLV (0x1 << 20)
f0f59a00 5077#define DSPARB3 _MMIO(VLV_DISPLAY_BASE + 0x7006c) /* chv */
54f1b6e1
VS
5078#define DSPARB_SPRITEE_SHIFT_VLV 0
5079#define DSPARB_SPRITEE_MASK_VLV (0xff << 0)
5080#define DSPARB_SPRITEF_SHIFT_VLV 8
5081#define DSPARB_SPRITEF_MASK_VLV (0xff << 8)
b5004720 5082
0a560674 5083/* pnv/gen4/g4x/vlv/chv */
f0f59a00 5084#define DSPFW1 _MMIO(dev_priv->info.display_mmio_offset + 0x70034)
0a560674
VS
5085#define DSPFW_SR_SHIFT 23
5086#define DSPFW_SR_MASK (0x1ff<<23)
5087#define DSPFW_CURSORB_SHIFT 16
5088#define DSPFW_CURSORB_MASK (0x3f<<16)
5089#define DSPFW_PLANEB_SHIFT 8
5090#define DSPFW_PLANEB_MASK (0x7f<<8)
5091#define DSPFW_PLANEB_MASK_VLV (0xff<<8) /* vlv/chv */
5092#define DSPFW_PLANEA_SHIFT 0
5093#define DSPFW_PLANEA_MASK (0x7f<<0)
5094#define DSPFW_PLANEA_MASK_VLV (0xff<<0) /* vlv/chv */
f0f59a00 5095#define DSPFW2 _MMIO(dev_priv->info.display_mmio_offset + 0x70038)
0a560674
VS
5096#define DSPFW_FBC_SR_EN (1<<31) /* g4x */
5097#define DSPFW_FBC_SR_SHIFT 28
5098#define DSPFW_FBC_SR_MASK (0x7<<28) /* g4x */
5099#define DSPFW_FBC_HPLL_SR_SHIFT 24
5100#define DSPFW_FBC_HPLL_SR_MASK (0xf<<24) /* g4x */
5101#define DSPFW_SPRITEB_SHIFT (16)
5102#define DSPFW_SPRITEB_MASK (0x7f<<16) /* g4x */
5103#define DSPFW_SPRITEB_MASK_VLV (0xff<<16) /* vlv/chv */
5104#define DSPFW_CURSORA_SHIFT 8
5105#define DSPFW_CURSORA_MASK (0x3f<<8)
f4998963
VS
5106#define DSPFW_PLANEC_OLD_SHIFT 0
5107#define DSPFW_PLANEC_OLD_MASK (0x7f<<0) /* pre-gen4 sprite C */
0a560674
VS
5108#define DSPFW_SPRITEA_SHIFT 0
5109#define DSPFW_SPRITEA_MASK (0x7f<<0) /* g4x */
5110#define DSPFW_SPRITEA_MASK_VLV (0xff<<0) /* vlv/chv */
f0f59a00 5111#define DSPFW3 _MMIO(dev_priv->info.display_mmio_offset + 0x7003c)
0a560674 5112#define DSPFW_HPLL_SR_EN (1<<31)
f2b115e6 5113#define PINEVIEW_SELF_REFRESH_EN (1<<30)
0a560674 5114#define DSPFW_CURSOR_SR_SHIFT 24
d4294342
ZY
5115#define DSPFW_CURSOR_SR_MASK (0x3f<<24)
5116#define DSPFW_HPLL_CURSOR_SHIFT 16
5117#define DSPFW_HPLL_CURSOR_MASK (0x3f<<16)
0a560674
VS
5118#define DSPFW_HPLL_SR_SHIFT 0
5119#define DSPFW_HPLL_SR_MASK (0x1ff<<0)
5120
5121/* vlv/chv */
f0f59a00 5122#define DSPFW4 _MMIO(VLV_DISPLAY_BASE + 0x70070)
0a560674
VS
5123#define DSPFW_SPRITEB_WM1_SHIFT 16
5124#define DSPFW_SPRITEB_WM1_MASK (0xff<<16)
5125#define DSPFW_CURSORA_WM1_SHIFT 8
5126#define DSPFW_CURSORA_WM1_MASK (0x3f<<8)
5127#define DSPFW_SPRITEA_WM1_SHIFT 0
5128#define DSPFW_SPRITEA_WM1_MASK (0xff<<0)
f0f59a00 5129#define DSPFW5 _MMIO(VLV_DISPLAY_BASE + 0x70074)
0a560674
VS
5130#define DSPFW_PLANEB_WM1_SHIFT 24
5131#define DSPFW_PLANEB_WM1_MASK (0xff<<24)
5132#define DSPFW_PLANEA_WM1_SHIFT 16
5133#define DSPFW_PLANEA_WM1_MASK (0xff<<16)
5134#define DSPFW_CURSORB_WM1_SHIFT 8
5135#define DSPFW_CURSORB_WM1_MASK (0x3f<<8)
5136#define DSPFW_CURSOR_SR_WM1_SHIFT 0
5137#define DSPFW_CURSOR_SR_WM1_MASK (0x3f<<0)
f0f59a00 5138#define DSPFW6 _MMIO(VLV_DISPLAY_BASE + 0x70078)
0a560674
VS
5139#define DSPFW_SR_WM1_SHIFT 0
5140#define DSPFW_SR_WM1_MASK (0x1ff<<0)
f0f59a00
VS
5141#define DSPFW7 _MMIO(VLV_DISPLAY_BASE + 0x7007c)
5142#define DSPFW7_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b4) /* wtf #1? */
0a560674
VS
5143#define DSPFW_SPRITED_WM1_SHIFT 24
5144#define DSPFW_SPRITED_WM1_MASK (0xff<<24)
5145#define DSPFW_SPRITED_SHIFT 16
15665979 5146#define DSPFW_SPRITED_MASK_VLV (0xff<<16)
0a560674
VS
5147#define DSPFW_SPRITEC_WM1_SHIFT 8
5148#define DSPFW_SPRITEC_WM1_MASK (0xff<<8)
5149#define DSPFW_SPRITEC_SHIFT 0
15665979 5150#define DSPFW_SPRITEC_MASK_VLV (0xff<<0)
f0f59a00 5151#define DSPFW8_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b8)
0a560674
VS
5152#define DSPFW_SPRITEF_WM1_SHIFT 24
5153#define DSPFW_SPRITEF_WM1_MASK (0xff<<24)
5154#define DSPFW_SPRITEF_SHIFT 16
15665979 5155#define DSPFW_SPRITEF_MASK_VLV (0xff<<16)
0a560674
VS
5156#define DSPFW_SPRITEE_WM1_SHIFT 8
5157#define DSPFW_SPRITEE_WM1_MASK (0xff<<8)
5158#define DSPFW_SPRITEE_SHIFT 0
15665979 5159#define DSPFW_SPRITEE_MASK_VLV (0xff<<0)
f0f59a00 5160#define DSPFW9_CHV _MMIO(VLV_DISPLAY_BASE + 0x7007c) /* wtf #2? */
0a560674
VS
5161#define DSPFW_PLANEC_WM1_SHIFT 24
5162#define DSPFW_PLANEC_WM1_MASK (0xff<<24)
5163#define DSPFW_PLANEC_SHIFT 16
15665979 5164#define DSPFW_PLANEC_MASK_VLV (0xff<<16)
0a560674
VS
5165#define DSPFW_CURSORC_WM1_SHIFT 8
5166#define DSPFW_CURSORC_WM1_MASK (0x3f<<16)
5167#define DSPFW_CURSORC_SHIFT 0
5168#define DSPFW_CURSORC_MASK (0x3f<<0)
5169
5170/* vlv/chv high order bits */
f0f59a00 5171#define DSPHOWM _MMIO(VLV_DISPLAY_BASE + 0x70064)
0a560674 5172#define DSPFW_SR_HI_SHIFT 24
ae80152d 5173#define DSPFW_SR_HI_MASK (3<<24) /* 2 bits for chv, 1 for vlv */
0a560674
VS
5174#define DSPFW_SPRITEF_HI_SHIFT 23
5175#define DSPFW_SPRITEF_HI_MASK (1<<23)
5176#define DSPFW_SPRITEE_HI_SHIFT 22
5177#define DSPFW_SPRITEE_HI_MASK (1<<22)
5178#define DSPFW_PLANEC_HI_SHIFT 21
5179#define DSPFW_PLANEC_HI_MASK (1<<21)
5180#define DSPFW_SPRITED_HI_SHIFT 20
5181#define DSPFW_SPRITED_HI_MASK (1<<20)
5182#define DSPFW_SPRITEC_HI_SHIFT 16
5183#define DSPFW_SPRITEC_HI_MASK (1<<16)
5184#define DSPFW_PLANEB_HI_SHIFT 12
5185#define DSPFW_PLANEB_HI_MASK (1<<12)
5186#define DSPFW_SPRITEB_HI_SHIFT 8
5187#define DSPFW_SPRITEB_HI_MASK (1<<8)
5188#define DSPFW_SPRITEA_HI_SHIFT 4
5189#define DSPFW_SPRITEA_HI_MASK (1<<4)
5190#define DSPFW_PLANEA_HI_SHIFT 0
5191#define DSPFW_PLANEA_HI_MASK (1<<0)
f0f59a00 5192#define DSPHOWM1 _MMIO(VLV_DISPLAY_BASE + 0x70068)
0a560674 5193#define DSPFW_SR_WM1_HI_SHIFT 24
ae80152d 5194#define DSPFW_SR_WM1_HI_MASK (3<<24) /* 2 bits for chv, 1 for vlv */
0a560674
VS
5195#define DSPFW_SPRITEF_WM1_HI_SHIFT 23
5196#define DSPFW_SPRITEF_WM1_HI_MASK (1<<23)
5197#define DSPFW_SPRITEE_WM1_HI_SHIFT 22
5198#define DSPFW_SPRITEE_WM1_HI_MASK (1<<22)
5199#define DSPFW_PLANEC_WM1_HI_SHIFT 21
5200#define DSPFW_PLANEC_WM1_HI_MASK (1<<21)
5201#define DSPFW_SPRITED_WM1_HI_SHIFT 20
5202#define DSPFW_SPRITED_WM1_HI_MASK (1<<20)
5203#define DSPFW_SPRITEC_WM1_HI_SHIFT 16
5204#define DSPFW_SPRITEC_WM1_HI_MASK (1<<16)
5205#define DSPFW_PLANEB_WM1_HI_SHIFT 12
5206#define DSPFW_PLANEB_WM1_HI_MASK (1<<12)
5207#define DSPFW_SPRITEB_WM1_HI_SHIFT 8
5208#define DSPFW_SPRITEB_WM1_HI_MASK (1<<8)
5209#define DSPFW_SPRITEA_WM1_HI_SHIFT 4
5210#define DSPFW_SPRITEA_WM1_HI_MASK (1<<4)
5211#define DSPFW_PLANEA_WM1_HI_SHIFT 0
5212#define DSPFW_PLANEA_WM1_HI_MASK (1<<0)
7662c8bd 5213
12a3c055 5214/* drain latency register values*/
f0f59a00 5215#define VLV_DDL(pipe) _MMIO(VLV_DISPLAY_BASE + 0x70050 + 4 * (pipe))
1abc4dc7 5216#define DDL_CURSOR_SHIFT 24
01e184cc 5217#define DDL_SPRITE_SHIFT(sprite) (8+8*(sprite))
1abc4dc7 5218#define DDL_PLANE_SHIFT 0
341c526f
VS
5219#define DDL_PRECISION_HIGH (1<<7)
5220#define DDL_PRECISION_LOW (0<<7)
0948c265 5221#define DRAIN_LATENCY_MASK 0x7f
12a3c055 5222
f0f59a00 5223#define CBR1_VLV _MMIO(VLV_DISPLAY_BASE + 0x70400)
c6beb13e 5224#define CBR_PND_DEADLINE_DISABLE (1<<31)
aa17cdb4 5225#define CBR_PWM_CLOCK_MUX_SELECT (1<<30)
c6beb13e 5226
c231775c
VS
5227#define CBR4_VLV _MMIO(VLV_DISPLAY_BASE + 0x70450)
5228#define CBR_DPLLBMD_PIPE_C (1<<29)
5229#define CBR_DPLLBMD_PIPE_B (1<<18)
5230
7662c8bd 5231/* FIFO watermark sizes etc */
0e442c60 5232#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
5233#define I915_FIFO_LINE_SIZE 64
5234#define I830_FIFO_LINE_SIZE 32
0e442c60 5235
ceb04246 5236#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 5237#define G4X_FIFO_SIZE 127
1b07e04e
ZY
5238#define I965_FIFO_SIZE 512
5239#define I945_FIFO_SIZE 127
7662c8bd 5240#define I915_FIFO_SIZE 95
dff33cfc 5241#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 5242#define I830_FIFO_SIZE 95
0e442c60 5243
ceb04246 5244#define VALLEYVIEW_MAX_WM 0xff
0e442c60 5245#define G4X_MAX_WM 0x3f
7662c8bd
SL
5246#define I915_MAX_WM 0x3f
5247
f2b115e6
AJ
5248#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
5249#define PINEVIEW_FIFO_LINE_SIZE 64
5250#define PINEVIEW_MAX_WM 0x1ff
5251#define PINEVIEW_DFT_WM 0x3f
5252#define PINEVIEW_DFT_HPLLOFF_WM 0
5253#define PINEVIEW_GUARD_WM 10
5254#define PINEVIEW_CURSOR_FIFO 64
5255#define PINEVIEW_CURSOR_MAX_WM 0x3f
5256#define PINEVIEW_CURSOR_DFT_WM 0
5257#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 5258
ceb04246 5259#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
5260#define I965_CURSOR_FIFO 64
5261#define I965_CURSOR_MAX_WM 32
5262#define I965_CURSOR_DFT_WM 8
7f8a8569 5263
fae1267d 5264/* Watermark register definitions for SKL */
086f8e84
VS
5265#define _CUR_WM_A_0 0x70140
5266#define _CUR_WM_B_0 0x71140
5267#define _PLANE_WM_1_A_0 0x70240
5268#define _PLANE_WM_1_B_0 0x71240
5269#define _PLANE_WM_2_A_0 0x70340
5270#define _PLANE_WM_2_B_0 0x71340
5271#define _PLANE_WM_TRANS_1_A_0 0x70268
5272#define _PLANE_WM_TRANS_1_B_0 0x71268
5273#define _PLANE_WM_TRANS_2_A_0 0x70368
5274#define _PLANE_WM_TRANS_2_B_0 0x71368
5275#define _CUR_WM_TRANS_A_0 0x70168
5276#define _CUR_WM_TRANS_B_0 0x71168
fae1267d
PB
5277#define PLANE_WM_EN (1 << 31)
5278#define PLANE_WM_LINES_SHIFT 14
5279#define PLANE_WM_LINES_MASK 0x1f
5280#define PLANE_WM_BLOCKS_MASK 0x3ff
5281
086f8e84 5282#define _CUR_WM_0(pipe) _PIPE(pipe, _CUR_WM_A_0, _CUR_WM_B_0)
f0f59a00
VS
5283#define CUR_WM(pipe, level) _MMIO(_CUR_WM_0(pipe) + ((4) * (level)))
5284#define CUR_WM_TRANS(pipe) _MMIO_PIPE(pipe, _CUR_WM_TRANS_A_0, _CUR_WM_TRANS_B_0)
fae1267d 5285
086f8e84
VS
5286#define _PLANE_WM_1(pipe) _PIPE(pipe, _PLANE_WM_1_A_0, _PLANE_WM_1_B_0)
5287#define _PLANE_WM_2(pipe) _PIPE(pipe, _PLANE_WM_2_A_0, _PLANE_WM_2_B_0)
fae1267d
PB
5288#define _PLANE_WM_BASE(pipe, plane) \
5289 _PLANE(plane, _PLANE_WM_1(pipe), _PLANE_WM_2(pipe))
5290#define PLANE_WM(pipe, plane, level) \
f0f59a00 5291 _MMIO(_PLANE_WM_BASE(pipe, plane) + ((4) * (level)))
fae1267d 5292#define _PLANE_WM_TRANS_1(pipe) \
086f8e84 5293 _PIPE(pipe, _PLANE_WM_TRANS_1_A_0, _PLANE_WM_TRANS_1_B_0)
fae1267d 5294#define _PLANE_WM_TRANS_2(pipe) \
086f8e84 5295 _PIPE(pipe, _PLANE_WM_TRANS_2_A_0, _PLANE_WM_TRANS_2_B_0)
fae1267d 5296#define PLANE_WM_TRANS(pipe, plane) \
f0f59a00 5297 _MMIO(_PLANE(plane, _PLANE_WM_TRANS_1(pipe), _PLANE_WM_TRANS_2(pipe)))
fae1267d 5298
7f8a8569 5299/* define the Watermark register on Ironlake */
f0f59a00 5300#define WM0_PIPEA_ILK _MMIO(0x45100)
1996d624 5301#define WM0_PIPE_PLANE_MASK (0xffff<<16)
7f8a8569 5302#define WM0_PIPE_PLANE_SHIFT 16
1996d624 5303#define WM0_PIPE_SPRITE_MASK (0xff<<8)
7f8a8569 5304#define WM0_PIPE_SPRITE_SHIFT 8
1996d624 5305#define WM0_PIPE_CURSOR_MASK (0xff)
7f8a8569 5306
f0f59a00
VS
5307#define WM0_PIPEB_ILK _MMIO(0x45104)
5308#define WM0_PIPEC_IVB _MMIO(0x45200)
5309#define WM1_LP_ILK _MMIO(0x45108)
7f8a8569
ZW
5310#define WM1_LP_SR_EN (1<<31)
5311#define WM1_LP_LATENCY_SHIFT 24
5312#define WM1_LP_LATENCY_MASK (0x7f<<24)
4ed765f9
CW
5313#define WM1_LP_FBC_MASK (0xf<<20)
5314#define WM1_LP_FBC_SHIFT 20
416f4727 5315#define WM1_LP_FBC_SHIFT_BDW 19
1996d624 5316#define WM1_LP_SR_MASK (0x7ff<<8)
7f8a8569 5317#define WM1_LP_SR_SHIFT 8
1996d624 5318#define WM1_LP_CURSOR_MASK (0xff)
f0f59a00 5319#define WM2_LP_ILK _MMIO(0x4510c)
dd8849c8 5320#define WM2_LP_EN (1<<31)
f0f59a00 5321#define WM3_LP_ILK _MMIO(0x45110)
dd8849c8 5322#define WM3_LP_EN (1<<31)
f0f59a00
VS
5323#define WM1S_LP_ILK _MMIO(0x45120)
5324#define WM2S_LP_IVB _MMIO(0x45124)
5325#define WM3S_LP_IVB _MMIO(0x45128)
dd8849c8 5326#define WM1S_LP_EN (1<<31)
7f8a8569 5327
cca32e9a
PZ
5328#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
5329 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
5330 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
5331
7f8a8569 5332/* Memory latency timer register */
f0f59a00 5333#define MLTR_ILK _MMIO(0x11222)
b79d4990
JB
5334#define MLTR_WM1_SHIFT 0
5335#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
5336/* the unit of memory self-refresh latency time is 0.5us */
5337#define ILK_SRLT_MASK 0x3f
5338
1398261a
YL
5339
5340/* the address where we get all kinds of latency value */
f0f59a00 5341#define SSKPD _MMIO(0x5d10)
1398261a
YL
5342#define SSKPD_WM_MASK 0x3f
5343#define SSKPD_WM0_SHIFT 0
5344#define SSKPD_WM1_SHIFT 8
5345#define SSKPD_WM2_SHIFT 16
5346#define SSKPD_WM3_SHIFT 24
5347
585fb111
JB
5348/*
5349 * The two pipe frame counter registers are not synchronized, so
5350 * reading a stable value is somewhat tricky. The following code
5351 * should work:
5352 *
5353 * do {
5354 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
5355 * PIPE_FRAME_HIGH_SHIFT;
5356 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
5357 * PIPE_FRAME_LOW_SHIFT);
5358 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
5359 * PIPE_FRAME_HIGH_SHIFT);
5360 * } while (high1 != high2);
5361 * frame = (high1 << 8) | low1;
5362 */
25a2e2d0 5363#define _PIPEAFRAMEHIGH 0x70040
585fb111
JB
5364#define PIPE_FRAME_HIGH_MASK 0x0000ffff
5365#define PIPE_FRAME_HIGH_SHIFT 0
25a2e2d0 5366#define _PIPEAFRAMEPIXEL 0x70044
585fb111
JB
5367#define PIPE_FRAME_LOW_MASK 0xff000000
5368#define PIPE_FRAME_LOW_SHIFT 24
5369#define PIPE_PIXEL_MASK 0x00ffffff
5370#define PIPE_PIXEL_SHIFT 0
9880b7a5 5371/* GM45+ just has to be different */
fd8f507c
VS
5372#define _PIPEA_FRMCOUNT_G4X 0x70040
5373#define _PIPEA_FLIPCOUNT_G4X 0x70044
f0f59a00
VS
5374#define PIPE_FRMCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FRMCOUNT_G4X)
5375#define PIPE_FLIPCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FLIPCOUNT_G4X)
585fb111
JB
5376
5377/* Cursor A & B regs */
5efb3e28 5378#define _CURACNTR 0x70080
14b60391
JB
5379/* Old style CUR*CNTR flags (desktop 8xx) */
5380#define CURSOR_ENABLE 0x80000000
5381#define CURSOR_GAMMA_ENABLE 0x40000000
dc41c154
VS
5382#define CURSOR_STRIDE_SHIFT 28
5383#define CURSOR_STRIDE(x) ((ffs(x)-9) << CURSOR_STRIDE_SHIFT) /* 256,512,1k,2k */
86d3efce 5384#define CURSOR_PIPE_CSC_ENABLE (1<<24)
14b60391
JB
5385#define CURSOR_FORMAT_SHIFT 24
5386#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
5387#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
5388#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
5389#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
5390#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
5391#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
5392/* New style CUR*CNTR flags */
5393#define CURSOR_MODE 0x27
585fb111 5394#define CURSOR_MODE_DISABLE 0x00
4726e0b0
SK
5395#define CURSOR_MODE_128_32B_AX 0x02
5396#define CURSOR_MODE_256_32B_AX 0x03
585fb111 5397#define CURSOR_MODE_64_32B_AX 0x07
4726e0b0
SK
5398#define CURSOR_MODE_128_ARGB_AX ((1 << 5) | CURSOR_MODE_128_32B_AX)
5399#define CURSOR_MODE_256_ARGB_AX ((1 << 5) | CURSOR_MODE_256_32B_AX)
585fb111 5400#define CURSOR_MODE_64_ARGB_AX ((1 << 5) | CURSOR_MODE_64_32B_AX)
14b60391
JB
5401#define MCURSOR_PIPE_SELECT (1 << 28)
5402#define MCURSOR_PIPE_A 0x00
5403#define MCURSOR_PIPE_B (1 << 28)
585fb111 5404#define MCURSOR_GAMMA_ENABLE (1 << 26)
4398ad45 5405#define CURSOR_ROTATE_180 (1<<15)
1f5d76db 5406#define CURSOR_TRICKLE_FEED_DISABLE (1 << 14)
5efb3e28
VS
5407#define _CURABASE 0x70084
5408#define _CURAPOS 0x70088
585fb111
JB
5409#define CURSOR_POS_MASK 0x007FF
5410#define CURSOR_POS_SIGN 0x8000
5411#define CURSOR_X_SHIFT 0
5412#define CURSOR_Y_SHIFT 16
f0f59a00 5413#define CURSIZE _MMIO(0x700a0)
5efb3e28
VS
5414#define _CURBCNTR 0x700c0
5415#define _CURBBASE 0x700c4
5416#define _CURBPOS 0x700c8
585fb111 5417
65a21cd6
JB
5418#define _CURBCNTR_IVB 0x71080
5419#define _CURBBASE_IVB 0x71084
5420#define _CURBPOS_IVB 0x71088
5421
f0f59a00 5422#define _CURSOR2(pipe, reg) _MMIO(dev_priv->info.cursor_offsets[(pipe)] - \
5efb3e28
VS
5423 dev_priv->info.cursor_offsets[PIPE_A] + (reg) + \
5424 dev_priv->info.display_mmio_offset)
5425
5426#define CURCNTR(pipe) _CURSOR2(pipe, _CURACNTR)
5427#define CURBASE(pipe) _CURSOR2(pipe, _CURABASE)
5428#define CURPOS(pipe) _CURSOR2(pipe, _CURAPOS)
c4a1d9e4 5429
5efb3e28
VS
5430#define CURSOR_A_OFFSET 0x70080
5431#define CURSOR_B_OFFSET 0x700c0
5432#define CHV_CURSOR_C_OFFSET 0x700e0
5433#define IVB_CURSOR_B_OFFSET 0x71080
5434#define IVB_CURSOR_C_OFFSET 0x72080
65a21cd6 5435
585fb111 5436/* Display A control */
a57c774a 5437#define _DSPACNTR 0x70180
585fb111
JB
5438#define DISPLAY_PLANE_ENABLE (1<<31)
5439#define DISPLAY_PLANE_DISABLE 0
5440#define DISPPLANE_GAMMA_ENABLE (1<<30)
5441#define DISPPLANE_GAMMA_DISABLE 0
5442#define DISPPLANE_PIXFORMAT_MASK (0xf<<26)
57779d06 5443#define DISPPLANE_YUV422 (0x0<<26)
585fb111 5444#define DISPPLANE_8BPP (0x2<<26)
57779d06
VS
5445#define DISPPLANE_BGRA555 (0x3<<26)
5446#define DISPPLANE_BGRX555 (0x4<<26)
5447#define DISPPLANE_BGRX565 (0x5<<26)
5448#define DISPPLANE_BGRX888 (0x6<<26)
5449#define DISPPLANE_BGRA888 (0x7<<26)
5450#define DISPPLANE_RGBX101010 (0x8<<26)
5451#define DISPPLANE_RGBA101010 (0x9<<26)
5452#define DISPPLANE_BGRX101010 (0xa<<26)
5453#define DISPPLANE_RGBX161616 (0xc<<26)
5454#define DISPPLANE_RGBX888 (0xe<<26)
5455#define DISPPLANE_RGBA888 (0xf<<26)
585fb111
JB
5456#define DISPPLANE_STEREO_ENABLE (1<<25)
5457#define DISPPLANE_STEREO_DISABLE 0
86d3efce 5458#define DISPPLANE_PIPE_CSC_ENABLE (1<<24)
b24e7179
JB
5459#define DISPPLANE_SEL_PIPE_SHIFT 24
5460#define DISPPLANE_SEL_PIPE_MASK (3<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111 5461#define DISPPLANE_SEL_PIPE_A 0
b24e7179 5462#define DISPPLANE_SEL_PIPE_B (1<<DISPPLANE_SEL_PIPE_SHIFT)
585fb111
JB
5463#define DISPPLANE_SRC_KEY_ENABLE (1<<22)
5464#define DISPPLANE_SRC_KEY_DISABLE 0
5465#define DISPPLANE_LINE_DOUBLE (1<<20)
5466#define DISPPLANE_NO_LINE_DOUBLE 0
5467#define DISPPLANE_STEREO_POLARITY_FIRST 0
5468#define DISPPLANE_STEREO_POLARITY_SECOND (1<<18)
c14b0485
VS
5469#define DISPPLANE_ALPHA_PREMULTIPLY (1<<16) /* CHV pipe B */
5470#define DISPPLANE_ROTATE_180 (1<<15)
f2b115e6 5471#define DISPPLANE_TRICKLE_FEED_DISABLE (1<<14) /* Ironlake */
f544847f 5472#define DISPPLANE_TILED (1<<10)
c14b0485 5473#define DISPPLANE_MIRROR (1<<8) /* CHV pipe B */
a57c774a
AK
5474#define _DSPAADDR 0x70184
5475#define _DSPASTRIDE 0x70188
5476#define _DSPAPOS 0x7018C /* reserved */
5477#define _DSPASIZE 0x70190
5478#define _DSPASURF 0x7019C /* 965+ only */
5479#define _DSPATILEOFF 0x701A4 /* 965+ only */
5480#define _DSPAOFFSET 0x701A4 /* HSW */
5481#define _DSPASURFLIVE 0x701AC
5482
f0f59a00
VS
5483#define DSPCNTR(plane) _MMIO_PIPE2(plane, _DSPACNTR)
5484#define DSPADDR(plane) _MMIO_PIPE2(plane, _DSPAADDR)
5485#define DSPSTRIDE(plane) _MMIO_PIPE2(plane, _DSPASTRIDE)
5486#define DSPPOS(plane) _MMIO_PIPE2(plane, _DSPAPOS)
5487#define DSPSIZE(plane) _MMIO_PIPE2(plane, _DSPASIZE)
5488#define DSPSURF(plane) _MMIO_PIPE2(plane, _DSPASURF)
5489#define DSPTILEOFF(plane) _MMIO_PIPE2(plane, _DSPATILEOFF)
5490#define DSPLINOFF(plane) DSPADDR(plane)
5491#define DSPOFFSET(plane) _MMIO_PIPE2(plane, _DSPAOFFSET)
5492#define DSPSURFLIVE(plane) _MMIO_PIPE2(plane, _DSPASURFLIVE)
5eddb70b 5493
c14b0485
VS
5494/* CHV pipe B blender and primary plane */
5495#define _CHV_BLEND_A 0x60a00
5496#define CHV_BLEND_LEGACY (0<<30)
5497#define CHV_BLEND_ANDROID (1<<30)
5498#define CHV_BLEND_MPO (2<<30)
5499#define CHV_BLEND_MASK (3<<30)
5500#define _CHV_CANVAS_A 0x60a04
5501#define _PRIMPOS_A 0x60a08
5502#define _PRIMSIZE_A 0x60a0c
5503#define _PRIMCNSTALPHA_A 0x60a10
5504#define PRIM_CONST_ALPHA_ENABLE (1<<31)
5505
f0f59a00
VS
5506#define CHV_BLEND(pipe) _MMIO_TRANS2(pipe, _CHV_BLEND_A)
5507#define CHV_CANVAS(pipe) _MMIO_TRANS2(pipe, _CHV_CANVAS_A)
5508#define PRIMPOS(plane) _MMIO_TRANS2(plane, _PRIMPOS_A)
5509#define PRIMSIZE(plane) _MMIO_TRANS2(plane, _PRIMSIZE_A)
5510#define PRIMCNSTALPHA(plane) _MMIO_TRANS2(plane, _PRIMCNSTALPHA_A)
c14b0485 5511
446f2545
AR
5512/* Display/Sprite base address macros */
5513#define DISP_BASEADDR_MASK (0xfffff000)
5514#define I915_LO_DISPBASE(val) (val & ~DISP_BASEADDR_MASK)
5515#define I915_HI_DISPBASE(val) (val & DISP_BASEADDR_MASK)
446f2545 5516
85fa792b
VS
5517/*
5518 * VBIOS flags
5519 * gen2:
5520 * [00:06] alm,mgm
5521 * [10:16] all
5522 * [30:32] alm,mgm
5523 * gen3+:
5524 * [00:0f] all
5525 * [10:1f] all
5526 * [30:32] all
5527 */
f0f59a00
VS
5528#define SWF0(i) _MMIO(dev_priv->info.display_mmio_offset + 0x70410 + (i) * 4)
5529#define SWF1(i) _MMIO(dev_priv->info.display_mmio_offset + 0x71410 + (i) * 4)
5530#define SWF3(i) _MMIO(dev_priv->info.display_mmio_offset + 0x72414 + (i) * 4)
5531#define SWF_ILK(i) _MMIO(0x4F000 + (i) * 4)
585fb111
JB
5532
5533/* Pipe B */
5c969aa7
DL
5534#define _PIPEBDSL (dev_priv->info.display_mmio_offset + 0x71000)
5535#define _PIPEBCONF (dev_priv->info.display_mmio_offset + 0x71008)
5536#define _PIPEBSTAT (dev_priv->info.display_mmio_offset + 0x71024)
25a2e2d0
VS
5537#define _PIPEBFRAMEHIGH 0x71040
5538#define _PIPEBFRAMEPIXEL 0x71044
fd8f507c
VS
5539#define _PIPEB_FRMCOUNT_G4X (dev_priv->info.display_mmio_offset + 0x71040)
5540#define _PIPEB_FLIPCOUNT_G4X (dev_priv->info.display_mmio_offset + 0x71044)
9880b7a5 5541
585fb111
JB
5542
5543/* Display B control */
5c969aa7 5544#define _DSPBCNTR (dev_priv->info.display_mmio_offset + 0x71180)
585fb111
JB
5545#define DISPPLANE_ALPHA_TRANS_ENABLE (1<<15)
5546#define DISPPLANE_ALPHA_TRANS_DISABLE 0
5547#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
5548#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
5c969aa7
DL
5549#define _DSPBADDR (dev_priv->info.display_mmio_offset + 0x71184)
5550#define _DSPBSTRIDE (dev_priv->info.display_mmio_offset + 0x71188)
5551#define _DSPBPOS (dev_priv->info.display_mmio_offset + 0x7118C)
5552#define _DSPBSIZE (dev_priv->info.display_mmio_offset + 0x71190)
5553#define _DSPBSURF (dev_priv->info.display_mmio_offset + 0x7119C)
5554#define _DSPBTILEOFF (dev_priv->info.display_mmio_offset + 0x711A4)
5555#define _DSPBOFFSET (dev_priv->info.display_mmio_offset + 0x711A4)
5556#define _DSPBSURFLIVE (dev_priv->info.display_mmio_offset + 0x711AC)
585fb111 5557
b840d907
JB
5558/* Sprite A control */
5559#define _DVSACNTR 0x72180
5560#define DVS_ENABLE (1<<31)
5561#define DVS_GAMMA_ENABLE (1<<30)
5562#define DVS_PIXFORMAT_MASK (3<<25)
5563#define DVS_FORMAT_YUV422 (0<<25)
5564#define DVS_FORMAT_RGBX101010 (1<<25)
5565#define DVS_FORMAT_RGBX888 (2<<25)
5566#define DVS_FORMAT_RGBX161616 (3<<25)
86d3efce 5567#define DVS_PIPE_CSC_ENABLE (1<<24)
b840d907 5568#define DVS_SOURCE_KEY (1<<22)
ab2f9df1 5569#define DVS_RGB_ORDER_XBGR (1<<20)
b840d907
JB
5570#define DVS_YUV_BYTE_ORDER_MASK (3<<16)
5571#define DVS_YUV_ORDER_YUYV (0<<16)
5572#define DVS_YUV_ORDER_UYVY (1<<16)
5573#define DVS_YUV_ORDER_YVYU (2<<16)
5574#define DVS_YUV_ORDER_VYUY (3<<16)
76eebda7 5575#define DVS_ROTATE_180 (1<<15)
b840d907
JB
5576#define DVS_DEST_KEY (1<<2)
5577#define DVS_TRICKLE_FEED_DISABLE (1<<14)
5578#define DVS_TILED (1<<10)
5579#define _DVSALINOFF 0x72184
5580#define _DVSASTRIDE 0x72188
5581#define _DVSAPOS 0x7218c
5582#define _DVSASIZE 0x72190
5583#define _DVSAKEYVAL 0x72194
5584#define _DVSAKEYMSK 0x72198
5585#define _DVSASURF 0x7219c
5586#define _DVSAKEYMAXVAL 0x721a0
5587#define _DVSATILEOFF 0x721a4
5588#define _DVSASURFLIVE 0x721ac
5589#define _DVSASCALE 0x72204
5590#define DVS_SCALE_ENABLE (1<<31)
5591#define DVS_FILTER_MASK (3<<29)
5592#define DVS_FILTER_MEDIUM (0<<29)
5593#define DVS_FILTER_ENHANCING (1<<29)
5594#define DVS_FILTER_SOFTENING (2<<29)
5595#define DVS_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
5596#define DVS_VERTICAL_OFFSET_ENABLE (1<<27)
5597#define _DVSAGAMC 0x72300
5598
5599#define _DVSBCNTR 0x73180
5600#define _DVSBLINOFF 0x73184
5601#define _DVSBSTRIDE 0x73188
5602#define _DVSBPOS 0x7318c
5603#define _DVSBSIZE 0x73190
5604#define _DVSBKEYVAL 0x73194
5605#define _DVSBKEYMSK 0x73198
5606#define _DVSBSURF 0x7319c
5607#define _DVSBKEYMAXVAL 0x731a0
5608#define _DVSBTILEOFF 0x731a4
5609#define _DVSBSURFLIVE 0x731ac
5610#define _DVSBSCALE 0x73204
5611#define _DVSBGAMC 0x73300
5612
f0f59a00
VS
5613#define DVSCNTR(pipe) _MMIO_PIPE(pipe, _DVSACNTR, _DVSBCNTR)
5614#define DVSLINOFF(pipe) _MMIO_PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
5615#define DVSSTRIDE(pipe) _MMIO_PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
5616#define DVSPOS(pipe) _MMIO_PIPE(pipe, _DVSAPOS, _DVSBPOS)
5617#define DVSSURF(pipe) _MMIO_PIPE(pipe, _DVSASURF, _DVSBSURF)
5618#define DVSKEYMAX(pipe) _MMIO_PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
5619#define DVSSIZE(pipe) _MMIO_PIPE(pipe, _DVSASIZE, _DVSBSIZE)
5620#define DVSSCALE(pipe) _MMIO_PIPE(pipe, _DVSASCALE, _DVSBSCALE)
5621#define DVSTILEOFF(pipe) _MMIO_PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
5622#define DVSKEYVAL(pipe) _MMIO_PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
5623#define DVSKEYMSK(pipe) _MMIO_PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
5624#define DVSSURFLIVE(pipe) _MMIO_PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
5625
5626#define _SPRA_CTL 0x70280
5627#define SPRITE_ENABLE (1<<31)
5628#define SPRITE_GAMMA_ENABLE (1<<30)
5629#define SPRITE_PIXFORMAT_MASK (7<<25)
5630#define SPRITE_FORMAT_YUV422 (0<<25)
5631#define SPRITE_FORMAT_RGBX101010 (1<<25)
5632#define SPRITE_FORMAT_RGBX888 (2<<25)
5633#define SPRITE_FORMAT_RGBX161616 (3<<25)
5634#define SPRITE_FORMAT_YUV444 (4<<25)
5635#define SPRITE_FORMAT_XR_BGR101010 (5<<25) /* Extended range */
86d3efce 5636#define SPRITE_PIPE_CSC_ENABLE (1<<24)
b840d907
JB
5637#define SPRITE_SOURCE_KEY (1<<22)
5638#define SPRITE_RGB_ORDER_RGBX (1<<20) /* only for 888 and 161616 */
5639#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1<<19)
5640#define SPRITE_YUV_CSC_FORMAT_BT709 (1<<18) /* 0 is BT601 */
5641#define SPRITE_YUV_BYTE_ORDER_MASK (3<<16)
5642#define SPRITE_YUV_ORDER_YUYV (0<<16)
5643#define SPRITE_YUV_ORDER_UYVY (1<<16)
5644#define SPRITE_YUV_ORDER_YVYU (2<<16)
5645#define SPRITE_YUV_ORDER_VYUY (3<<16)
76eebda7 5646#define SPRITE_ROTATE_180 (1<<15)
b840d907
JB
5647#define SPRITE_TRICKLE_FEED_DISABLE (1<<14)
5648#define SPRITE_INT_GAMMA_ENABLE (1<<13)
5649#define SPRITE_TILED (1<<10)
5650#define SPRITE_DEST_KEY (1<<2)
5651#define _SPRA_LINOFF 0x70284
5652#define _SPRA_STRIDE 0x70288
5653#define _SPRA_POS 0x7028c
5654#define _SPRA_SIZE 0x70290
5655#define _SPRA_KEYVAL 0x70294
5656#define _SPRA_KEYMSK 0x70298
5657#define _SPRA_SURF 0x7029c
5658#define _SPRA_KEYMAX 0x702a0
5659#define _SPRA_TILEOFF 0x702a4
c54173a8 5660#define _SPRA_OFFSET 0x702a4
32ae46bf 5661#define _SPRA_SURFLIVE 0x702ac
b840d907
JB
5662#define _SPRA_SCALE 0x70304
5663#define SPRITE_SCALE_ENABLE (1<<31)
5664#define SPRITE_FILTER_MASK (3<<29)
5665#define SPRITE_FILTER_MEDIUM (0<<29)
5666#define SPRITE_FILTER_ENHANCING (1<<29)
5667#define SPRITE_FILTER_SOFTENING (2<<29)
5668#define SPRITE_VERTICAL_OFFSET_HALF (1<<28) /* must be enabled below */
5669#define SPRITE_VERTICAL_OFFSET_ENABLE (1<<27)
5670#define _SPRA_GAMC 0x70400
5671
5672#define _SPRB_CTL 0x71280
5673#define _SPRB_LINOFF 0x71284
5674#define _SPRB_STRIDE 0x71288
5675#define _SPRB_POS 0x7128c
5676#define _SPRB_SIZE 0x71290
5677#define _SPRB_KEYVAL 0x71294
5678#define _SPRB_KEYMSK 0x71298
5679#define _SPRB_SURF 0x7129c
5680#define _SPRB_KEYMAX 0x712a0
5681#define _SPRB_TILEOFF 0x712a4
c54173a8 5682#define _SPRB_OFFSET 0x712a4
32ae46bf 5683#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
5684#define _SPRB_SCALE 0x71304
5685#define _SPRB_GAMC 0x71400
5686
f0f59a00
VS
5687#define SPRCTL(pipe) _MMIO_PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
5688#define SPRLINOFF(pipe) _MMIO_PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
5689#define SPRSTRIDE(pipe) _MMIO_PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
5690#define SPRPOS(pipe) _MMIO_PIPE(pipe, _SPRA_POS, _SPRB_POS)
5691#define SPRSIZE(pipe) _MMIO_PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
5692#define SPRKEYVAL(pipe) _MMIO_PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
5693#define SPRKEYMSK(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
5694#define SPRSURF(pipe) _MMIO_PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
5695#define SPRKEYMAX(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
5696#define SPRTILEOFF(pipe) _MMIO_PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
5697#define SPROFFSET(pipe) _MMIO_PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
5698#define SPRSCALE(pipe) _MMIO_PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
5699#define SPRGAMC(pipe) _MMIO_PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
5700#define SPRSURFLIVE(pipe) _MMIO_PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 5701
921c3b67 5702#define _SPACNTR (VLV_DISPLAY_BASE + 0x72180)
7f1f3851 5703#define SP_ENABLE (1<<31)
4ea67bc7 5704#define SP_GAMMA_ENABLE (1<<30)
7f1f3851
JB
5705#define SP_PIXFORMAT_MASK (0xf<<26)
5706#define SP_FORMAT_YUV422 (0<<26)
5707#define SP_FORMAT_BGR565 (5<<26)
5708#define SP_FORMAT_BGRX8888 (6<<26)
5709#define SP_FORMAT_BGRA8888 (7<<26)
5710#define SP_FORMAT_RGBX1010102 (8<<26)
5711#define SP_FORMAT_RGBA1010102 (9<<26)
5712#define SP_FORMAT_RGBX8888 (0xe<<26)
5713#define SP_FORMAT_RGBA8888 (0xf<<26)
c14b0485 5714#define SP_ALPHA_PREMULTIPLY (1<<23) /* CHV pipe B */
7f1f3851
JB
5715#define SP_SOURCE_KEY (1<<22)
5716#define SP_YUV_BYTE_ORDER_MASK (3<<16)
5717#define SP_YUV_ORDER_YUYV (0<<16)
5718#define SP_YUV_ORDER_UYVY (1<<16)
5719#define SP_YUV_ORDER_YVYU (2<<16)
5720#define SP_YUV_ORDER_VYUY (3<<16)
76eebda7 5721#define SP_ROTATE_180 (1<<15)
7f1f3851 5722#define SP_TILED (1<<10)
c14b0485 5723#define SP_MIRROR (1<<8) /* CHV pipe B */
921c3b67
VS
5724#define _SPALINOFF (VLV_DISPLAY_BASE + 0x72184)
5725#define _SPASTRIDE (VLV_DISPLAY_BASE + 0x72188)
5726#define _SPAPOS (VLV_DISPLAY_BASE + 0x7218c)
5727#define _SPASIZE (VLV_DISPLAY_BASE + 0x72190)
5728#define _SPAKEYMINVAL (VLV_DISPLAY_BASE + 0x72194)
5729#define _SPAKEYMSK (VLV_DISPLAY_BASE + 0x72198)
5730#define _SPASURF (VLV_DISPLAY_BASE + 0x7219c)
5731#define _SPAKEYMAXVAL (VLV_DISPLAY_BASE + 0x721a0)
5732#define _SPATILEOFF (VLV_DISPLAY_BASE + 0x721a4)
5733#define _SPACONSTALPHA (VLV_DISPLAY_BASE + 0x721a8)
c14b0485 5734#define SP_CONST_ALPHA_ENABLE (1<<31)
921c3b67
VS
5735#define _SPAGAMC (VLV_DISPLAY_BASE + 0x721f4)
5736
5737#define _SPBCNTR (VLV_DISPLAY_BASE + 0x72280)
5738#define _SPBLINOFF (VLV_DISPLAY_BASE + 0x72284)
5739#define _SPBSTRIDE (VLV_DISPLAY_BASE + 0x72288)
5740#define _SPBPOS (VLV_DISPLAY_BASE + 0x7228c)
5741#define _SPBSIZE (VLV_DISPLAY_BASE + 0x72290)
5742#define _SPBKEYMINVAL (VLV_DISPLAY_BASE + 0x72294)
5743#define _SPBKEYMSK (VLV_DISPLAY_BASE + 0x72298)
5744#define _SPBSURF (VLV_DISPLAY_BASE + 0x7229c)
5745#define _SPBKEYMAXVAL (VLV_DISPLAY_BASE + 0x722a0)
5746#define _SPBTILEOFF (VLV_DISPLAY_BASE + 0x722a4)
5747#define _SPBCONSTALPHA (VLV_DISPLAY_BASE + 0x722a8)
5748#define _SPBGAMC (VLV_DISPLAY_BASE + 0x722f4)
7f1f3851 5749
83c04a62
VS
5750#define _MMIO_VLV_SPR(pipe, plane_id, reg_a, reg_b) \
5751 _MMIO_PIPE((pipe) * 2 + (plane_id) - PLANE_SPRITE0, (reg_a), (reg_b))
5752
5753#define SPCNTR(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACNTR, _SPBCNTR)
5754#define SPLINOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPALINOFF, _SPBLINOFF)
5755#define SPSTRIDE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASTRIDE, _SPBSTRIDE)
5756#define SPPOS(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAPOS, _SPBPOS)
5757#define SPSIZE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASIZE, _SPBSIZE)
5758#define SPKEYMINVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMINVAL, _SPBKEYMINVAL)
5759#define SPKEYMSK(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMSK, _SPBKEYMSK)
5760#define SPSURF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASURF, _SPBSURF)
5761#define SPKEYMAXVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMAXVAL, _SPBKEYMAXVAL)
5762#define SPTILEOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPATILEOFF, _SPBTILEOFF)
5763#define SPCONSTALPHA(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACONSTALPHA, _SPBCONSTALPHA)
5764#define SPGAMC(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAGAMC, _SPBGAMC)
7f1f3851 5765
6ca2aeb2
VS
5766/*
5767 * CHV pipe B sprite CSC
5768 *
5769 * |cr| |c0 c1 c2| |cr + cr_ioff| |cr_ooff|
5770 * |yg| = |c3 c4 c5| x |yg + yg_ioff| + |yg_ooff|
5771 * |cb| |c6 c7 c8| |cb + cr_ioff| |cb_ooff|
5772 */
83c04a62
VS
5773#define _MMIO_CHV_SPCSC(plane_id, reg) \
5774 _MMIO(VLV_DISPLAY_BASE + ((plane_id) - PLANE_SPRITE0) * 0x1000 + (reg))
5775
5776#define SPCSCYGOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d900)
5777#define SPCSCCBOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d904)
5778#define SPCSCCROFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d908)
6ca2aeb2
VS
5779#define SPCSC_OOFF(x) (((x) & 0x7ff) << 16) /* s11 */
5780#define SPCSC_IOFF(x) (((x) & 0x7ff) << 0) /* s11 */
5781
83c04a62
VS
5782#define SPCSCC01(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d90c)
5783#define SPCSCC23(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d910)
5784#define SPCSCC45(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d914)
5785#define SPCSCC67(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d918)
5786#define SPCSCC8(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d91c)
6ca2aeb2
VS
5787#define SPCSC_C1(x) (((x) & 0x7fff) << 16) /* s3.12 */
5788#define SPCSC_C0(x) (((x) & 0x7fff) << 0) /* s3.12 */
5789
83c04a62
VS
5790#define SPCSCYGICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d920)
5791#define SPCSCCBICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d924)
5792#define SPCSCCRICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d928)
6ca2aeb2
VS
5793#define SPCSC_IMAX(x) (((x) & 0x7ff) << 16) /* s11 */
5794#define SPCSC_IMIN(x) (((x) & 0x7ff) << 0) /* s11 */
5795
83c04a62
VS
5796#define SPCSCYGOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d92c)
5797#define SPCSCCBOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d930)
5798#define SPCSCCROCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d934)
6ca2aeb2
VS
5799#define SPCSC_OMAX(x) ((x) << 16) /* u10 */
5800#define SPCSC_OMIN(x) ((x) << 0) /* u10 */
5801
70d21f0e
DL
5802/* Skylake plane registers */
5803
5804#define _PLANE_CTL_1_A 0x70180
5805#define _PLANE_CTL_2_A 0x70280
5806#define _PLANE_CTL_3_A 0x70380
5807#define PLANE_CTL_ENABLE (1 << 31)
5808#define PLANE_CTL_PIPE_GAMMA_ENABLE (1 << 30)
5809#define PLANE_CTL_FORMAT_MASK (0xf << 24)
5810#define PLANE_CTL_FORMAT_YUV422 ( 0 << 24)
5811#define PLANE_CTL_FORMAT_NV12 ( 1 << 24)
5812#define PLANE_CTL_FORMAT_XRGB_2101010 ( 2 << 24)
5813#define PLANE_CTL_FORMAT_XRGB_8888 ( 4 << 24)
5814#define PLANE_CTL_FORMAT_XRGB_16161616F ( 6 << 24)
5815#define PLANE_CTL_FORMAT_AYUV ( 8 << 24)
5816#define PLANE_CTL_FORMAT_INDEXED ( 12 << 24)
5817#define PLANE_CTL_FORMAT_RGB_565 ( 14 << 24)
5818#define PLANE_CTL_PIPE_CSC_ENABLE (1 << 23)
dc2a41b4
DL
5819#define PLANE_CTL_KEY_ENABLE_MASK (0x3 << 21)
5820#define PLANE_CTL_KEY_ENABLE_SOURCE ( 1 << 21)
5821#define PLANE_CTL_KEY_ENABLE_DESTINATION ( 2 << 21)
70d21f0e
DL
5822#define PLANE_CTL_ORDER_BGRX (0 << 20)
5823#define PLANE_CTL_ORDER_RGBX (1 << 20)
5824#define PLANE_CTL_YUV422_ORDER_MASK (0x3 << 16)
5825#define PLANE_CTL_YUV422_YUYV ( 0 << 16)
5826#define PLANE_CTL_YUV422_UYVY ( 1 << 16)
5827#define PLANE_CTL_YUV422_YVYU ( 2 << 16)
5828#define PLANE_CTL_YUV422_VYUY ( 3 << 16)
5829#define PLANE_CTL_DECOMPRESSION_ENABLE (1 << 15)
5830#define PLANE_CTL_TRICKLE_FEED_DISABLE (1 << 14)
5831#define PLANE_CTL_PLANE_GAMMA_DISABLE (1 << 13)
5832#define PLANE_CTL_TILED_MASK (0x7 << 10)
5833#define PLANE_CTL_TILED_LINEAR ( 0 << 10)
5834#define PLANE_CTL_TILED_X ( 1 << 10)
5835#define PLANE_CTL_TILED_Y ( 4 << 10)
5836#define PLANE_CTL_TILED_YF ( 5 << 10)
5837#define PLANE_CTL_ALPHA_MASK (0x3 << 4)
5838#define PLANE_CTL_ALPHA_DISABLE ( 0 << 4)
5839#define PLANE_CTL_ALPHA_SW_PREMULTIPLY ( 2 << 4)
5840#define PLANE_CTL_ALPHA_HW_PREMULTIPLY ( 3 << 4)
1447dde0
SJ
5841#define PLANE_CTL_ROTATE_MASK 0x3
5842#define PLANE_CTL_ROTATE_0 0x0
3b7a5119 5843#define PLANE_CTL_ROTATE_90 0x1
1447dde0 5844#define PLANE_CTL_ROTATE_180 0x2
3b7a5119 5845#define PLANE_CTL_ROTATE_270 0x3
70d21f0e
DL
5846#define _PLANE_STRIDE_1_A 0x70188
5847#define _PLANE_STRIDE_2_A 0x70288
5848#define _PLANE_STRIDE_3_A 0x70388
5849#define _PLANE_POS_1_A 0x7018c
5850#define _PLANE_POS_2_A 0x7028c
5851#define _PLANE_POS_3_A 0x7038c
5852#define _PLANE_SIZE_1_A 0x70190
5853#define _PLANE_SIZE_2_A 0x70290
5854#define _PLANE_SIZE_3_A 0x70390
5855#define _PLANE_SURF_1_A 0x7019c
5856#define _PLANE_SURF_2_A 0x7029c
5857#define _PLANE_SURF_3_A 0x7039c
5858#define _PLANE_OFFSET_1_A 0x701a4
5859#define _PLANE_OFFSET_2_A 0x702a4
5860#define _PLANE_OFFSET_3_A 0x703a4
dc2a41b4
DL
5861#define _PLANE_KEYVAL_1_A 0x70194
5862#define _PLANE_KEYVAL_2_A 0x70294
5863#define _PLANE_KEYMSK_1_A 0x70198
5864#define _PLANE_KEYMSK_2_A 0x70298
5865#define _PLANE_KEYMAX_1_A 0x701a0
5866#define _PLANE_KEYMAX_2_A 0x702a0
8211bd5b
DL
5867#define _PLANE_BUF_CFG_1_A 0x7027c
5868#define _PLANE_BUF_CFG_2_A 0x7037c
2cd601c6
CK
5869#define _PLANE_NV12_BUF_CFG_1_A 0x70278
5870#define _PLANE_NV12_BUF_CFG_2_A 0x70378
70d21f0e
DL
5871
5872#define _PLANE_CTL_1_B 0x71180
5873#define _PLANE_CTL_2_B 0x71280
5874#define _PLANE_CTL_3_B 0x71380
5875#define _PLANE_CTL_1(pipe) _PIPE(pipe, _PLANE_CTL_1_A, _PLANE_CTL_1_B)
5876#define _PLANE_CTL_2(pipe) _PIPE(pipe, _PLANE_CTL_2_A, _PLANE_CTL_2_B)
5877#define _PLANE_CTL_3(pipe) _PIPE(pipe, _PLANE_CTL_3_A, _PLANE_CTL_3_B)
5878#define PLANE_CTL(pipe, plane) \
f0f59a00 5879 _MMIO_PLANE(plane, _PLANE_CTL_1(pipe), _PLANE_CTL_2(pipe))
70d21f0e
DL
5880
5881#define _PLANE_STRIDE_1_B 0x71188
5882#define _PLANE_STRIDE_2_B 0x71288
5883#define _PLANE_STRIDE_3_B 0x71388
5884#define _PLANE_STRIDE_1(pipe) \
5885 _PIPE(pipe, _PLANE_STRIDE_1_A, _PLANE_STRIDE_1_B)
5886#define _PLANE_STRIDE_2(pipe) \
5887 _PIPE(pipe, _PLANE_STRIDE_2_A, _PLANE_STRIDE_2_B)
5888#define _PLANE_STRIDE_3(pipe) \
5889 _PIPE(pipe, _PLANE_STRIDE_3_A, _PLANE_STRIDE_3_B)
5890#define PLANE_STRIDE(pipe, plane) \
f0f59a00 5891 _MMIO_PLANE(plane, _PLANE_STRIDE_1(pipe), _PLANE_STRIDE_2(pipe))
70d21f0e
DL
5892
5893#define _PLANE_POS_1_B 0x7118c
5894#define _PLANE_POS_2_B 0x7128c
5895#define _PLANE_POS_3_B 0x7138c
5896#define _PLANE_POS_1(pipe) _PIPE(pipe, _PLANE_POS_1_A, _PLANE_POS_1_B)
5897#define _PLANE_POS_2(pipe) _PIPE(pipe, _PLANE_POS_2_A, _PLANE_POS_2_B)
5898#define _PLANE_POS_3(pipe) _PIPE(pipe, _PLANE_POS_3_A, _PLANE_POS_3_B)
5899#define PLANE_POS(pipe, plane) \
f0f59a00 5900 _MMIO_PLANE(plane, _PLANE_POS_1(pipe), _PLANE_POS_2(pipe))
70d21f0e
DL
5901
5902#define _PLANE_SIZE_1_B 0x71190
5903#define _PLANE_SIZE_2_B 0x71290
5904#define _PLANE_SIZE_3_B 0x71390
5905#define _PLANE_SIZE_1(pipe) _PIPE(pipe, _PLANE_SIZE_1_A, _PLANE_SIZE_1_B)
5906#define _PLANE_SIZE_2(pipe) _PIPE(pipe, _PLANE_SIZE_2_A, _PLANE_SIZE_2_B)
5907#define _PLANE_SIZE_3(pipe) _PIPE(pipe, _PLANE_SIZE_3_A, _PLANE_SIZE_3_B)
5908#define PLANE_SIZE(pipe, plane) \
f0f59a00 5909 _MMIO_PLANE(plane, _PLANE_SIZE_1(pipe), _PLANE_SIZE_2(pipe))
70d21f0e
DL
5910
5911#define _PLANE_SURF_1_B 0x7119c
5912#define _PLANE_SURF_2_B 0x7129c
5913#define _PLANE_SURF_3_B 0x7139c
5914#define _PLANE_SURF_1(pipe) _PIPE(pipe, _PLANE_SURF_1_A, _PLANE_SURF_1_B)
5915#define _PLANE_SURF_2(pipe) _PIPE(pipe, _PLANE_SURF_2_A, _PLANE_SURF_2_B)
5916#define _PLANE_SURF_3(pipe) _PIPE(pipe, _PLANE_SURF_3_A, _PLANE_SURF_3_B)
5917#define PLANE_SURF(pipe, plane) \
f0f59a00 5918 _MMIO_PLANE(plane, _PLANE_SURF_1(pipe), _PLANE_SURF_2(pipe))
70d21f0e
DL
5919
5920#define _PLANE_OFFSET_1_B 0x711a4
5921#define _PLANE_OFFSET_2_B 0x712a4
5922#define _PLANE_OFFSET_1(pipe) _PIPE(pipe, _PLANE_OFFSET_1_A, _PLANE_OFFSET_1_B)
5923#define _PLANE_OFFSET_2(pipe) _PIPE(pipe, _PLANE_OFFSET_2_A, _PLANE_OFFSET_2_B)
5924#define PLANE_OFFSET(pipe, plane) \
f0f59a00 5925 _MMIO_PLANE(plane, _PLANE_OFFSET_1(pipe), _PLANE_OFFSET_2(pipe))
70d21f0e 5926
dc2a41b4
DL
5927#define _PLANE_KEYVAL_1_B 0x71194
5928#define _PLANE_KEYVAL_2_B 0x71294
5929#define _PLANE_KEYVAL_1(pipe) _PIPE(pipe, _PLANE_KEYVAL_1_A, _PLANE_KEYVAL_1_B)
5930#define _PLANE_KEYVAL_2(pipe) _PIPE(pipe, _PLANE_KEYVAL_2_A, _PLANE_KEYVAL_2_B)
5931#define PLANE_KEYVAL(pipe, plane) \
f0f59a00 5932 _MMIO_PLANE(plane, _PLANE_KEYVAL_1(pipe), _PLANE_KEYVAL_2(pipe))
dc2a41b4
DL
5933
5934#define _PLANE_KEYMSK_1_B 0x71198
5935#define _PLANE_KEYMSK_2_B 0x71298
5936#define _PLANE_KEYMSK_1(pipe) _PIPE(pipe, _PLANE_KEYMSK_1_A, _PLANE_KEYMSK_1_B)
5937#define _PLANE_KEYMSK_2(pipe) _PIPE(pipe, _PLANE_KEYMSK_2_A, _PLANE_KEYMSK_2_B)
5938#define PLANE_KEYMSK(pipe, plane) \
f0f59a00 5939 _MMIO_PLANE(plane, _PLANE_KEYMSK_1(pipe), _PLANE_KEYMSK_2(pipe))
dc2a41b4
DL
5940
5941#define _PLANE_KEYMAX_1_B 0x711a0
5942#define _PLANE_KEYMAX_2_B 0x712a0
5943#define _PLANE_KEYMAX_1(pipe) _PIPE(pipe, _PLANE_KEYMAX_1_A, _PLANE_KEYMAX_1_B)
5944#define _PLANE_KEYMAX_2(pipe) _PIPE(pipe, _PLANE_KEYMAX_2_A, _PLANE_KEYMAX_2_B)
5945#define PLANE_KEYMAX(pipe, plane) \
f0f59a00 5946 _MMIO_PLANE(plane, _PLANE_KEYMAX_1(pipe), _PLANE_KEYMAX_2(pipe))
dc2a41b4 5947
8211bd5b
DL
5948#define _PLANE_BUF_CFG_1_B 0x7127c
5949#define _PLANE_BUF_CFG_2_B 0x7137c
5950#define _PLANE_BUF_CFG_1(pipe) \
5951 _PIPE(pipe, _PLANE_BUF_CFG_1_A, _PLANE_BUF_CFG_1_B)
5952#define _PLANE_BUF_CFG_2(pipe) \
5953 _PIPE(pipe, _PLANE_BUF_CFG_2_A, _PLANE_BUF_CFG_2_B)
5954#define PLANE_BUF_CFG(pipe, plane) \
f0f59a00 5955 _MMIO_PLANE(plane, _PLANE_BUF_CFG_1(pipe), _PLANE_BUF_CFG_2(pipe))
8211bd5b 5956
2cd601c6
CK
5957#define _PLANE_NV12_BUF_CFG_1_B 0x71278
5958#define _PLANE_NV12_BUF_CFG_2_B 0x71378
5959#define _PLANE_NV12_BUF_CFG_1(pipe) \
5960 _PIPE(pipe, _PLANE_NV12_BUF_CFG_1_A, _PLANE_NV12_BUF_CFG_1_B)
5961#define _PLANE_NV12_BUF_CFG_2(pipe) \
5962 _PIPE(pipe, _PLANE_NV12_BUF_CFG_2_A, _PLANE_NV12_BUF_CFG_2_B)
5963#define PLANE_NV12_BUF_CFG(pipe, plane) \
f0f59a00 5964 _MMIO_PLANE(plane, _PLANE_NV12_BUF_CFG_1(pipe), _PLANE_NV12_BUF_CFG_2(pipe))
2cd601c6 5965
8211bd5b
DL
5966/* SKL new cursor registers */
5967#define _CUR_BUF_CFG_A 0x7017c
5968#define _CUR_BUF_CFG_B 0x7117c
f0f59a00 5969#define CUR_BUF_CFG(pipe) _MMIO_PIPE(pipe, _CUR_BUF_CFG_A, _CUR_BUF_CFG_B)
8211bd5b 5970
585fb111 5971/* VBIOS regs */
f0f59a00 5972#define VGACNTRL _MMIO(0x71400)
585fb111
JB
5973# define VGA_DISP_DISABLE (1 << 31)
5974# define VGA_2X_MODE (1 << 30)
5975# define VGA_PIPE_B_SELECT (1 << 29)
5976
f0f59a00 5977#define VLV_VGACNTRL _MMIO(VLV_DISPLAY_BASE + 0x71400)
766aa1c4 5978
f2b115e6 5979/* Ironlake */
b9055052 5980
f0f59a00 5981#define CPU_VGACNTRL _MMIO(0x41000)
b9055052 5982
f0f59a00 5983#define DIGITAL_PORT_HOTPLUG_CNTRL _MMIO(0x44030)
40bfd7a3
VS
5984#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
5985#define DIGITAL_PORTA_PULSE_DURATION_2ms (0 << 2) /* pre-HSW */
5986#define DIGITAL_PORTA_PULSE_DURATION_4_5ms (1 << 2) /* pre-HSW */
5987#define DIGITAL_PORTA_PULSE_DURATION_6ms (2 << 2) /* pre-HSW */
5988#define DIGITAL_PORTA_PULSE_DURATION_100ms (3 << 2) /* pre-HSW */
5989#define DIGITAL_PORTA_PULSE_DURATION_MASK (3 << 2) /* pre-HSW */
5990#define DIGITAL_PORTA_HOTPLUG_STATUS_MASK (3 << 0)
5991#define DIGITAL_PORTA_HOTPLUG_NO_DETECT (0 << 0)
5992#define DIGITAL_PORTA_HOTPLUG_SHORT_DETECT (1 << 0)
5993#define DIGITAL_PORTA_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
5994
5995/* refresh rate hardware control */
f0f59a00 5996#define RR_HW_CTL _MMIO(0x45300)
b9055052
ZW
5997#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
5998#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
5999
f0f59a00 6000#define FDI_PLL_BIOS_0 _MMIO(0x46000)
021357ac 6001#define FDI_PLL_FB_CLOCK_MASK 0xff
f0f59a00
VS
6002#define FDI_PLL_BIOS_1 _MMIO(0x46004)
6003#define FDI_PLL_BIOS_2 _MMIO(0x46008)
6004#define DISPLAY_PORT_PLL_BIOS_0 _MMIO(0x4600c)
6005#define DISPLAY_PORT_PLL_BIOS_1 _MMIO(0x46010)
6006#define DISPLAY_PORT_PLL_BIOS_2 _MMIO(0x46014)
b9055052 6007
f0f59a00 6008#define PCH_3DCGDIS0 _MMIO(0x46020)
8956c8bb
EA
6009# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
6010# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
6011
f0f59a00 6012#define PCH_3DCGDIS1 _MMIO(0x46024)
06f37751
EA
6013# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
6014
f0f59a00 6015#define FDI_PLL_FREQ_CTL _MMIO(0x46030)
b9055052
ZW
6016#define FDI_PLL_FREQ_CHANGE_REQUEST (1<<24)
6017#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
6018#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
6019
6020
a57c774a 6021#define _PIPEA_DATA_M1 0x60030
5eddb70b 6022#define PIPE_DATA_M1_OFFSET 0
a57c774a 6023#define _PIPEA_DATA_N1 0x60034
5eddb70b 6024#define PIPE_DATA_N1_OFFSET 0
b9055052 6025
a57c774a 6026#define _PIPEA_DATA_M2 0x60038
5eddb70b 6027#define PIPE_DATA_M2_OFFSET 0
a57c774a 6028#define _PIPEA_DATA_N2 0x6003c
5eddb70b 6029#define PIPE_DATA_N2_OFFSET 0
b9055052 6030
a57c774a 6031#define _PIPEA_LINK_M1 0x60040
5eddb70b 6032#define PIPE_LINK_M1_OFFSET 0
a57c774a 6033#define _PIPEA_LINK_N1 0x60044
5eddb70b 6034#define PIPE_LINK_N1_OFFSET 0
b9055052 6035
a57c774a 6036#define _PIPEA_LINK_M2 0x60048
5eddb70b 6037#define PIPE_LINK_M2_OFFSET 0
a57c774a 6038#define _PIPEA_LINK_N2 0x6004c
5eddb70b 6039#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
6040
6041/* PIPEB timing regs are same start from 0x61000 */
6042
a57c774a
AK
6043#define _PIPEB_DATA_M1 0x61030
6044#define _PIPEB_DATA_N1 0x61034
6045#define _PIPEB_DATA_M2 0x61038
6046#define _PIPEB_DATA_N2 0x6103c
6047#define _PIPEB_LINK_M1 0x61040
6048#define _PIPEB_LINK_N1 0x61044
6049#define _PIPEB_LINK_M2 0x61048
6050#define _PIPEB_LINK_N2 0x6104c
6051
f0f59a00
VS
6052#define PIPE_DATA_M1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M1)
6053#define PIPE_DATA_N1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N1)
6054#define PIPE_DATA_M2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M2)
6055#define PIPE_DATA_N2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N2)
6056#define PIPE_LINK_M1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M1)
6057#define PIPE_LINK_N1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N1)
6058#define PIPE_LINK_M2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M2)
6059#define PIPE_LINK_N2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N2)
b9055052
ZW
6060
6061/* CPU panel fitter */
9db4a9c7
JB
6062/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
6063#define _PFA_CTL_1 0x68080
6064#define _PFB_CTL_1 0x68880
b9055052 6065#define PF_ENABLE (1<<31)
13888d78
PZ
6066#define PF_PIPE_SEL_MASK_IVB (3<<29)
6067#define PF_PIPE_SEL_IVB(pipe) ((pipe)<<29)
b1f60b70
ZW
6068#define PF_FILTER_MASK (3<<23)
6069#define PF_FILTER_PROGRAMMED (0<<23)
6070#define PF_FILTER_MED_3x3 (1<<23)
6071#define PF_FILTER_EDGE_ENHANCE (2<<23)
6072#define PF_FILTER_EDGE_SOFTEN (3<<23)
9db4a9c7
JB
6073#define _PFA_WIN_SZ 0x68074
6074#define _PFB_WIN_SZ 0x68874
6075#define _PFA_WIN_POS 0x68070
6076#define _PFB_WIN_POS 0x68870
6077#define _PFA_VSCALE 0x68084
6078#define _PFB_VSCALE 0x68884
6079#define _PFA_HSCALE 0x68090
6080#define _PFB_HSCALE 0x68890
6081
f0f59a00
VS
6082#define PF_CTL(pipe) _MMIO_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
6083#define PF_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
6084#define PF_WIN_POS(pipe) _MMIO_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
6085#define PF_VSCALE(pipe) _MMIO_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
6086#define PF_HSCALE(pipe) _MMIO_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052 6087
bd2e244f
JB
6088#define _PSA_CTL 0x68180
6089#define _PSB_CTL 0x68980
6090#define PS_ENABLE (1<<31)
6091#define _PSA_WIN_SZ 0x68174
6092#define _PSB_WIN_SZ 0x68974
6093#define _PSA_WIN_POS 0x68170
6094#define _PSB_WIN_POS 0x68970
6095
f0f59a00
VS
6096#define PS_CTL(pipe) _MMIO_PIPE(pipe, _PSA_CTL, _PSB_CTL)
6097#define PS_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PSA_WIN_SZ, _PSB_WIN_SZ)
6098#define PS_WIN_POS(pipe) _MMIO_PIPE(pipe, _PSA_WIN_POS, _PSB_WIN_POS)
bd2e244f 6099
1c9a2d4a
CK
6100/*
6101 * Skylake scalers
6102 */
6103#define _PS_1A_CTRL 0x68180
6104#define _PS_2A_CTRL 0x68280
6105#define _PS_1B_CTRL 0x68980
6106#define _PS_2B_CTRL 0x68A80
6107#define _PS_1C_CTRL 0x69180
6108#define PS_SCALER_EN (1 << 31)
6109#define PS_SCALER_MODE_MASK (3 << 28)
6110#define PS_SCALER_MODE_DYN (0 << 28)
6111#define PS_SCALER_MODE_HQ (1 << 28)
6112#define PS_PLANE_SEL_MASK (7 << 25)
68d97538 6113#define PS_PLANE_SEL(plane) (((plane) + 1) << 25)
1c9a2d4a
CK
6114#define PS_FILTER_MASK (3 << 23)
6115#define PS_FILTER_MEDIUM (0 << 23)
6116#define PS_FILTER_EDGE_ENHANCE (2 << 23)
6117#define PS_FILTER_BILINEAR (3 << 23)
6118#define PS_VERT3TAP (1 << 21)
6119#define PS_VERT_INT_INVERT_FIELD1 (0 << 20)
6120#define PS_VERT_INT_INVERT_FIELD0 (1 << 20)
6121#define PS_PWRUP_PROGRESS (1 << 17)
6122#define PS_V_FILTER_BYPASS (1 << 8)
6123#define PS_VADAPT_EN (1 << 7)
6124#define PS_VADAPT_MODE_MASK (3 << 5)
6125#define PS_VADAPT_MODE_LEAST_ADAPT (0 << 5)
6126#define PS_VADAPT_MODE_MOD_ADAPT (1 << 5)
6127#define PS_VADAPT_MODE_MOST_ADAPT (3 << 5)
6128
6129#define _PS_PWR_GATE_1A 0x68160
6130#define _PS_PWR_GATE_2A 0x68260
6131#define _PS_PWR_GATE_1B 0x68960
6132#define _PS_PWR_GATE_2B 0x68A60
6133#define _PS_PWR_GATE_1C 0x69160
6134#define PS_PWR_GATE_DIS_OVERRIDE (1 << 31)
6135#define PS_PWR_GATE_SETTLING_TIME_32 (0 << 3)
6136#define PS_PWR_GATE_SETTLING_TIME_64 (1 << 3)
6137#define PS_PWR_GATE_SETTLING_TIME_96 (2 << 3)
6138#define PS_PWR_GATE_SETTLING_TIME_128 (3 << 3)
6139#define PS_PWR_GATE_SLPEN_8 0
6140#define PS_PWR_GATE_SLPEN_16 1
6141#define PS_PWR_GATE_SLPEN_24 2
6142#define PS_PWR_GATE_SLPEN_32 3
6143
6144#define _PS_WIN_POS_1A 0x68170
6145#define _PS_WIN_POS_2A 0x68270
6146#define _PS_WIN_POS_1B 0x68970
6147#define _PS_WIN_POS_2B 0x68A70
6148#define _PS_WIN_POS_1C 0x69170
6149
6150#define _PS_WIN_SZ_1A 0x68174
6151#define _PS_WIN_SZ_2A 0x68274
6152#define _PS_WIN_SZ_1B 0x68974
6153#define _PS_WIN_SZ_2B 0x68A74
6154#define _PS_WIN_SZ_1C 0x69174
6155
6156#define _PS_VSCALE_1A 0x68184
6157#define _PS_VSCALE_2A 0x68284
6158#define _PS_VSCALE_1B 0x68984
6159#define _PS_VSCALE_2B 0x68A84
6160#define _PS_VSCALE_1C 0x69184
6161
6162#define _PS_HSCALE_1A 0x68190
6163#define _PS_HSCALE_2A 0x68290
6164#define _PS_HSCALE_1B 0x68990
6165#define _PS_HSCALE_2B 0x68A90
6166#define _PS_HSCALE_1C 0x69190
6167
6168#define _PS_VPHASE_1A 0x68188
6169#define _PS_VPHASE_2A 0x68288
6170#define _PS_VPHASE_1B 0x68988
6171#define _PS_VPHASE_2B 0x68A88
6172#define _PS_VPHASE_1C 0x69188
6173
6174#define _PS_HPHASE_1A 0x68194
6175#define _PS_HPHASE_2A 0x68294
6176#define _PS_HPHASE_1B 0x68994
6177#define _PS_HPHASE_2B 0x68A94
6178#define _PS_HPHASE_1C 0x69194
6179
6180#define _PS_ECC_STAT_1A 0x681D0
6181#define _PS_ECC_STAT_2A 0x682D0
6182#define _PS_ECC_STAT_1B 0x689D0
6183#define _PS_ECC_STAT_2B 0x68AD0
6184#define _PS_ECC_STAT_1C 0x691D0
6185
6186#define _ID(id, a, b) ((a) + (id)*((b)-(a)))
f0f59a00 6187#define SKL_PS_CTRL(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6188 _ID(id, _PS_1A_CTRL, _PS_2A_CTRL), \
6189 _ID(id, _PS_1B_CTRL, _PS_2B_CTRL))
f0f59a00 6190#define SKL_PS_PWR_GATE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6191 _ID(id, _PS_PWR_GATE_1A, _PS_PWR_GATE_2A), \
6192 _ID(id, _PS_PWR_GATE_1B, _PS_PWR_GATE_2B))
f0f59a00 6193#define SKL_PS_WIN_POS(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6194 _ID(id, _PS_WIN_POS_1A, _PS_WIN_POS_2A), \
6195 _ID(id, _PS_WIN_POS_1B, _PS_WIN_POS_2B))
f0f59a00 6196#define SKL_PS_WIN_SZ(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6197 _ID(id, _PS_WIN_SZ_1A, _PS_WIN_SZ_2A), \
6198 _ID(id, _PS_WIN_SZ_1B, _PS_WIN_SZ_2B))
f0f59a00 6199#define SKL_PS_VSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6200 _ID(id, _PS_VSCALE_1A, _PS_VSCALE_2A), \
6201 _ID(id, _PS_VSCALE_1B, _PS_VSCALE_2B))
f0f59a00 6202#define SKL_PS_HSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6203 _ID(id, _PS_HSCALE_1A, _PS_HSCALE_2A), \
6204 _ID(id, _PS_HSCALE_1B, _PS_HSCALE_2B))
f0f59a00 6205#define SKL_PS_VPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6206 _ID(id, _PS_VPHASE_1A, _PS_VPHASE_2A), \
6207 _ID(id, _PS_VPHASE_1B, _PS_VPHASE_2B))
f0f59a00 6208#define SKL_PS_HPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
6209 _ID(id, _PS_HPHASE_1A, _PS_HPHASE_2A), \
6210 _ID(id, _PS_HPHASE_1B, _PS_HPHASE_2B))
f0f59a00 6211#define SKL_PS_ECC_STAT(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a 6212 _ID(id, _PS_ECC_STAT_1A, _PS_ECC_STAT_2A), \
9bca5d0c 6213 _ID(id, _PS_ECC_STAT_1B, _PS_ECC_STAT_2B))
1c9a2d4a 6214
b9055052 6215/* legacy palette */
9db4a9c7
JB
6216#define _LGC_PALETTE_A 0x4a000
6217#define _LGC_PALETTE_B 0x4a800
f0f59a00 6218#define LGC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B) + (i) * 4)
b9055052 6219
42db64ef
PZ
6220#define _GAMMA_MODE_A 0x4a480
6221#define _GAMMA_MODE_B 0x4ac80
f0f59a00 6222#define GAMMA_MODE(pipe) _MMIO_PIPE(pipe, _GAMMA_MODE_A, _GAMMA_MODE_B)
42db64ef 6223#define GAMMA_MODE_MODE_MASK (3 << 0)
3eff4faa
DV
6224#define GAMMA_MODE_MODE_8BIT (0 << 0)
6225#define GAMMA_MODE_MODE_10BIT (1 << 0)
6226#define GAMMA_MODE_MODE_12BIT (2 << 0)
42db64ef
PZ
6227#define GAMMA_MODE_MODE_SPLIT (3 << 0)
6228
8337206d 6229/* DMC/CSR */
f0f59a00 6230#define CSR_PROGRAM(i) _MMIO(0x80000 + (i) * 4)
6fb403de
MK
6231#define CSR_SSP_BASE_ADDR_GEN9 0x00002FC0
6232#define CSR_HTP_ADDR_SKL 0x00500034
f0f59a00
VS
6233#define CSR_SSP_BASE _MMIO(0x8F074)
6234#define CSR_HTP_SKL _MMIO(0x8F004)
6235#define CSR_LAST_WRITE _MMIO(0x8F034)
6fb403de
MK
6236#define CSR_LAST_WRITE_VALUE 0xc003b400
6237/* MMIO address range for CSR program (0x80000 - 0x82FFF) */
6238#define CSR_MMIO_START_RANGE 0x80000
6239#define CSR_MMIO_END_RANGE 0x8FFFF
f0f59a00
VS
6240#define SKL_CSR_DC3_DC5_COUNT _MMIO(0x80030)
6241#define SKL_CSR_DC5_DC6_COUNT _MMIO(0x8002C)
6242#define BXT_CSR_DC3_DC5_COUNT _MMIO(0x80038)
8337206d 6243
b9055052
ZW
6244/* interrupts */
6245#define DE_MASTER_IRQ_CONTROL (1 << 31)
6246#define DE_SPRITEB_FLIP_DONE (1 << 29)
6247#define DE_SPRITEA_FLIP_DONE (1 << 28)
6248#define DE_PLANEB_FLIP_DONE (1 << 27)
6249#define DE_PLANEA_FLIP_DONE (1 << 26)
40da17c2 6250#define DE_PLANE_FLIP_DONE(plane) (1 << (26 + (plane)))
b9055052
ZW
6251#define DE_PCU_EVENT (1 << 25)
6252#define DE_GTT_FAULT (1 << 24)
6253#define DE_POISON (1 << 23)
6254#define DE_PERFORM_COUNTER (1 << 22)
6255#define DE_PCH_EVENT (1 << 21)
6256#define DE_AUX_CHANNEL_A (1 << 20)
6257#define DE_DP_A_HOTPLUG (1 << 19)
6258#define DE_GSE (1 << 18)
6259#define DE_PIPEB_VBLANK (1 << 15)
6260#define DE_PIPEB_EVEN_FIELD (1 << 14)
6261#define DE_PIPEB_ODD_FIELD (1 << 13)
6262#define DE_PIPEB_LINE_COMPARE (1 << 12)
6263#define DE_PIPEB_VSYNC (1 << 11)
5b3a856b 6264#define DE_PIPEB_CRC_DONE (1 << 10)
b9055052
ZW
6265#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
6266#define DE_PIPEA_VBLANK (1 << 7)
40da17c2 6267#define DE_PIPE_VBLANK(pipe) (1 << (7 + 8*(pipe)))
b9055052
ZW
6268#define DE_PIPEA_EVEN_FIELD (1 << 6)
6269#define DE_PIPEA_ODD_FIELD (1 << 5)
6270#define DE_PIPEA_LINE_COMPARE (1 << 4)
6271#define DE_PIPEA_VSYNC (1 << 3)
5b3a856b 6272#define DE_PIPEA_CRC_DONE (1 << 2)
40da17c2 6273#define DE_PIPE_CRC_DONE(pipe) (1 << (2 + 8*(pipe)))
b9055052 6274#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
40da17c2 6275#define DE_PIPE_FIFO_UNDERRUN(pipe) (1 << (8*(pipe)))
b9055052 6276
b1f14ad0 6277/* More Ivybridge lolz */
8664281b 6278#define DE_ERR_INT_IVB (1<<30)
b1f14ad0
JB
6279#define DE_GSE_IVB (1<<29)
6280#define DE_PCH_EVENT_IVB (1<<28)
6281#define DE_DP_A_HOTPLUG_IVB (1<<27)
6282#define DE_AUX_CHANNEL_A_IVB (1<<26)
b615b57a
CW
6283#define DE_SPRITEC_FLIP_DONE_IVB (1<<14)
6284#define DE_PLANEC_FLIP_DONE_IVB (1<<13)
6285#define DE_PIPEC_VBLANK_IVB (1<<10)
b1f14ad0 6286#define DE_SPRITEB_FLIP_DONE_IVB (1<<9)
b1f14ad0 6287#define DE_PLANEB_FLIP_DONE_IVB (1<<8)
b1f14ad0 6288#define DE_PIPEB_VBLANK_IVB (1<<5)
b615b57a
CW
6289#define DE_SPRITEA_FLIP_DONE_IVB (1<<4)
6290#define DE_PLANEA_FLIP_DONE_IVB (1<<3)
40da17c2 6291#define DE_PLANE_FLIP_DONE_IVB(plane) (1<< (3 + 5*(plane)))
b1f14ad0 6292#define DE_PIPEA_VBLANK_IVB (1<<0)
68d97538 6293#define DE_PIPE_VBLANK_IVB(pipe) (1 << ((pipe) * 5))
b518421f 6294
f0f59a00 6295#define VLV_MASTER_IER _MMIO(0x4400c) /* Gunit master IER */
7eea1ddf
JB
6296#define MASTER_INTERRUPT_ENABLE (1<<31)
6297
f0f59a00
VS
6298#define DEISR _MMIO(0x44000)
6299#define DEIMR _MMIO(0x44004)
6300#define DEIIR _MMIO(0x44008)
6301#define DEIER _MMIO(0x4400c)
b9055052 6302
f0f59a00
VS
6303#define GTISR _MMIO(0x44010)
6304#define GTIMR _MMIO(0x44014)
6305#define GTIIR _MMIO(0x44018)
6306#define GTIER _MMIO(0x4401c)
b9055052 6307
f0f59a00 6308#define GEN8_MASTER_IRQ _MMIO(0x44200)
abd58f01
BW
6309#define GEN8_MASTER_IRQ_CONTROL (1<<31)
6310#define GEN8_PCU_IRQ (1<<30)
6311#define GEN8_DE_PCH_IRQ (1<<23)
6312#define GEN8_DE_MISC_IRQ (1<<22)
6313#define GEN8_DE_PORT_IRQ (1<<20)
6314#define GEN8_DE_PIPE_C_IRQ (1<<18)
6315#define GEN8_DE_PIPE_B_IRQ (1<<17)
6316#define GEN8_DE_PIPE_A_IRQ (1<<16)
68d97538 6317#define GEN8_DE_PIPE_IRQ(pipe) (1<<(16+(pipe)))
abd58f01 6318#define GEN8_GT_VECS_IRQ (1<<6)
26705e20 6319#define GEN8_GT_GUC_IRQ (1<<5)
0961021a 6320#define GEN8_GT_PM_IRQ (1<<4)
abd58f01
BW
6321#define GEN8_GT_VCS2_IRQ (1<<3)
6322#define GEN8_GT_VCS1_IRQ (1<<2)
6323#define GEN8_GT_BCS_IRQ (1<<1)
6324#define GEN8_GT_RCS_IRQ (1<<0)
abd58f01 6325
f0f59a00
VS
6326#define GEN8_GT_ISR(which) _MMIO(0x44300 + (0x10 * (which)))
6327#define GEN8_GT_IMR(which) _MMIO(0x44304 + (0x10 * (which)))
6328#define GEN8_GT_IIR(which) _MMIO(0x44308 + (0x10 * (which)))
6329#define GEN8_GT_IER(which) _MMIO(0x4430c + (0x10 * (which)))
abd58f01 6330
26705e20
SAK
6331#define GEN9_GUC_TO_HOST_INT_EVENT (1<<31)
6332#define GEN9_GUC_EXEC_ERROR_EVENT (1<<30)
6333#define GEN9_GUC_DISPLAY_EVENT (1<<29)
6334#define GEN9_GUC_SEMA_SIGNAL_EVENT (1<<28)
6335#define GEN9_GUC_IOMMU_MSG_EVENT (1<<27)
6336#define GEN9_GUC_DB_RING_EVENT (1<<26)
6337#define GEN9_GUC_DMA_DONE_EVENT (1<<25)
6338#define GEN9_GUC_FATAL_ERROR_EVENT (1<<24)
6339#define GEN9_GUC_NOTIFICATION_EVENT (1<<23)
6340
abd58f01 6341#define GEN8_RCS_IRQ_SHIFT 0
4df001d3 6342#define GEN8_BCS_IRQ_SHIFT 16
abd58f01 6343#define GEN8_VCS1_IRQ_SHIFT 0
4df001d3 6344#define GEN8_VCS2_IRQ_SHIFT 16
abd58f01 6345#define GEN8_VECS_IRQ_SHIFT 0
4df001d3 6346#define GEN8_WD_IRQ_SHIFT 16
abd58f01 6347
f0f59a00
VS
6348#define GEN8_DE_PIPE_ISR(pipe) _MMIO(0x44400 + (0x10 * (pipe)))
6349#define GEN8_DE_PIPE_IMR(pipe) _MMIO(0x44404 + (0x10 * (pipe)))
6350#define GEN8_DE_PIPE_IIR(pipe) _MMIO(0x44408 + (0x10 * (pipe)))
6351#define GEN8_DE_PIPE_IER(pipe) _MMIO(0x4440c + (0x10 * (pipe)))
38d83c96 6352#define GEN8_PIPE_FIFO_UNDERRUN (1 << 31)
abd58f01
BW
6353#define GEN8_PIPE_CDCLK_CRC_ERROR (1 << 29)
6354#define GEN8_PIPE_CDCLK_CRC_DONE (1 << 28)
6355#define GEN8_PIPE_CURSOR_FAULT (1 << 10)
6356#define GEN8_PIPE_SPRITE_FAULT (1 << 9)
6357#define GEN8_PIPE_PRIMARY_FAULT (1 << 8)
6358#define GEN8_PIPE_SPRITE_FLIP_DONE (1 << 5)
d0e1f1cb 6359#define GEN8_PIPE_PRIMARY_FLIP_DONE (1 << 4)
abd58f01
BW
6360#define GEN8_PIPE_SCAN_LINE_EVENT (1 << 2)
6361#define GEN8_PIPE_VSYNC (1 << 1)
6362#define GEN8_PIPE_VBLANK (1 << 0)
770de83d 6363#define GEN9_PIPE_CURSOR_FAULT (1 << 11)
b21249c9 6364#define GEN9_PIPE_PLANE4_FAULT (1 << 10)
770de83d
DL
6365#define GEN9_PIPE_PLANE3_FAULT (1 << 9)
6366#define GEN9_PIPE_PLANE2_FAULT (1 << 8)
6367#define GEN9_PIPE_PLANE1_FAULT (1 << 7)
b21249c9 6368#define GEN9_PIPE_PLANE4_FLIP_DONE (1 << 6)
770de83d
DL
6369#define GEN9_PIPE_PLANE3_FLIP_DONE (1 << 5)
6370#define GEN9_PIPE_PLANE2_FLIP_DONE (1 << 4)
6371#define GEN9_PIPE_PLANE1_FLIP_DONE (1 << 3)
68d97538 6372#define GEN9_PIPE_PLANE_FLIP_DONE(p) (1 << (3 + (p)))
30100f2b
DV
6373#define GEN8_DE_PIPE_IRQ_FAULT_ERRORS \
6374 (GEN8_PIPE_CURSOR_FAULT | \
6375 GEN8_PIPE_SPRITE_FAULT | \
6376 GEN8_PIPE_PRIMARY_FAULT)
770de83d
DL
6377#define GEN9_DE_PIPE_IRQ_FAULT_ERRORS \
6378 (GEN9_PIPE_CURSOR_FAULT | \
b21249c9 6379 GEN9_PIPE_PLANE4_FAULT | \
770de83d
DL
6380 GEN9_PIPE_PLANE3_FAULT | \
6381 GEN9_PIPE_PLANE2_FAULT | \
6382 GEN9_PIPE_PLANE1_FAULT)
abd58f01 6383
f0f59a00
VS
6384#define GEN8_DE_PORT_ISR _MMIO(0x44440)
6385#define GEN8_DE_PORT_IMR _MMIO(0x44444)
6386#define GEN8_DE_PORT_IIR _MMIO(0x44448)
6387#define GEN8_DE_PORT_IER _MMIO(0x4444c)
88e04703
JB
6388#define GEN9_AUX_CHANNEL_D (1 << 27)
6389#define GEN9_AUX_CHANNEL_C (1 << 26)
6390#define GEN9_AUX_CHANNEL_B (1 << 25)
e0a20ad7
SS
6391#define BXT_DE_PORT_HP_DDIC (1 << 5)
6392#define BXT_DE_PORT_HP_DDIB (1 << 4)
6393#define BXT_DE_PORT_HP_DDIA (1 << 3)
6394#define BXT_DE_PORT_HOTPLUG_MASK (BXT_DE_PORT_HP_DDIA | \
6395 BXT_DE_PORT_HP_DDIB | \
6396 BXT_DE_PORT_HP_DDIC)
6397#define GEN8_PORT_DP_A_HOTPLUG (1 << 3)
9e63743e 6398#define BXT_DE_PORT_GMBUS (1 << 1)
6d766f02 6399#define GEN8_AUX_CHANNEL_A (1 << 0)
abd58f01 6400
f0f59a00
VS
6401#define GEN8_DE_MISC_ISR _MMIO(0x44460)
6402#define GEN8_DE_MISC_IMR _MMIO(0x44464)
6403#define GEN8_DE_MISC_IIR _MMIO(0x44468)
6404#define GEN8_DE_MISC_IER _MMIO(0x4446c)
abd58f01
BW
6405#define GEN8_DE_MISC_GSE (1 << 27)
6406
f0f59a00
VS
6407#define GEN8_PCU_ISR _MMIO(0x444e0)
6408#define GEN8_PCU_IMR _MMIO(0x444e4)
6409#define GEN8_PCU_IIR _MMIO(0x444e8)
6410#define GEN8_PCU_IER _MMIO(0x444ec)
abd58f01 6411
f0f59a00 6412#define ILK_DISPLAY_CHICKEN2 _MMIO(0x42004)
67e92af0
EA
6413/* Required on all Ironlake and Sandybridge according to the B-Spec. */
6414#define ILK_ELPIN_409_SELECT (1 << 25)
7f8a8569
ZW
6415#define ILK_DPARB_GATE (1<<22)
6416#define ILK_VSDPFD_FULL (1<<21)
f0f59a00 6417#define FUSE_STRAP _MMIO(0x42014)
e3589908
DL
6418#define ILK_INTERNAL_GRAPHICS_DISABLE (1 << 31)
6419#define ILK_INTERNAL_DISPLAY_DISABLE (1 << 30)
6420#define ILK_DISPLAY_DEBUG_DISABLE (1 << 29)
8c448cad 6421#define IVB_PIPE_C_DISABLE (1 << 28)
e3589908
DL
6422#define ILK_HDCP_DISABLE (1 << 25)
6423#define ILK_eDP_A_DISABLE (1 << 24)
6424#define HSW_CDCLK_LIMIT (1 << 24)
6425#define ILK_DESKTOP (1 << 23)
231e54f6 6426
f0f59a00 6427#define ILK_DSPCLK_GATE_D _MMIO(0x42020)
231e54f6
DL
6428#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
6429#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
6430#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
6431#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
6432#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 6433
f0f59a00 6434#define IVB_CHICKEN3 _MMIO(0x4200c)
116ac8d2
EA
6435# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
6436# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
6437
f0f59a00 6438#define CHICKEN_PAR1_1 _MMIO(0x42080)
fe4ab3ce 6439#define DPA_MASK_VBLANK_SRD (1 << 15)
90a88643 6440#define FORCE_ARB_IDLE_PLANES (1 << 14)
dc00b6a0 6441#define SKL_EDP_PSR_FIX_RDWRAP (1 << 3)
90a88643 6442
17e0adf0
MK
6443#define CHICKEN_PAR2_1 _MMIO(0x42090)
6444#define KVM_CONFIG_CHANGE_NOTIFICATION_SELECT (1 << 14)
6445
fe4ab3ce
BW
6446#define _CHICKEN_PIPESL_1_A 0x420b0
6447#define _CHICKEN_PIPESL_1_B 0x420b4
8f670bb1
VS
6448#define HSW_FBCQ_DIS (1 << 22)
6449#define BDW_DPRS_MASK_VBLANK_SRD (1 << 0)
f0f59a00 6450#define CHICKEN_PIPESL_1(pipe) _MMIO_PIPE(pipe, _CHICKEN_PIPESL_1_A, _CHICKEN_PIPESL_1_B)
fe4ab3ce 6451
d86f0482
NV
6452#define CHICKEN_TRANS_A 0x420c0
6453#define CHICKEN_TRANS_B 0x420c4
6454#define CHICKEN_TRANS(trans) _MMIO_TRANS(trans, CHICKEN_TRANS_A, CHICKEN_TRANS_B)
6455#define PSR2_VSC_ENABLE_PROG_HEADER (1<<12)
6456#define PSR2_ADD_VERTICAL_LINE_COUNT (1<<15)
6457
f0f59a00 6458#define DISP_ARB_CTL _MMIO(0x45000)
303d4ea5 6459#define DISP_FBC_MEMORY_WAKE (1<<31)
553bd149 6460#define DISP_TILE_SURFACE_SWIZZLING (1<<13)
7f8a8569 6461#define DISP_FBC_WM_DIS (1<<15)
f0f59a00 6462#define DISP_ARB_CTL2 _MMIO(0x45004)
ac9545fd 6463#define DISP_DATA_PARTITION_5_6 (1<<6)
f0f59a00 6464#define DBUF_CTL _MMIO(0x45008)
f8437dd1
VK
6465#define DBUF_POWER_REQUEST (1<<31)
6466#define DBUF_POWER_STATE (1<<30)
f0f59a00 6467#define GEN7_MSG_CTL _MMIO(0x45010)
88a2b2a3
BW
6468#define WAIT_FOR_PCH_RESET_ACK (1<<1)
6469#define WAIT_FOR_PCH_FLR_ACK (1<<0)
f0f59a00 6470#define HSW_NDE_RSTWRN_OPT _MMIO(0x46408)
6ba844b0 6471#define RESET_PCH_HANDSHAKE_ENABLE (1<<4)
553bd149 6472
590e8ff0
MK
6473#define GEN8_CHICKEN_DCPR_1 _MMIO(0x46430)
6474#define MASK_WAKEMEM (1<<13)
6475
f0f59a00 6476#define SKL_DFSM _MMIO(0x51000)
a9419e84
DL
6477#define SKL_DFSM_CDCLK_LIMIT_MASK (3 << 23)
6478#define SKL_DFSM_CDCLK_LIMIT_675 (0 << 23)
6479#define SKL_DFSM_CDCLK_LIMIT_540 (1 << 23)
6480#define SKL_DFSM_CDCLK_LIMIT_450 (2 << 23)
6481#define SKL_DFSM_CDCLK_LIMIT_337_5 (3 << 23)
bf4f2fb0
PJ
6482#define SKL_DFSM_PIPE_A_DISABLE (1 << 30)
6483#define SKL_DFSM_PIPE_B_DISABLE (1 << 21)
6484#define SKL_DFSM_PIPE_C_DISABLE (1 << 28)
a9419e84 6485
a78536e7
AS
6486#define GEN7_FF_SLICE_CS_CHICKEN1 _MMIO(0x20e0)
6487#define GEN9_FFSC_PERCTX_PREEMPT_CTRL (1<<14)
6488
f0f59a00 6489#define FF_SLICE_CS_CHICKEN2 _MMIO(0x20e4)
2caa3b26 6490#define GEN9_TSG_BARRIER_ACK_DISABLE (1<<8)
780f0aeb 6491#define GEN9_POOLED_EU_LOAD_BALANCING_FIX_DISABLE (1<<10)
2caa3b26 6492
2c8580e4 6493#define GEN9_CS_DEBUG_MODE1 _MMIO(0x20ec)
6bb62855 6494#define GEN9_CTX_PREEMPT_REG _MMIO(0x2248)
e0f3fa09
AS
6495#define GEN8_CS_CHICKEN1 _MMIO(0x2580)
6496
e4e0c058 6497/* GEN7 chicken */
f0f59a00 6498#define GEN7_COMMON_SLICE_CHICKEN1 _MMIO(0x7010)
d71de14d 6499# define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1<<10) | (1<<26))
183c6dac 6500# define GEN9_RHWO_OPTIMIZATION_DISABLE (1<<14)
f0f59a00 6501#define COMMON_SLICE_CHICKEN2 _MMIO(0x7014)
873e8171 6502# define GEN9_DISABLE_GATHER_AT_SET_SHADER_COMMON_SLICE (1<<12)
ad2bdb44 6503# define GEN8_SBE_DISABLE_REPLAY_BUF_OPTIMIZATION (1<<8)
a75f3628 6504# define GEN8_CSC2_SBE_VUE_CACHE_CONSERVATIVE (1<<0)
d71de14d 6505
f0f59a00 6506#define HIZ_CHICKEN _MMIO(0x7018)
d0bbbc4f
DL
6507# define CHV_HZ_8X8_MODE_IN_1X (1<<15)
6508# define BDW_HIZ_POWER_COMPILER_CLOCK_GATING_DISABLE (1<<3)
d60de81d 6509
f0f59a00 6510#define GEN9_SLICE_COMMON_ECO_CHICKEN0 _MMIO(0x7308)
183c6dac
DL
6511#define DISABLE_PIXEL_MASK_CAMMING (1<<14)
6512
f0f59a00 6513#define GEN7_L3SQCREG1 _MMIO(0xB010)
031994ee
VS
6514#define VLV_B0_WA_L3SQCREG1_VALUE 0x00D30000
6515
f0f59a00 6516#define GEN8_L3SQCREG1 _MMIO(0xB100)
450174fe
ID
6517/*
6518 * Note that on CHV the following has an off-by-one error wrt. to BSpec.
6519 * Using the formula in BSpec leads to a hang, while the formula here works
6520 * fine and matches the formulas for all other platforms. A BSpec change
6521 * request has been filed to clarify this.
6522 */
36579cb6
ID
6523#define L3_GENERAL_PRIO_CREDITS(x) (((x) >> 1) << 19)
6524#define L3_HIGH_PRIO_CREDITS(x) (((x) >> 1) << 14)
51ce4db1 6525
f0f59a00 6526#define GEN7_L3CNTLREG1 _MMIO(0xB01C)
1af8452f 6527#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C47FF8C
d0cf5ead 6528#define GEN7_L3AGDIS (1<<19)
f0f59a00
VS
6529#define GEN7_L3CNTLREG2 _MMIO(0xB020)
6530#define GEN7_L3CNTLREG3 _MMIO(0xB024)
e4e0c058 6531
f0f59a00 6532#define GEN7_L3_CHICKEN_MODE_REGISTER _MMIO(0xB030)
e4e0c058
ED
6533#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
6534
f0f59a00 6535#define GEN7_L3SQCREG4 _MMIO(0xb034)
61939d97
JB
6536#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1<<27)
6537
f0f59a00 6538#define GEN8_L3SQCREG4 _MMIO(0xb118)
8bc0ccf6 6539#define GEN8_LQSC_RO_PERF_DIS (1<<27)
c82435bb 6540#define GEN8_LQSC_FLUSH_COHERENT_LINES (1<<21)
8bc0ccf6 6541
63801f21 6542/* GEN8 chicken */
f0f59a00 6543#define HDC_CHICKEN0 _MMIO(0x7300)
2a0ee94f 6544#define HDC_FORCE_CSR_NON_COHERENT_OVR_DISABLE (1<<15)
da09654d 6545#define HDC_FENCE_DEST_SLM_DISABLE (1<<14)
35cb6f3b
DL
6546#define HDC_DONOT_FETCH_MEM_WHEN_MASKED (1<<11)
6547#define HDC_FORCE_CONTEXT_SAVE_RESTORE_NON_COHERENT (1<<5)
6548#define HDC_FORCE_NON_COHERENT (1<<4)
65ca7514 6549#define HDC_BARRIER_PERFORMANCE_DISABLE (1<<10)
63801f21 6550
3669ab61
AS
6551#define GEN8_HDC_CHICKEN1 _MMIO(0x7304)
6552
38a39a7b 6553/* GEN9 chicken */
f0f59a00 6554#define SLICE_ECO_CHICKEN0 _MMIO(0x7308)
38a39a7b
BW
6555#define PIXEL_MASK_CAMMING_DISABLE (1 << 14)
6556
db099c8f 6557/* WaCatErrorRejectionIssue */
f0f59a00 6558#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG _MMIO(0x9030)
db099c8f
ED
6559#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1<<11)
6560
f0f59a00 6561#define HSW_SCRATCH1 _MMIO(0xb038)
f3fc4884
FJ
6562#define HSW_SCRATCH1_L3_DATA_ATOMICS_DISABLE (1<<27)
6563
f0f59a00 6564#define BDW_SCRATCH1 _MMIO(0xb11c)
77719d28
DL
6565#define GEN9_LBS_SLA_RETRY_TIMER_DECREMENT_ENABLE (1<<2)
6566
b9055052
ZW
6567/* PCH */
6568
23e81d69 6569/* south display engine interrupt: IBX */
776ad806
JB
6570#define SDE_AUDIO_POWER_D (1 << 27)
6571#define SDE_AUDIO_POWER_C (1 << 26)
6572#define SDE_AUDIO_POWER_B (1 << 25)
6573#define SDE_AUDIO_POWER_SHIFT (25)
6574#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
6575#define SDE_GMBUS (1 << 24)
6576#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
6577#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
6578#define SDE_AUDIO_HDCP_MASK (3 << 22)
6579#define SDE_AUDIO_TRANSB (1 << 21)
6580#define SDE_AUDIO_TRANSA (1 << 20)
6581#define SDE_AUDIO_TRANS_MASK (3 << 20)
6582#define SDE_POISON (1 << 19)
6583/* 18 reserved */
6584#define SDE_FDI_RXB (1 << 17)
6585#define SDE_FDI_RXA (1 << 16)
6586#define SDE_FDI_MASK (3 << 16)
6587#define SDE_AUXD (1 << 15)
6588#define SDE_AUXC (1 << 14)
6589#define SDE_AUXB (1 << 13)
6590#define SDE_AUX_MASK (7 << 13)
6591/* 12 reserved */
b9055052
ZW
6592#define SDE_CRT_HOTPLUG (1 << 11)
6593#define SDE_PORTD_HOTPLUG (1 << 10)
6594#define SDE_PORTC_HOTPLUG (1 << 9)
6595#define SDE_PORTB_HOTPLUG (1 << 8)
6596#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
6597#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
6598 SDE_SDVOB_HOTPLUG | \
6599 SDE_PORTB_HOTPLUG | \
6600 SDE_PORTC_HOTPLUG | \
6601 SDE_PORTD_HOTPLUG)
776ad806
JB
6602#define SDE_TRANSB_CRC_DONE (1 << 5)
6603#define SDE_TRANSB_CRC_ERR (1 << 4)
6604#define SDE_TRANSB_FIFO_UNDER (1 << 3)
6605#define SDE_TRANSA_CRC_DONE (1 << 2)
6606#define SDE_TRANSA_CRC_ERR (1 << 1)
6607#define SDE_TRANSA_FIFO_UNDER (1 << 0)
6608#define SDE_TRANS_MASK (0x3f)
23e81d69
AJ
6609
6610/* south display engine interrupt: CPT/PPT */
6611#define SDE_AUDIO_POWER_D_CPT (1 << 31)
6612#define SDE_AUDIO_POWER_C_CPT (1 << 30)
6613#define SDE_AUDIO_POWER_B_CPT (1 << 29)
6614#define SDE_AUDIO_POWER_SHIFT_CPT 29
6615#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
6616#define SDE_AUXD_CPT (1 << 27)
6617#define SDE_AUXC_CPT (1 << 26)
6618#define SDE_AUXB_CPT (1 << 25)
6619#define SDE_AUX_MASK_CPT (7 << 25)
26951caf 6620#define SDE_PORTE_HOTPLUG_SPT (1 << 25)
74c0b395 6621#define SDE_PORTA_HOTPLUG_SPT (1 << 24)
8db9d77b
ZW
6622#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
6623#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
6624#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 6625#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 6626#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 6627#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 6628 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
6629 SDE_PORTD_HOTPLUG_CPT | \
6630 SDE_PORTC_HOTPLUG_CPT | \
6631 SDE_PORTB_HOTPLUG_CPT)
26951caf
XZ
6632#define SDE_HOTPLUG_MASK_SPT (SDE_PORTE_HOTPLUG_SPT | \
6633 SDE_PORTD_HOTPLUG_CPT | \
6634 SDE_PORTC_HOTPLUG_CPT | \
74c0b395
VS
6635 SDE_PORTB_HOTPLUG_CPT | \
6636 SDE_PORTA_HOTPLUG_SPT)
23e81d69 6637#define SDE_GMBUS_CPT (1 << 17)
8664281b 6638#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
6639#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
6640#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
6641#define SDE_FDI_RXC_CPT (1 << 8)
6642#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
6643#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
6644#define SDE_FDI_RXB_CPT (1 << 4)
6645#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
6646#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
6647#define SDE_FDI_RXA_CPT (1 << 0)
6648#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
6649 SDE_AUDIO_CP_REQ_B_CPT | \
6650 SDE_AUDIO_CP_REQ_A_CPT)
6651#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
6652 SDE_AUDIO_CP_CHG_B_CPT | \
6653 SDE_AUDIO_CP_CHG_A_CPT)
6654#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
6655 SDE_FDI_RXB_CPT | \
6656 SDE_FDI_RXA_CPT)
b9055052 6657
f0f59a00
VS
6658#define SDEISR _MMIO(0xc4000)
6659#define SDEIMR _MMIO(0xc4004)
6660#define SDEIIR _MMIO(0xc4008)
6661#define SDEIER _MMIO(0xc400c)
b9055052 6662
f0f59a00 6663#define SERR_INT _MMIO(0xc4040)
de032bf4 6664#define SERR_INT_POISON (1<<31)
8664281b
PZ
6665#define SERR_INT_TRANS_C_FIFO_UNDERRUN (1<<6)
6666#define SERR_INT_TRANS_B_FIFO_UNDERRUN (1<<3)
6667#define SERR_INT_TRANS_A_FIFO_UNDERRUN (1<<0)
68d97538 6668#define SERR_INT_TRANS_FIFO_UNDERRUN(pipe) (1<<((pipe)*3))
8664281b 6669
b9055052 6670/* digital port hotplug */
f0f59a00 6671#define PCH_PORT_HOTPLUG _MMIO(0xc4030) /* SHOTPLUG_CTL */
195baa06 6672#define PORTA_HOTPLUG_ENABLE (1 << 28) /* LPT:LP+ & BXT */
d252bf68 6673#define BXT_DDIA_HPD_INVERT (1 << 27)
195baa06
VS
6674#define PORTA_HOTPLUG_STATUS_MASK (3 << 24) /* SPT+ & BXT */
6675#define PORTA_HOTPLUG_NO_DETECT (0 << 24) /* SPT+ & BXT */
6676#define PORTA_HOTPLUG_SHORT_DETECT (1 << 24) /* SPT+ & BXT */
6677#define PORTA_HOTPLUG_LONG_DETECT (2 << 24) /* SPT+ & BXT */
40bfd7a3
VS
6678#define PORTD_HOTPLUG_ENABLE (1 << 20)
6679#define PORTD_PULSE_DURATION_2ms (0 << 18) /* pre-LPT */
6680#define PORTD_PULSE_DURATION_4_5ms (1 << 18) /* pre-LPT */
6681#define PORTD_PULSE_DURATION_6ms (2 << 18) /* pre-LPT */
6682#define PORTD_PULSE_DURATION_100ms (3 << 18) /* pre-LPT */
6683#define PORTD_PULSE_DURATION_MASK (3 << 18) /* pre-LPT */
6684#define PORTD_HOTPLUG_STATUS_MASK (3 << 16)
b696519e
DL
6685#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
6686#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
6687#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
40bfd7a3 6688#define PORTC_HOTPLUG_ENABLE (1 << 12)
d252bf68 6689#define BXT_DDIC_HPD_INVERT (1 << 11)
40bfd7a3
VS
6690#define PORTC_PULSE_DURATION_2ms (0 << 10) /* pre-LPT */
6691#define PORTC_PULSE_DURATION_4_5ms (1 << 10) /* pre-LPT */
6692#define PORTC_PULSE_DURATION_6ms (2 << 10) /* pre-LPT */
6693#define PORTC_PULSE_DURATION_100ms (3 << 10) /* pre-LPT */
6694#define PORTC_PULSE_DURATION_MASK (3 << 10) /* pre-LPT */
6695#define PORTC_HOTPLUG_STATUS_MASK (3 << 8)
b696519e
DL
6696#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
6697#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
6698#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
40bfd7a3 6699#define PORTB_HOTPLUG_ENABLE (1 << 4)
d252bf68 6700#define BXT_DDIB_HPD_INVERT (1 << 3)
40bfd7a3
VS
6701#define PORTB_PULSE_DURATION_2ms (0 << 2) /* pre-LPT */
6702#define PORTB_PULSE_DURATION_4_5ms (1 << 2) /* pre-LPT */
6703#define PORTB_PULSE_DURATION_6ms (2 << 2) /* pre-LPT */
6704#define PORTB_PULSE_DURATION_100ms (3 << 2) /* pre-LPT */
6705#define PORTB_PULSE_DURATION_MASK (3 << 2) /* pre-LPT */
6706#define PORTB_HOTPLUG_STATUS_MASK (3 << 0)
b696519e
DL
6707#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
6708#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
6709#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
d252bf68
SS
6710#define BXT_DDI_HPD_INVERT_MASK (BXT_DDIA_HPD_INVERT | \
6711 BXT_DDIB_HPD_INVERT | \
6712 BXT_DDIC_HPD_INVERT)
b9055052 6713
f0f59a00 6714#define PCH_PORT_HOTPLUG2 _MMIO(0xc403C) /* SHOTPLUG_CTL2 SPT+ */
40bfd7a3
VS
6715#define PORTE_HOTPLUG_ENABLE (1 << 4)
6716#define PORTE_HOTPLUG_STATUS_MASK (3 << 0)
26951caf
XZ
6717#define PORTE_HOTPLUG_NO_DETECT (0 << 0)
6718#define PORTE_HOTPLUG_SHORT_DETECT (1 << 0)
6719#define PORTE_HOTPLUG_LONG_DETECT (2 << 0)
b9055052 6720
f0f59a00
VS
6721#define PCH_GPIOA _MMIO(0xc5010)
6722#define PCH_GPIOB _MMIO(0xc5014)
6723#define PCH_GPIOC _MMIO(0xc5018)
6724#define PCH_GPIOD _MMIO(0xc501c)
6725#define PCH_GPIOE _MMIO(0xc5020)
6726#define PCH_GPIOF _MMIO(0xc5024)
b9055052 6727
f0f59a00
VS
6728#define PCH_GMBUS0 _MMIO(0xc5100)
6729#define PCH_GMBUS1 _MMIO(0xc5104)
6730#define PCH_GMBUS2 _MMIO(0xc5108)
6731#define PCH_GMBUS3 _MMIO(0xc510c)
6732#define PCH_GMBUS4 _MMIO(0xc5110)
6733#define PCH_GMBUS5 _MMIO(0xc5120)
f0217c42 6734
9db4a9c7
JB
6735#define _PCH_DPLL_A 0xc6014
6736#define _PCH_DPLL_B 0xc6018
f0f59a00 6737#define PCH_DPLL(pll) _MMIO(pll == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 6738
9db4a9c7 6739#define _PCH_FPA0 0xc6040
c1858123 6740#define FP_CB_TUNE (0x3<<22)
9db4a9c7
JB
6741#define _PCH_FPA1 0xc6044
6742#define _PCH_FPB0 0xc6048
6743#define _PCH_FPB1 0xc604c
f0f59a00
VS
6744#define PCH_FP0(pll) _MMIO(pll == 0 ? _PCH_FPA0 : _PCH_FPB0)
6745#define PCH_FP1(pll) _MMIO(pll == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052 6746
f0f59a00 6747#define PCH_DPLL_TEST _MMIO(0xc606c)
b9055052 6748
f0f59a00 6749#define PCH_DREF_CONTROL _MMIO(0xC6200)
b9055052
ZW
6750#define DREF_CONTROL_MASK 0x7fc3
6751#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0<<13)
6752#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2<<13)
6753#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3<<13)
6754#define DREF_CPU_SOURCE_OUTPUT_MASK (3<<13)
6755#define DREF_SSC_SOURCE_DISABLE (0<<11)
6756#define DREF_SSC_SOURCE_ENABLE (2<<11)
c038e51e 6757#define DREF_SSC_SOURCE_MASK (3<<11)
b9055052
ZW
6758#define DREF_NONSPREAD_SOURCE_DISABLE (0<<9)
6759#define DREF_NONSPREAD_CK505_ENABLE (1<<9)
6760#define DREF_NONSPREAD_SOURCE_ENABLE (2<<9)
c038e51e 6761#define DREF_NONSPREAD_SOURCE_MASK (3<<9)
b9055052
ZW
6762#define DREF_SUPERSPREAD_SOURCE_DISABLE (0<<7)
6763#define DREF_SUPERSPREAD_SOURCE_ENABLE (2<<7)
92f2584a 6764#define DREF_SUPERSPREAD_SOURCE_MASK (3<<7)
b9055052
ZW
6765#define DREF_SSC4_DOWNSPREAD (0<<6)
6766#define DREF_SSC4_CENTERSPREAD (1<<6)
6767#define DREF_SSC1_DISABLE (0<<1)
6768#define DREF_SSC1_ENABLE (1<<1)
6769#define DREF_SSC4_DISABLE (0)
6770#define DREF_SSC4_ENABLE (1)
6771
f0f59a00 6772#define PCH_RAWCLK_FREQ _MMIO(0xc6204)
b9055052
ZW
6773#define FDL_TP1_TIMER_SHIFT 12
6774#define FDL_TP1_TIMER_MASK (3<<12)
6775#define FDL_TP2_TIMER_SHIFT 10
6776#define FDL_TP2_TIMER_MASK (3<<10)
6777#define RAWCLK_FREQ_MASK 0x3ff
6778
f0f59a00 6779#define PCH_DPLL_TMR_CFG _MMIO(0xc6208)
b9055052 6780
f0f59a00
VS
6781#define PCH_SSC4_PARMS _MMIO(0xc6210)
6782#define PCH_SSC4_AUX_PARMS _MMIO(0xc6214)
b9055052 6783
f0f59a00 6784#define PCH_DPLL_SEL _MMIO(0xc7000)
68d97538 6785#define TRANS_DPLLB_SEL(pipe) (1 << ((pipe) * 4))
11887397 6786#define TRANS_DPLLA_SEL(pipe) 0
68d97538 6787#define TRANS_DPLL_ENABLE(pipe) (1 << ((pipe) * 4 + 3))
8db9d77b 6788
b9055052
ZW
6789/* transcoder */
6790
275f01b2
DV
6791#define _PCH_TRANS_HTOTAL_A 0xe0000
6792#define TRANS_HTOTAL_SHIFT 16
6793#define TRANS_HACTIVE_SHIFT 0
6794#define _PCH_TRANS_HBLANK_A 0xe0004
6795#define TRANS_HBLANK_END_SHIFT 16
6796#define TRANS_HBLANK_START_SHIFT 0
6797#define _PCH_TRANS_HSYNC_A 0xe0008
6798#define TRANS_HSYNC_END_SHIFT 16
6799#define TRANS_HSYNC_START_SHIFT 0
6800#define _PCH_TRANS_VTOTAL_A 0xe000c
6801#define TRANS_VTOTAL_SHIFT 16
6802#define TRANS_VACTIVE_SHIFT 0
6803#define _PCH_TRANS_VBLANK_A 0xe0010
6804#define TRANS_VBLANK_END_SHIFT 16
6805#define TRANS_VBLANK_START_SHIFT 0
6806#define _PCH_TRANS_VSYNC_A 0xe0014
6807#define TRANS_VSYNC_END_SHIFT 16
6808#define TRANS_VSYNC_START_SHIFT 0
6809#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 6810
e3b95f1e
DV
6811#define _PCH_TRANSA_DATA_M1 0xe0030
6812#define _PCH_TRANSA_DATA_N1 0xe0034
6813#define _PCH_TRANSA_DATA_M2 0xe0038
6814#define _PCH_TRANSA_DATA_N2 0xe003c
6815#define _PCH_TRANSA_LINK_M1 0xe0040
6816#define _PCH_TRANSA_LINK_N1 0xe0044
6817#define _PCH_TRANSA_LINK_M2 0xe0048
6818#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 6819
2dcbc34d 6820/* Per-transcoder DIP controls (PCH) */
b055c8f3
JB
6821#define _VIDEO_DIP_CTL_A 0xe0200
6822#define _VIDEO_DIP_DATA_A 0xe0208
6823#define _VIDEO_DIP_GCP_A 0xe0210
6d67415f
VS
6824#define GCP_COLOR_INDICATION (1 << 2)
6825#define GCP_DEFAULT_PHASE_ENABLE (1 << 1)
6826#define GCP_AV_MUTE (1 << 0)
b055c8f3
JB
6827
6828#define _VIDEO_DIP_CTL_B 0xe1200
6829#define _VIDEO_DIP_DATA_B 0xe1208
6830#define _VIDEO_DIP_GCP_B 0xe1210
6831
f0f59a00
VS
6832#define TVIDEO_DIP_CTL(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
6833#define TVIDEO_DIP_DATA(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
6834#define TVIDEO_DIP_GCP(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
b055c8f3 6835
2dcbc34d 6836/* Per-transcoder DIP controls (VLV) */
086f8e84
VS
6837#define _VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
6838#define _VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
6839#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 6840
086f8e84
VS
6841#define _VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
6842#define _VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
6843#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8 6844
086f8e84
VS
6845#define _CHV_VIDEO_DIP_CTL_C (VLV_DISPLAY_BASE + 0x611f0)
6846#define _CHV_VIDEO_DIP_DATA_C (VLV_DISPLAY_BASE + 0x611f4)
6847#define _CHV_VIDEO_DIP_GDCP_PAYLOAD_C (VLV_DISPLAY_BASE + 0x611f8)
2dcbc34d 6848
90b107c8 6849#define VLV_TVIDEO_DIP_CTL(pipe) \
f0f59a00 6850 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_CTL_A, \
086f8e84 6851 _VLV_VIDEO_DIP_CTL_B, _CHV_VIDEO_DIP_CTL_C)
90b107c8 6852#define VLV_TVIDEO_DIP_DATA(pipe) \
f0f59a00 6853 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_DATA_A, \
086f8e84 6854 _VLV_VIDEO_DIP_DATA_B, _CHV_VIDEO_DIP_DATA_C)
90b107c8 6855#define VLV_TVIDEO_DIP_GCP(pipe) \
f0f59a00 6856 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_GDCP_PAYLOAD_A, \
086f8e84 6857 _VLV_VIDEO_DIP_GDCP_PAYLOAD_B, _CHV_VIDEO_DIP_GDCP_PAYLOAD_C)
90b107c8 6858
8c5f5f7c 6859/* Haswell DIP controls */
f0f59a00 6860
086f8e84
VS
6861#define _HSW_VIDEO_DIP_CTL_A 0x60200
6862#define _HSW_VIDEO_DIP_AVI_DATA_A 0x60220
6863#define _HSW_VIDEO_DIP_VS_DATA_A 0x60260
6864#define _HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
6865#define _HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
6866#define _HSW_VIDEO_DIP_VSC_DATA_A 0x60320
6867#define _HSW_VIDEO_DIP_AVI_ECC_A 0x60240
6868#define _HSW_VIDEO_DIP_VS_ECC_A 0x60280
6869#define _HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
6870#define _HSW_VIDEO_DIP_GMP_ECC_A 0x60300
6871#define _HSW_VIDEO_DIP_VSC_ECC_A 0x60344
6872#define _HSW_VIDEO_DIP_GCP_A 0x60210
6873
6874#define _HSW_VIDEO_DIP_CTL_B 0x61200
6875#define _HSW_VIDEO_DIP_AVI_DATA_B 0x61220
6876#define _HSW_VIDEO_DIP_VS_DATA_B 0x61260
6877#define _HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
6878#define _HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
6879#define _HSW_VIDEO_DIP_VSC_DATA_B 0x61320
6880#define _HSW_VIDEO_DIP_BVI_ECC_B 0x61240
6881#define _HSW_VIDEO_DIP_VS_ECC_B 0x61280
6882#define _HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
6883#define _HSW_VIDEO_DIP_GMP_ECC_B 0x61300
6884#define _HSW_VIDEO_DIP_VSC_ECC_B 0x61344
6885#define _HSW_VIDEO_DIP_GCP_B 0x61210
8c5f5f7c 6886
f0f59a00
VS
6887#define HSW_TVIDEO_DIP_CTL(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_CTL_A)
6888#define HSW_TVIDEO_DIP_AVI_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_AVI_DATA_A + (i) * 4)
6889#define HSW_TVIDEO_DIP_VS_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VS_DATA_A + (i) * 4)
6890#define HSW_TVIDEO_DIP_SPD_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_SPD_DATA_A + (i) * 4)
6891#define HSW_TVIDEO_DIP_GCP(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GCP_A)
6892#define HSW_TVIDEO_DIP_VSC_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VSC_DATA_A + (i) * 4)
6893
6894#define _HSW_STEREO_3D_CTL_A 0x70020
6895#define S3D_ENABLE (1<<31)
6896#define _HSW_STEREO_3D_CTL_B 0x71020
6897
6898#define HSW_STEREO_3D_CTL(trans) _MMIO_PIPE2(trans, _HSW_STEREO_3D_CTL_A)
3f51e471 6899
275f01b2
DV
6900#define _PCH_TRANS_HTOTAL_B 0xe1000
6901#define _PCH_TRANS_HBLANK_B 0xe1004
6902#define _PCH_TRANS_HSYNC_B 0xe1008
6903#define _PCH_TRANS_VTOTAL_B 0xe100c
6904#define _PCH_TRANS_VBLANK_B 0xe1010
6905#define _PCH_TRANS_VSYNC_B 0xe1014
f0f59a00 6906#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
275f01b2 6907
f0f59a00
VS
6908#define PCH_TRANS_HTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
6909#define PCH_TRANS_HBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
6910#define PCH_TRANS_HSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
6911#define PCH_TRANS_VTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
6912#define PCH_TRANS_VBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
6913#define PCH_TRANS_VSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
6914#define PCH_TRANS_VSYNCSHIFT(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 6915
e3b95f1e
DV
6916#define _PCH_TRANSB_DATA_M1 0xe1030
6917#define _PCH_TRANSB_DATA_N1 0xe1034
6918#define _PCH_TRANSB_DATA_M2 0xe1038
6919#define _PCH_TRANSB_DATA_N2 0xe103c
6920#define _PCH_TRANSB_LINK_M1 0xe1040
6921#define _PCH_TRANSB_LINK_N1 0xe1044
6922#define _PCH_TRANSB_LINK_M2 0xe1048
6923#define _PCH_TRANSB_LINK_N2 0xe104c
6924
f0f59a00
VS
6925#define PCH_TRANS_DATA_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
6926#define PCH_TRANS_DATA_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
6927#define PCH_TRANS_DATA_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
6928#define PCH_TRANS_DATA_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
6929#define PCH_TRANS_LINK_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
6930#define PCH_TRANS_LINK_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
6931#define PCH_TRANS_LINK_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
6932#define PCH_TRANS_LINK_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 6933
ab9412ba
DV
6934#define _PCH_TRANSACONF 0xf0008
6935#define _PCH_TRANSBCONF 0xf1008
f0f59a00
VS
6936#define PCH_TRANSCONF(pipe) _MMIO_PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
6937#define LPT_TRANSCONF PCH_TRANSCONF(PIPE_A) /* lpt has only one transcoder */
b9055052
ZW
6938#define TRANS_DISABLE (0<<31)
6939#define TRANS_ENABLE (1<<31)
6940#define TRANS_STATE_MASK (1<<30)
6941#define TRANS_STATE_DISABLE (0<<30)
6942#define TRANS_STATE_ENABLE (1<<30)
6943#define TRANS_FSYNC_DELAY_HB1 (0<<27)
6944#define TRANS_FSYNC_DELAY_HB2 (1<<27)
6945#define TRANS_FSYNC_DELAY_HB3 (2<<27)
6946#define TRANS_FSYNC_DELAY_HB4 (3<<27)
5f7f726d 6947#define TRANS_INTERLACE_MASK (7<<21)
b9055052 6948#define TRANS_PROGRESSIVE (0<<21)
5f7f726d 6949#define TRANS_INTERLACED (3<<21)
7c26e5c6 6950#define TRANS_LEGACY_INTERLACED_ILK (2<<21)
b9055052
ZW
6951#define TRANS_8BPC (0<<5)
6952#define TRANS_10BPC (1<<5)
6953#define TRANS_6BPC (2<<5)
6954#define TRANS_12BPC (3<<5)
6955
ce40141f
DV
6956#define _TRANSA_CHICKEN1 0xf0060
6957#define _TRANSB_CHICKEN1 0xf1060
f0f59a00 6958#define TRANS_CHICKEN1(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
d1b1589c 6959#define TRANS_CHICKEN1_HDMIUNIT_GC_DISABLE (1<<10)
ce40141f 6960#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1<<4)
3bcf603f
JB
6961#define _TRANSA_CHICKEN2 0xf0064
6962#define _TRANSB_CHICKEN2 0xf1064
f0f59a00 6963#define TRANS_CHICKEN2(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
dc4bd2d1
PZ
6964#define TRANS_CHICKEN2_TIMING_OVERRIDE (1<<31)
6965#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1<<29)
6966#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3<<27)
6967#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1<<26)
6968#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1<<25)
3bcf603f 6969
f0f59a00 6970#define SOUTH_CHICKEN1 _MMIO(0xc2000)
291427f5
JB
6971#define FDIA_PHASE_SYNC_SHIFT_OVR 19
6972#define FDIA_PHASE_SYNC_SHIFT_EN 18
01a415fd
DV
6973#define FDI_PHASE_SYNC_OVR(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
6974#define FDI_PHASE_SYNC_EN(pipe) (1<<(FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
6975#define FDI_BC_BIFURCATION_SELECT (1 << 12)
aa17cdb4 6976#define SPT_PWM_GRANULARITY (1<<0)
f0f59a00 6977#define SOUTH_CHICKEN2 _MMIO(0xc2004)
dde86e2d
PZ
6978#define FDI_MPHY_IOSFSB_RESET_STATUS (1<<13)
6979#define FDI_MPHY_IOSFSB_RESET_CTL (1<<12)
aa17cdb4 6980#define LPT_PWM_GRANULARITY (1<<5)
dde86e2d 6981#define DPLS_EDP_PPS_FIX_DIS (1<<0)
645c62a5 6982
f0f59a00
VS
6983#define _FDI_RXA_CHICKEN 0xc200c
6984#define _FDI_RXB_CHICKEN 0xc2010
6f06ce18
JB
6985#define FDI_RX_PHASE_SYNC_POINTER_OVR (1<<1)
6986#define FDI_RX_PHASE_SYNC_POINTER_EN (1<<0)
f0f59a00 6987#define FDI_RX_CHICKEN(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 6988
f0f59a00 6989#define SOUTH_DSPCLK_GATE_D _MMIO(0xc2020)
cd664078 6990#define PCH_DPLUNIT_CLOCK_GATE_DISABLE (1<<30)
382b0936 6991#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1<<29)
cd664078 6992#define PCH_CPUNIT_CLOCK_GATE_DISABLE (1<<14)
17a303ec 6993#define PCH_LP_PARTITION_LEVEL_DISABLE (1<<12)
382b0936 6994
b9055052 6995/* CPU: FDI_TX */
f0f59a00
VS
6996#define _FDI_TXA_CTL 0x60100
6997#define _FDI_TXB_CTL 0x61100
6998#define FDI_TX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
b9055052
ZW
6999#define FDI_TX_DISABLE (0<<31)
7000#define FDI_TX_ENABLE (1<<31)
7001#define FDI_LINK_TRAIN_PATTERN_1 (0<<28)
7002#define FDI_LINK_TRAIN_PATTERN_2 (1<<28)
7003#define FDI_LINK_TRAIN_PATTERN_IDLE (2<<28)
7004#define FDI_LINK_TRAIN_NONE (3<<28)
7005#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0<<25)
7006#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1<<25)
7007#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2<<25)
7008#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3<<25)
7009#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0<<22)
7010#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1<<22)
7011#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2<<22)
7012#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3<<22)
8db9d77b
ZW
7013/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
7014 SNB has different settings. */
7015/* SNB A-stepping */
7016#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
7017#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
7018#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
7019#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
7020/* SNB B-stepping */
7021#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0<<22)
7022#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a<<22)
7023#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39<<22)
7024#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38<<22)
7025#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f<<22)
627eb5a3
DV
7026#define FDI_DP_PORT_WIDTH_SHIFT 19
7027#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
7028#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
b9055052 7029#define FDI_TX_ENHANCE_FRAME_ENABLE (1<<18)
f2b115e6 7030/* Ironlake: hardwired to 1 */
b9055052 7031#define FDI_TX_PLL_ENABLE (1<<14)
357555c0
JB
7032
7033/* Ivybridge has different bits for lolz */
7034#define FDI_LINK_TRAIN_PATTERN_1_IVB (0<<8)
7035#define FDI_LINK_TRAIN_PATTERN_2_IVB (1<<8)
7036#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2<<8)
7037#define FDI_LINK_TRAIN_NONE_IVB (3<<8)
7038
b9055052 7039/* both Tx and Rx */
c4f9c4c2 7040#define FDI_COMPOSITE_SYNC (1<<11)
357555c0 7041#define FDI_LINK_TRAIN_AUTO (1<<10)
b9055052
ZW
7042#define FDI_SCRAMBLING_ENABLE (0<<7)
7043#define FDI_SCRAMBLING_DISABLE (1<<7)
7044
7045/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
7046#define _FDI_RXA_CTL 0xf000c
7047#define _FDI_RXB_CTL 0xf100c
f0f59a00 7048#define FDI_RX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
b9055052 7049#define FDI_RX_ENABLE (1<<31)
b9055052 7050/* train, dp width same as FDI_TX */
357555c0
JB
7051#define FDI_FS_ERRC_ENABLE (1<<27)
7052#define FDI_FE_ERRC_ENABLE (1<<26)
68d18ad7 7053#define FDI_RX_POLARITY_REVERSED_LPT (1<<16)
b9055052
ZW
7054#define FDI_8BPC (0<<16)
7055#define FDI_10BPC (1<<16)
7056#define FDI_6BPC (2<<16)
7057#define FDI_12BPC (3<<16)
3e68320e 7058#define FDI_RX_LINK_REVERSAL_OVERRIDE (1<<15)
b9055052
ZW
7059#define FDI_DMI_LINK_REVERSE_MASK (1<<14)
7060#define FDI_RX_PLL_ENABLE (1<<13)
7061#define FDI_FS_ERR_CORRECT_ENABLE (1<<11)
7062#define FDI_FE_ERR_CORRECT_ENABLE (1<<10)
7063#define FDI_FS_ERR_REPORT_ENABLE (1<<9)
7064#define FDI_FE_ERR_REPORT_ENABLE (1<<8)
7065#define FDI_RX_ENHANCE_FRAME_ENABLE (1<<6)
5eddb70b 7066#define FDI_PCDCLK (1<<4)
8db9d77b
ZW
7067/* CPT */
7068#define FDI_AUTO_TRAINING (1<<10)
7069#define FDI_LINK_TRAIN_PATTERN_1_CPT (0<<8)
7070#define FDI_LINK_TRAIN_PATTERN_2_CPT (1<<8)
7071#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2<<8)
7072#define FDI_LINK_TRAIN_NORMAL_CPT (3<<8)
7073#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3<<8)
b9055052 7074
04945641
PZ
7075#define _FDI_RXA_MISC 0xf0010
7076#define _FDI_RXB_MISC 0xf1010
7077#define FDI_RX_PWRDN_LANE1_MASK (3<<26)
7078#define FDI_RX_PWRDN_LANE1_VAL(x) ((x)<<26)
7079#define FDI_RX_PWRDN_LANE0_MASK (3<<24)
7080#define FDI_RX_PWRDN_LANE0_VAL(x) ((x)<<24)
7081#define FDI_RX_TP1_TO_TP2_48 (2<<20)
7082#define FDI_RX_TP1_TO_TP2_64 (3<<20)
7083#define FDI_RX_FDI_DELAY_90 (0x90<<0)
f0f59a00 7084#define FDI_RX_MISC(pipe) _MMIO_PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
04945641 7085
f0f59a00
VS
7086#define _FDI_RXA_TUSIZE1 0xf0030
7087#define _FDI_RXA_TUSIZE2 0xf0038
7088#define _FDI_RXB_TUSIZE1 0xf1030
7089#define _FDI_RXB_TUSIZE2 0xf1038
7090#define FDI_RX_TUSIZE1(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
7091#define FDI_RX_TUSIZE2(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
7092
7093/* FDI_RX interrupt register format */
7094#define FDI_RX_INTER_LANE_ALIGN (1<<10)
7095#define FDI_RX_SYMBOL_LOCK (1<<9) /* train 2 */
7096#define FDI_RX_BIT_LOCK (1<<8) /* train 1 */
7097#define FDI_RX_TRAIN_PATTERN_2_FAIL (1<<7)
7098#define FDI_RX_FS_CODE_ERR (1<<6)
7099#define FDI_RX_FE_CODE_ERR (1<<5)
7100#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1<<4)
7101#define FDI_RX_HDCP_LINK_FAIL (1<<3)
7102#define FDI_RX_PIXEL_FIFO_OVERFLOW (1<<2)
7103#define FDI_RX_CROSS_CLOCK_OVERFLOW (1<<1)
7104#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1<<0)
7105
f0f59a00
VS
7106#define _FDI_RXA_IIR 0xf0014
7107#define _FDI_RXA_IMR 0xf0018
7108#define _FDI_RXB_IIR 0xf1014
7109#define _FDI_RXB_IMR 0xf1018
7110#define FDI_RX_IIR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
7111#define FDI_RX_IMR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052 7112
f0f59a00
VS
7113#define FDI_PLL_CTL_1 _MMIO(0xfe000)
7114#define FDI_PLL_CTL_2 _MMIO(0xfe004)
b9055052 7115
f0f59a00 7116#define PCH_LVDS _MMIO(0xe1180)
b9055052
ZW
7117#define LVDS_DETECTED (1 << 1)
7118
f0f59a00
VS
7119#define _PCH_DP_B 0xe4100
7120#define PCH_DP_B _MMIO(_PCH_DP_B)
750a951f
VS
7121#define _PCH_DPB_AUX_CH_CTL 0xe4110
7122#define _PCH_DPB_AUX_CH_DATA1 0xe4114
7123#define _PCH_DPB_AUX_CH_DATA2 0xe4118
7124#define _PCH_DPB_AUX_CH_DATA3 0xe411c
7125#define _PCH_DPB_AUX_CH_DATA4 0xe4120
7126#define _PCH_DPB_AUX_CH_DATA5 0xe4124
5eb08b69 7127
f0f59a00
VS
7128#define _PCH_DP_C 0xe4200
7129#define PCH_DP_C _MMIO(_PCH_DP_C)
750a951f
VS
7130#define _PCH_DPC_AUX_CH_CTL 0xe4210
7131#define _PCH_DPC_AUX_CH_DATA1 0xe4214
7132#define _PCH_DPC_AUX_CH_DATA2 0xe4218
7133#define _PCH_DPC_AUX_CH_DATA3 0xe421c
7134#define _PCH_DPC_AUX_CH_DATA4 0xe4220
7135#define _PCH_DPC_AUX_CH_DATA5 0xe4224
5eb08b69 7136
f0f59a00
VS
7137#define _PCH_DP_D 0xe4300
7138#define PCH_DP_D _MMIO(_PCH_DP_D)
750a951f
VS
7139#define _PCH_DPD_AUX_CH_CTL 0xe4310
7140#define _PCH_DPD_AUX_CH_DATA1 0xe4314
7141#define _PCH_DPD_AUX_CH_DATA2 0xe4318
7142#define _PCH_DPD_AUX_CH_DATA3 0xe431c
7143#define _PCH_DPD_AUX_CH_DATA4 0xe4320
7144#define _PCH_DPD_AUX_CH_DATA5 0xe4324
7145
f0f59a00
VS
7146#define PCH_DP_AUX_CH_CTL(port) _MMIO_PORT((port) - PORT_B, _PCH_DPB_AUX_CH_CTL, _PCH_DPC_AUX_CH_CTL)
7147#define PCH_DP_AUX_CH_DATA(port, i) _MMIO(_PORT((port) - PORT_B, _PCH_DPB_AUX_CH_DATA1, _PCH_DPC_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
5eb08b69 7148
8db9d77b
ZW
7149/* CPT */
7150#define PORT_TRANS_A_SEL_CPT 0
7151#define PORT_TRANS_B_SEL_CPT (1<<29)
7152#define PORT_TRANS_C_SEL_CPT (2<<29)
7153#define PORT_TRANS_SEL_MASK (3<<29)
1519b995 7154#define PORT_TRANS_SEL_CPT(pipe) ((pipe) << 29)
19d8fe15
DV
7155#define PORT_TO_PIPE(val) (((val) & (1<<30)) >> 30)
7156#define PORT_TO_PIPE_CPT(val) (((val) & PORT_TRANS_SEL_MASK) >> 29)
71485e0a
VS
7157#define SDVO_PORT_TO_PIPE_CHV(val) (((val) & (3<<24)) >> 24)
7158#define DP_PORT_TO_PIPE_CHV(val) (((val) & (3<<16)) >> 16)
8db9d77b 7159
086f8e84
VS
7160#define _TRANS_DP_CTL_A 0xe0300
7161#define _TRANS_DP_CTL_B 0xe1300
7162#define _TRANS_DP_CTL_C 0xe2300
f0f59a00 7163#define TRANS_DP_CTL(pipe) _MMIO_PIPE(pipe, _TRANS_DP_CTL_A, _TRANS_DP_CTL_B)
8db9d77b
ZW
7164#define TRANS_DP_OUTPUT_ENABLE (1<<31)
7165#define TRANS_DP_PORT_SEL_B (0<<29)
7166#define TRANS_DP_PORT_SEL_C (1<<29)
7167#define TRANS_DP_PORT_SEL_D (2<<29)
cb3543c6 7168#define TRANS_DP_PORT_SEL_NONE (3<<29)
8db9d77b 7169#define TRANS_DP_PORT_SEL_MASK (3<<29)
adc289d7 7170#define TRANS_DP_PIPE_TO_PORT(val) ((((val) & TRANS_DP_PORT_SEL_MASK) >> 29) + PORT_B)
8db9d77b
ZW
7171#define TRANS_DP_AUDIO_ONLY (1<<26)
7172#define TRANS_DP_ENH_FRAMING (1<<18)
7173#define TRANS_DP_8BPC (0<<9)
7174#define TRANS_DP_10BPC (1<<9)
7175#define TRANS_DP_6BPC (2<<9)
7176#define TRANS_DP_12BPC (3<<9)
220cad3c 7177#define TRANS_DP_BPC_MASK (3<<9)
8db9d77b
ZW
7178#define TRANS_DP_VSYNC_ACTIVE_HIGH (1<<4)
7179#define TRANS_DP_VSYNC_ACTIVE_LOW 0
7180#define TRANS_DP_HSYNC_ACTIVE_HIGH (1<<3)
7181#define TRANS_DP_HSYNC_ACTIVE_LOW 0
94113cec 7182#define TRANS_DP_SYNC_MASK (3<<3)
8db9d77b
ZW
7183
7184/* SNB eDP training params */
7185/* SNB A-stepping */
7186#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38<<22)
7187#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02<<22)
7188#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01<<22)
7189#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0<<22)
7190/* SNB B-stepping */
3c5a62b5
YL
7191#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0<<22)
7192#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1<<22)
7193#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a<<22)
7194#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39<<22)
7195#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38<<22)
8db9d77b
ZW
7196#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f<<22)
7197
1a2eb460
KP
7198/* IVB */
7199#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 <<22)
7200#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a <<22)
7201#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f <<22)
7202#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 <<22)
7203#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 <<22)
7204#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 <<22)
77fa4cbd 7205#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x3e <<22)
1a2eb460
KP
7206
7207/* legacy values */
7208#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 <<22)
7209#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 <<22)
7210#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 <<22)
7211#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 <<22)
7212#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 <<22)
7213
7214#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f<<22)
7215
f0f59a00 7216#define VLV_PMWGICZ _MMIO(0x1300a4)
9e72b46c 7217
274008e8
SAK
7218#define RC6_LOCATION _MMIO(0xD40)
7219#define RC6_CTX_IN_DRAM (1 << 0)
7220#define RC6_CTX_BASE _MMIO(0xD48)
7221#define RC6_CTX_BASE_MASK 0xFFFFFFF0
7222#define PWRCTX_MAXCNT_RCSUNIT _MMIO(0x2054)
7223#define PWRCTX_MAXCNT_VCSUNIT0 _MMIO(0x12054)
7224#define PWRCTX_MAXCNT_BCSUNIT _MMIO(0x22054)
7225#define PWRCTX_MAXCNT_VECSUNIT _MMIO(0x1A054)
7226#define PWRCTX_MAXCNT_VCSUNIT1 _MMIO(0x1C054)
7227#define IDLE_TIME_MASK 0xFFFFF
f0f59a00
VS
7228#define FORCEWAKE _MMIO(0xA18C)
7229#define FORCEWAKE_VLV _MMIO(0x1300b0)
7230#define FORCEWAKE_ACK_VLV _MMIO(0x1300b4)
7231#define FORCEWAKE_MEDIA_VLV _MMIO(0x1300b8)
7232#define FORCEWAKE_ACK_MEDIA_VLV _MMIO(0x1300bc)
7233#define FORCEWAKE_ACK_HSW _MMIO(0x130044)
7234#define FORCEWAKE_ACK _MMIO(0x130090)
7235#define VLV_GTLC_WAKE_CTRL _MMIO(0x130090)
981a5aea
ID
7236#define VLV_GTLC_RENDER_CTX_EXISTS (1 << 25)
7237#define VLV_GTLC_MEDIA_CTX_EXISTS (1 << 24)
7238#define VLV_GTLC_ALLOWWAKEREQ (1 << 0)
7239
f0f59a00 7240#define VLV_GTLC_PW_STATUS _MMIO(0x130094)
981a5aea
ID
7241#define VLV_GTLC_ALLOWWAKEACK (1 << 0)
7242#define VLV_GTLC_ALLOWWAKEERR (1 << 1)
7243#define VLV_GTLC_PW_MEDIA_STATUS_MASK (1 << 5)
7244#define VLV_GTLC_PW_RENDER_STATUS_MASK (1 << 7)
f0f59a00
VS
7245#define FORCEWAKE_MT _MMIO(0xa188) /* multi-threaded */
7246#define FORCEWAKE_MEDIA_GEN9 _MMIO(0xa270)
7247#define FORCEWAKE_RENDER_GEN9 _MMIO(0xa278)
7248#define FORCEWAKE_BLITTER_GEN9 _MMIO(0xa188)
7249#define FORCEWAKE_ACK_MEDIA_GEN9 _MMIO(0x0D88)
7250#define FORCEWAKE_ACK_RENDER_GEN9 _MMIO(0x0D84)
7251#define FORCEWAKE_ACK_BLITTER_GEN9 _MMIO(0x130044)
c5836c27
CW
7252#define FORCEWAKE_KERNEL 0x1
7253#define FORCEWAKE_USER 0x2
f0f59a00
VS
7254#define FORCEWAKE_MT_ACK _MMIO(0x130040)
7255#define ECOBUS _MMIO(0xa180)
8d715f00 7256#define FORCEWAKE_MT_ENABLE (1<<5)
f0f59a00 7257#define VLV_SPAREG2H _MMIO(0xA194)
f2dd7578
AG
7258#define GEN9_PWRGT_DOMAIN_STATUS _MMIO(0xA2A0)
7259#define GEN9_PWRGT_MEDIA_STATUS_MASK (1 << 0)
7260#define GEN9_PWRGT_RENDER_STATUS_MASK (1 << 1)
8fd26859 7261
f0f59a00 7262#define GTFIFODBG _MMIO(0x120000)
297b32ec
VS
7263#define GT_FIFO_SBDEDICATE_FREE_ENTRY_CHV (0x1f << 20)
7264#define GT_FIFO_FREE_ENTRIES_CHV (0x7f << 13)
90f256b5
VS
7265#define GT_FIFO_SBDROPERR (1<<6)
7266#define GT_FIFO_BLOBDROPERR (1<<5)
7267#define GT_FIFO_SB_READ_ABORTERR (1<<4)
7268#define GT_FIFO_DROPERR (1<<3)
dd202c6d
BW
7269#define GT_FIFO_OVFERR (1<<2)
7270#define GT_FIFO_IAWRERR (1<<1)
7271#define GT_FIFO_IARDERR (1<<0)
7272
f0f59a00 7273#define GTFIFOCTL _MMIO(0x120008)
46520e2b 7274#define GT_FIFO_FREE_ENTRIES_MASK 0x7f
95736720 7275#define GT_FIFO_NUM_RESERVED_ENTRIES 20
a04f90a3
D
7276#define GT_FIFO_CTL_BLOCK_ALL_POLICY_STALL (1 << 12)
7277#define GT_FIFO_CTL_RC6_POLICY_STALL (1 << 11)
91355834 7278
f0f59a00 7279#define HSW_IDICR _MMIO(0x9008)
05e21cc4 7280#define IDIHASHMSK(x) (((x) & 0x3f) << 16)
3accaf7e 7281#define HSW_EDRAM_CAP _MMIO(0x120010)
2db59d53 7282#define EDRAM_ENABLED 0x1
c02e85a0
MK
7283#define EDRAM_NUM_BANKS(cap) (((cap) >> 1) & 0xf)
7284#define EDRAM_WAYS_IDX(cap) (((cap) >> 5) & 0x7)
7285#define EDRAM_SETS_IDX(cap) (((cap) >> 8) & 0x3)
05e21cc4 7286
f0f59a00 7287#define GEN6_UCGCTL1 _MMIO(0x9400)
8aeb7f62 7288# define GEN6_GAMUNIT_CLOCK_GATE_DISABLE (1 << 22)
e4443e45 7289# define GEN6_EU_TCUNIT_CLOCK_GATE_DISABLE (1 << 16)
80e829fa 7290# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 7291# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 7292
f0f59a00 7293#define GEN6_UCGCTL2 _MMIO(0x9404)
f9fc42f4 7294# define GEN6_VFUNIT_CLOCK_GATE_DISABLE (1 << 31)
0f846f81 7295# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 7296# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 7297# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 7298# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 7299# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 7300
f0f59a00 7301#define GEN6_UCGCTL3 _MMIO(0x9408)
d7965152 7302# define GEN6_OACSUNIT_CLOCK_GATE_DISABLE (1 << 20)
9e72b46c 7303
f0f59a00 7304#define GEN7_UCGCTL4 _MMIO(0x940c)
e3f33d46 7305#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1<<25)
eee8efb0 7306#define GEN8_EU_GAUNIT_CLOCK_GATE_DISABLE (1<<14)
e3f33d46 7307
f0f59a00
VS
7308#define GEN6_RCGCTL1 _MMIO(0x9410)
7309#define GEN6_RCGCTL2 _MMIO(0x9414)
7310#define GEN6_RSTCTL _MMIO(0x9420)
9e72b46c 7311
f0f59a00 7312#define GEN8_UCGCTL6 _MMIO(0x9430)
9253c2e5 7313#define GEN8_GAPSUNIT_CLOCK_GATE_DISABLE (1<<24)
4f1ca9e9 7314#define GEN8_SDEUNIT_CLOCK_GATE_DISABLE (1<<14)
868434c5 7315#define GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ (1<<28)
4f1ca9e9 7316
f0f59a00
VS
7317#define GEN6_GFXPAUSE _MMIO(0xA000)
7318#define GEN6_RPNSWREQ _MMIO(0xA008)
8fd26859
CW
7319#define GEN6_TURBO_DISABLE (1<<31)
7320#define GEN6_FREQUENCY(x) ((x)<<25)
92bd1bf0 7321#define HSW_FREQUENCY(x) ((x)<<24)
de43ae9d 7322#define GEN9_FREQUENCY(x) ((x)<<23)
8fd26859
CW
7323#define GEN6_OFFSET(x) ((x)<<19)
7324#define GEN6_AGGRESSIVE_TURBO (0<<15)
f0f59a00
VS
7325#define GEN6_RC_VIDEO_FREQ _MMIO(0xA00C)
7326#define GEN6_RC_CONTROL _MMIO(0xA090)
8fd26859
CW
7327#define GEN6_RC_CTL_RC6pp_ENABLE (1<<16)
7328#define GEN6_RC_CTL_RC6p_ENABLE (1<<17)
7329#define GEN6_RC_CTL_RC6_ENABLE (1<<18)
7330#define GEN6_RC_CTL_RC1e_ENABLE (1<<20)
7331#define GEN6_RC_CTL_RC7_ENABLE (1<<22)
6b88f295 7332#define VLV_RC_CTL_CTX_RST_PARALLEL (1<<24)
0a073b84 7333#define GEN7_RC_CTL_TO_MODE (1<<28)
8fd26859
CW
7334#define GEN6_RC_CTL_EI_MODE(x) ((x)<<27)
7335#define GEN6_RC_CTL_HW_ENABLE (1<<31)
f0f59a00
VS
7336#define GEN6_RP_DOWN_TIMEOUT _MMIO(0xA010)
7337#define GEN6_RP_INTERRUPT_LIMITS _MMIO(0xA014)
7338#define GEN6_RPSTAT1 _MMIO(0xA01C)
ccab5c82 7339#define GEN6_CAGF_SHIFT 8
f82855d3 7340#define HSW_CAGF_SHIFT 7
de43ae9d 7341#define GEN9_CAGF_SHIFT 23
ccab5c82 7342#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 7343#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
de43ae9d 7344#define GEN9_CAGF_MASK (0x1ff << GEN9_CAGF_SHIFT)
f0f59a00 7345#define GEN6_RP_CONTROL _MMIO(0xA024)
8fd26859 7346#define GEN6_RP_MEDIA_TURBO (1<<11)
6ed55ee7
BW
7347#define GEN6_RP_MEDIA_MODE_MASK (3<<9)
7348#define GEN6_RP_MEDIA_HW_TURBO_MODE (3<<9)
7349#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2<<9)
7350#define GEN6_RP_MEDIA_HW_MODE (1<<9)
7351#define GEN6_RP_MEDIA_SW_MODE (0<<9)
8fd26859
CW
7352#define GEN6_RP_MEDIA_IS_GFX (1<<8)
7353#define GEN6_RP_ENABLE (1<<7)
ccab5c82
JB
7354#define GEN6_RP_UP_IDLE_MIN (0x1<<3)
7355#define GEN6_RP_UP_BUSY_AVG (0x2<<3)
7356#define GEN6_RP_UP_BUSY_CONT (0x4<<3)
dd75fdc8 7357#define GEN6_RP_DOWN_IDLE_AVG (0x2<<0)
ccab5c82 7358#define GEN6_RP_DOWN_IDLE_CONT (0x1<<0)
f0f59a00
VS
7359#define GEN6_RP_UP_THRESHOLD _MMIO(0xA02C)
7360#define GEN6_RP_DOWN_THRESHOLD _MMIO(0xA030)
7361#define GEN6_RP_CUR_UP_EI _MMIO(0xA050)
7466c291
CW
7362#define GEN6_RP_EI_MASK 0xffffff
7363#define GEN6_CURICONT_MASK GEN6_RP_EI_MASK
f0f59a00 7364#define GEN6_RP_CUR_UP _MMIO(0xA054)
7466c291 7365#define GEN6_CURBSYTAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
7366#define GEN6_RP_PREV_UP _MMIO(0xA058)
7367#define GEN6_RP_CUR_DOWN_EI _MMIO(0xA05C)
7466c291 7368#define GEN6_CURIAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
7369#define GEN6_RP_CUR_DOWN _MMIO(0xA060)
7370#define GEN6_RP_PREV_DOWN _MMIO(0xA064)
7371#define GEN6_RP_UP_EI _MMIO(0xA068)
7372#define GEN6_RP_DOWN_EI _MMIO(0xA06C)
7373#define GEN6_RP_IDLE_HYSTERSIS _MMIO(0xA070)
7374#define GEN6_RPDEUHWTC _MMIO(0xA080)
7375#define GEN6_RPDEUC _MMIO(0xA084)
7376#define GEN6_RPDEUCSW _MMIO(0xA088)
7377#define GEN6_RC_STATE _MMIO(0xA094)
fc619841
ID
7378#define RC_SW_TARGET_STATE_SHIFT 16
7379#define RC_SW_TARGET_STATE_MASK (7 << RC_SW_TARGET_STATE_SHIFT)
f0f59a00
VS
7380#define GEN6_RC1_WAKE_RATE_LIMIT _MMIO(0xA098)
7381#define GEN6_RC6_WAKE_RATE_LIMIT _MMIO(0xA09C)
7382#define GEN6_RC6pp_WAKE_RATE_LIMIT _MMIO(0xA0A0)
7383#define GEN6_RC_EVALUATION_INTERVAL _MMIO(0xA0A8)
7384#define GEN6_RC_IDLE_HYSTERSIS _MMIO(0xA0AC)
7385#define GEN6_RC_SLEEP _MMIO(0xA0B0)
7386#define GEN6_RCUBMABDTMR _MMIO(0xA0B0)
7387#define GEN6_RC1e_THRESHOLD _MMIO(0xA0B4)
7388#define GEN6_RC6_THRESHOLD _MMIO(0xA0B8)
7389#define GEN6_RC6p_THRESHOLD _MMIO(0xA0BC)
7390#define VLV_RCEDATA _MMIO(0xA0BC)
7391#define GEN6_RC6pp_THRESHOLD _MMIO(0xA0C0)
7392#define GEN6_PMINTRMSK _MMIO(0xA168)
b20e3cfe 7393#define GEN8_PMINTR_REDIRECT_TO_GUC (1<<31)
fc619841 7394#define GEN8_MISC_CTRL0 _MMIO(0xA180)
f0f59a00
VS
7395#define VLV_PWRDWNUPCTL _MMIO(0xA294)
7396#define GEN9_MEDIA_PG_IDLE_HYSTERESIS _MMIO(0xA0C4)
7397#define GEN9_RENDER_PG_IDLE_HYSTERESIS _MMIO(0xA0C8)
7398#define GEN9_PG_ENABLE _MMIO(0xA210)
a4104c55
SK
7399#define GEN9_RENDER_PG_ENABLE (1<<0)
7400#define GEN9_MEDIA_PG_ENABLE (1<<1)
fc619841
ID
7401#define GEN8_PUSHBUS_CONTROL _MMIO(0xA248)
7402#define GEN8_PUSHBUS_ENABLE _MMIO(0xA250)
7403#define GEN8_PUSHBUS_SHIFT _MMIO(0xA25C)
8fd26859 7404
f0f59a00 7405#define VLV_CHICKEN_3 _MMIO(VLV_DISPLAY_BASE + 0x7040C)
a9da9bce
GS
7406#define PIXEL_OVERLAP_CNT_MASK (3 << 30)
7407#define PIXEL_OVERLAP_CNT_SHIFT 30
7408
f0f59a00
VS
7409#define GEN6_PMISR _MMIO(0x44020)
7410#define GEN6_PMIMR _MMIO(0x44024) /* rps_lock */
7411#define GEN6_PMIIR _MMIO(0x44028)
7412#define GEN6_PMIER _MMIO(0x4402C)
8fd26859
CW
7413#define GEN6_PM_MBOX_EVENT (1<<25)
7414#define GEN6_PM_THERMAL_EVENT (1<<24)
7415#define GEN6_PM_RP_DOWN_TIMEOUT (1<<6)
7416#define GEN6_PM_RP_UP_THRESHOLD (1<<5)
7417#define GEN6_PM_RP_DOWN_THRESHOLD (1<<4)
7418#define GEN6_PM_RP_UP_EI_EXPIRED (1<<2)
7419#define GEN6_PM_RP_DOWN_EI_EXPIRED (1<<1)
4848405c 7420#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_THRESHOLD | \
4912d041
BW
7421 GEN6_PM_RP_DOWN_THRESHOLD | \
7422 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 7423
f0f59a00 7424#define GEN7_GT_SCRATCH(i) _MMIO(0x4F100 + (i) * 4)
9e72b46c
ID
7425#define GEN7_GT_SCRATCH_REG_NUM 8
7426
f0f59a00 7427#define VLV_GTLC_SURVIVABILITY_REG _MMIO(0x130098)
76c3552f
D
7428#define VLV_GFX_CLK_STATUS_BIT (1<<3)
7429#define VLV_GFX_CLK_FORCE_ON_BIT (1<<2)
7430
f0f59a00
VS
7431#define GEN6_GT_GFX_RC6_LOCKED _MMIO(0x138104)
7432#define VLV_COUNTER_CONTROL _MMIO(0x138104)
49798eb2 7433#define VLV_COUNT_RANGE_HIGH (1<<15)
31685c25
D
7434#define VLV_MEDIA_RC0_COUNT_EN (1<<5)
7435#define VLV_RENDER_RC0_COUNT_EN (1<<4)
49798eb2
JB
7436#define VLV_MEDIA_RC6_COUNT_EN (1<<1)
7437#define VLV_RENDER_RC6_COUNT_EN (1<<0)
f0f59a00
VS
7438#define GEN6_GT_GFX_RC6 _MMIO(0x138108)
7439#define VLV_GT_RENDER_RC6 _MMIO(0x138108)
7440#define VLV_GT_MEDIA_RC6 _MMIO(0x13810C)
9cc19be5 7441
f0f59a00
VS
7442#define GEN6_GT_GFX_RC6p _MMIO(0x13810C)
7443#define GEN6_GT_GFX_RC6pp _MMIO(0x138110)
7444#define VLV_RENDER_C0_COUNT _MMIO(0x138118)
7445#define VLV_MEDIA_C0_COUNT _MMIO(0x13811C)
cce66a28 7446
f0f59a00 7447#define GEN6_PCODE_MAILBOX _MMIO(0x138124)
8fd26859 7448#define GEN6_PCODE_READY (1<<31)
87660502
L
7449#define GEN6_PCODE_ERROR_MASK 0xFF
7450#define GEN6_PCODE_SUCCESS 0x0
7451#define GEN6_PCODE_ILLEGAL_CMD 0x1
7452#define GEN6_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x2
7453#define GEN6_PCODE_TIMEOUT 0x3
7454#define GEN6_PCODE_UNIMPLEMENTED_CMD 0xFF
7455#define GEN7_PCODE_TIMEOUT 0x2
7456#define GEN7_PCODE_ILLEGAL_DATA 0x3
7457#define GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x10
31643d54
BW
7458#define GEN6_PCODE_WRITE_RC6VIDS 0x4
7459#define GEN6_PCODE_READ_RC6VIDS 0x5
9043ae02
DL
7460#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
7461#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
b432e5cf 7462#define BDW_PCODE_DISPLAY_FREQ_CHANGE_REQ 0x18
57520bc5
DL
7463#define GEN9_PCODE_READ_MEM_LATENCY 0x6
7464#define GEN9_MEM_LATENCY_LEVEL_MASK 0xFF
7465#define GEN9_MEM_LATENCY_LEVEL_1_5_SHIFT 8
7466#define GEN9_MEM_LATENCY_LEVEL_2_6_SHIFT 16
7467#define GEN9_MEM_LATENCY_LEVEL_3_7_SHIFT 24
5d96d8af
DL
7468#define SKL_PCODE_CDCLK_CONTROL 0x7
7469#define SKL_CDCLK_PREPARE_FOR_CHANGE 0x3
7470#define SKL_CDCLK_READY_FOR_CHANGE 0x1
9043ae02
DL
7471#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
7472#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
7473#define GEN6_READ_OC_PARAMS 0xc
515b2392
PZ
7474#define GEN6_PCODE_READ_D_COMP 0x10
7475#define GEN6_PCODE_WRITE_D_COMP 0x11
f8437dd1 7476#define HSW_PCODE_DE_WRITE_FREQ_REQ 0x17
2a114cc1 7477#define DISPLAY_IPS_CONTROL 0x19
93ee2920 7478#define HSW_PCODE_DYNAMIC_DUTY_CYCLE_CONTROL 0x1A
656d1b89
L
7479#define GEN9_PCODE_SAGV_CONTROL 0x21
7480#define GEN9_SAGV_DISABLE 0x0
7481#define GEN9_SAGV_IS_DISABLED 0x1
7482#define GEN9_SAGV_ENABLE 0x3
f0f59a00 7483#define GEN6_PCODE_DATA _MMIO(0x138128)
23b2f8bb 7484#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 7485#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
f0f59a00 7486#define GEN6_PCODE_DATA1 _MMIO(0x13812C)
8fd26859 7487
f0f59a00 7488#define GEN6_GT_CORE_STATUS _MMIO(0x138060)
4d85529d
BW
7489#define GEN6_CORE_CPD_STATE_MASK (7<<4)
7490#define GEN6_RCn_MASK 7
7491#define GEN6_RC0 0
7492#define GEN6_RC3 2
7493#define GEN6_RC6 3
7494#define GEN6_RC7 4
7495
f0f59a00 7496#define GEN8_GT_SLICE_INFO _MMIO(0x138064)
91bedd34
ŁD
7497#define GEN8_LSLICESTAT_MASK 0x7
7498
f0f59a00
VS
7499#define CHV_POWER_SS0_SIG1 _MMIO(0xa720)
7500#define CHV_POWER_SS1_SIG1 _MMIO(0xa728)
5575f03a
JM
7501#define CHV_SS_PG_ENABLE (1<<1)
7502#define CHV_EU08_PG_ENABLE (1<<9)
7503#define CHV_EU19_PG_ENABLE (1<<17)
7504#define CHV_EU210_PG_ENABLE (1<<25)
7505
f0f59a00
VS
7506#define CHV_POWER_SS0_SIG2 _MMIO(0xa724)
7507#define CHV_POWER_SS1_SIG2 _MMIO(0xa72c)
5575f03a
JM
7508#define CHV_EU311_PG_ENABLE (1<<1)
7509
f0f59a00 7510#define GEN9_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + (slice)*0x4)
7f992aba 7511#define GEN9_PGCTL_SLICE_ACK (1 << 0)
1c046bc1 7512#define GEN9_PGCTL_SS_ACK(subslice) (1 << (2 + (subslice)*2))
7f992aba 7513
f0f59a00
VS
7514#define GEN9_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + (slice)*0x8)
7515#define GEN9_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + (slice)*0x8)
7f992aba
JM
7516#define GEN9_PGCTL_SSA_EU08_ACK (1 << 0)
7517#define GEN9_PGCTL_SSA_EU19_ACK (1 << 2)
7518#define GEN9_PGCTL_SSA_EU210_ACK (1 << 4)
7519#define GEN9_PGCTL_SSA_EU311_ACK (1 << 6)
7520#define GEN9_PGCTL_SSB_EU08_ACK (1 << 8)
7521#define GEN9_PGCTL_SSB_EU19_ACK (1 << 10)
7522#define GEN9_PGCTL_SSB_EU210_ACK (1 << 12)
7523#define GEN9_PGCTL_SSB_EU311_ACK (1 << 14)
7524
f0f59a00 7525#define GEN7_MISCCPCTL _MMIO(0x9424)
33a732f4
AD
7526#define GEN7_DOP_CLOCK_GATE_ENABLE (1<<0)
7527#define GEN8_DOP_CLOCK_GATE_CFCLK_ENABLE (1<<2)
7528#define GEN8_DOP_CLOCK_GATE_GUC_ENABLE (1<<4)
5b88abac 7529#define GEN8_DOP_CLOCK_GATE_MEDIA_ENABLE (1<<6)
e3689190 7530
f0f59a00 7531#define GEN8_GARBCNTL _MMIO(0xB004)
245d9667
AS
7532#define GEN9_GAPS_TSV_CREDIT_DISABLE (1<<7)
7533
e3689190 7534/* IVYBRIDGE DPF */
f0f59a00 7535#define GEN7_L3CDERRST1(slice) _MMIO(0xB008 + (slice) * 0x200) /* L3CD Error Status 1 */
e3689190
BW
7536#define GEN7_L3CDERRST1_ROW_MASK (0x7ff<<14)
7537#define GEN7_PARITY_ERROR_VALID (1<<13)
7538#define GEN7_L3CDERRST1_BANK_MASK (3<<11)
7539#define GEN7_L3CDERRST1_SUBBANK_MASK (7<<8)
7540#define GEN7_PARITY_ERROR_ROW(reg) \
7541 ((reg & GEN7_L3CDERRST1_ROW_MASK) >> 14)
7542#define GEN7_PARITY_ERROR_BANK(reg) \
7543 ((reg & GEN7_L3CDERRST1_BANK_MASK) >> 11)
7544#define GEN7_PARITY_ERROR_SUBBANK(reg) \
7545 ((reg & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
7546#define GEN7_L3CDERRST1_ENABLE (1<<7)
7547
f0f59a00 7548#define GEN7_L3LOG(slice, i) _MMIO(0xB070 + (slice) * 0x200 + (i) * 4)
b9524a1e
BW
7549#define GEN7_L3LOG_SIZE 0x80
7550
f0f59a00
VS
7551#define GEN7_HALF_SLICE_CHICKEN1 _MMIO(0xe100) /* IVB GT1 + VLV */
7552#define GEN7_HALF_SLICE_CHICKEN1_GT2 _MMIO(0xf100)
12f3382b 7553#define GEN7_MAX_PS_THREAD_DEP (8<<12)
4c2e7a5f 7554#define GEN7_SINGLE_SUBSCAN_DISPATCH_ENABLE (1<<10)
983b4b9d 7555#define GEN7_SBE_SS_CACHE_DISPATCH_PORT_SHARING_DISABLE (1<<4)
12f3382b
JB
7556#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1<<3)
7557
f0f59a00 7558#define GEN9_HALF_SLICE_CHICKEN5 _MMIO(0xe188)
3ca5da43 7559#define GEN9_DG_MIRROR_FIX_ENABLE (1<<5)
e2db7071 7560#define GEN9_CCS_TLB_PREFETCH_ENABLE (1<<3)
3ca5da43 7561
f0f59a00 7562#define GEN8_ROW_CHICKEN _MMIO(0xe4f0)
950b2aae 7563#define FLOW_CONTROL_ENABLE (1<<15)
c8966e10 7564#define PARTIAL_INSTRUCTION_SHOOTDOWN_DISABLE (1<<8)
1411e6a5 7565#define STALL_DOP_GATING_DISABLE (1<<5)
c8966e10 7566
f0f59a00
VS
7567#define GEN7_ROW_CHICKEN2 _MMIO(0xe4f4)
7568#define GEN7_ROW_CHICKEN2_GT2 _MMIO(0xf4f4)
8ab43976
JB
7569#define DOP_CLOCK_GATING_DISABLE (1<<0)
7570
f0f59a00 7571#define HSW_ROW_CHICKEN3 _MMIO(0xe49c)
f3fc4884
FJ
7572#define HSW_ROW_CHICKEN3_L3_GLOBAL_ATOMICS_DISABLE (1 << 6)
7573
f0f59a00 7574#define HALF_SLICE_CHICKEN2 _MMIO(0xe180)
6b6d5626
RB
7575#define GEN8_ST_PO_DISABLE (1<<13)
7576
f0f59a00 7577#define HALF_SLICE_CHICKEN3 _MMIO(0xe184)
94411593 7578#define HSW_SAMPLE_C_PERFORMANCE (1<<9)
fd392b60 7579#define GEN8_CENTROID_PIXEL_OPT_DIS (1<<8)
8424171e 7580#define GEN9_DISABLE_OCL_OOB_SUPPRESS_LOGIC (1<<5)
bf66347c 7581#define GEN8_SAMPLER_POWER_BYPASS_DIS (1<<1)
fd392b60 7582
f0f59a00 7583#define GEN9_HALF_SLICE_CHICKEN7 _MMIO(0xe194)
cac23df4 7584#define GEN9_ENABLE_YV12_BUGFIX (1<<4)
bfd8ad4e 7585#define GEN9_ENABLE_GPGPU_PREEMPTION (1<<2)
cac23df4 7586
c46f111f 7587/* Audio */
f0f59a00 7588#define G4X_AUD_VID_DID _MMIO(dev_priv->info.display_mmio_offset + 0x62020)
c46f111f
JN
7589#define INTEL_AUDIO_DEVCL 0x808629FB
7590#define INTEL_AUDIO_DEVBLC 0x80862801
7591#define INTEL_AUDIO_DEVCTG 0x80862802
e0dac65e 7592
f0f59a00 7593#define G4X_AUD_CNTL_ST _MMIO(0x620B4)
c46f111f
JN
7594#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
7595#define G4X_ELDV_DEVCTG (1 << 14)
7596#define G4X_ELD_ADDR_MASK (0xf << 5)
7597#define G4X_ELD_ACK (1 << 4)
f0f59a00 7598#define G4X_HDMIW_HDMIEDID _MMIO(0x6210C)
e0dac65e 7599
c46f111f
JN
7600#define _IBX_HDMIW_HDMIEDID_A 0xE2050
7601#define _IBX_HDMIW_HDMIEDID_B 0xE2150
f0f59a00
VS
7602#define IBX_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _IBX_HDMIW_HDMIEDID_A, \
7603 _IBX_HDMIW_HDMIEDID_B)
c46f111f
JN
7604#define _IBX_AUD_CNTL_ST_A 0xE20B4
7605#define _IBX_AUD_CNTL_ST_B 0xE21B4
f0f59a00
VS
7606#define IBX_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CNTL_ST_A, \
7607 _IBX_AUD_CNTL_ST_B)
c46f111f
JN
7608#define IBX_ELD_BUFFER_SIZE_MASK (0x1f << 10)
7609#define IBX_ELD_ADDRESS_MASK (0x1f << 5)
7610#define IBX_ELD_ACK (1 << 4)
f0f59a00 7611#define IBX_AUD_CNTL_ST2 _MMIO(0xE20C0)
82910ac6
JN
7612#define IBX_CP_READY(port) ((1 << 1) << (((port) - 1) * 4))
7613#define IBX_ELD_VALID(port) ((1 << 0) << (((port) - 1) * 4))
1202b4c6 7614
c46f111f
JN
7615#define _CPT_HDMIW_HDMIEDID_A 0xE5050
7616#define _CPT_HDMIW_HDMIEDID_B 0xE5150
f0f59a00 7617#define CPT_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _CPT_HDMIW_HDMIEDID_A, _CPT_HDMIW_HDMIEDID_B)
c46f111f
JN
7618#define _CPT_AUD_CNTL_ST_A 0xE50B4
7619#define _CPT_AUD_CNTL_ST_B 0xE51B4
f0f59a00
VS
7620#define CPT_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CNTL_ST_A, _CPT_AUD_CNTL_ST_B)
7621#define CPT_AUD_CNTRL_ST2 _MMIO(0xE50C0)
e0dac65e 7622
c46f111f
JN
7623#define _VLV_HDMIW_HDMIEDID_A (VLV_DISPLAY_BASE + 0x62050)
7624#define _VLV_HDMIW_HDMIEDID_B (VLV_DISPLAY_BASE + 0x62150)
f0f59a00 7625#define VLV_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _VLV_HDMIW_HDMIEDID_A, _VLV_HDMIW_HDMIEDID_B)
c46f111f
JN
7626#define _VLV_AUD_CNTL_ST_A (VLV_DISPLAY_BASE + 0x620B4)
7627#define _VLV_AUD_CNTL_ST_B (VLV_DISPLAY_BASE + 0x621B4)
f0f59a00
VS
7628#define VLV_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CNTL_ST_A, _VLV_AUD_CNTL_ST_B)
7629#define VLV_AUD_CNTL_ST2 _MMIO(VLV_DISPLAY_BASE + 0x620C0)
9ca2fe73 7630
ae662d31
EA
7631/* These are the 4 32-bit write offset registers for each stream
7632 * output buffer. It determines the offset from the
7633 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
7634 */
f0f59a00 7635#define GEN7_SO_WRITE_OFFSET(n) _MMIO(0x5280 + (n) * 4)
ae662d31 7636
c46f111f
JN
7637#define _IBX_AUD_CONFIG_A 0xe2000
7638#define _IBX_AUD_CONFIG_B 0xe2100
f0f59a00 7639#define IBX_AUD_CFG(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CONFIG_A, _IBX_AUD_CONFIG_B)
c46f111f
JN
7640#define _CPT_AUD_CONFIG_A 0xe5000
7641#define _CPT_AUD_CONFIG_B 0xe5100
f0f59a00 7642#define CPT_AUD_CFG(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CONFIG_A, _CPT_AUD_CONFIG_B)
c46f111f
JN
7643#define _VLV_AUD_CONFIG_A (VLV_DISPLAY_BASE + 0x62000)
7644#define _VLV_AUD_CONFIG_B (VLV_DISPLAY_BASE + 0x62100)
f0f59a00 7645#define VLV_AUD_CFG(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CONFIG_A, _VLV_AUD_CONFIG_B)
9ca2fe73 7646
b6daa025
WF
7647#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
7648#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
7649#define AUD_CONFIG_UPPER_N_SHIFT 20
c46f111f 7650#define AUD_CONFIG_UPPER_N_MASK (0xff << 20)
b6daa025 7651#define AUD_CONFIG_LOWER_N_SHIFT 4
c46f111f 7652#define AUD_CONFIG_LOWER_N_MASK (0xfff << 4)
2561389a
JN
7653#define AUD_CONFIG_N_MASK (AUD_CONFIG_UPPER_N_MASK | AUD_CONFIG_LOWER_N_MASK)
7654#define AUD_CONFIG_N(n) \
7655 (((((n) >> 12) & 0xff) << AUD_CONFIG_UPPER_N_SHIFT) | \
7656 (((n) & 0xfff) << AUD_CONFIG_LOWER_N_SHIFT))
b6daa025 7657#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
1a91510d
JN
7658#define AUD_CONFIG_PIXEL_CLOCK_HDMI_MASK (0xf << 16)
7659#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25175 (0 << 16)
7660#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25200 (1 << 16)
7661#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27000 (2 << 16)
7662#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27027 (3 << 16)
7663#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54000 (4 << 16)
7664#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54054 (5 << 16)
7665#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74176 (6 << 16)
7666#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74250 (7 << 16)
7667#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148352 (8 << 16)
7668#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148500 (9 << 16)
b6daa025
WF
7669#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
7670
9a78b6cc 7671/* HSW Audio */
c46f111f
JN
7672#define _HSW_AUD_CONFIG_A 0x65000
7673#define _HSW_AUD_CONFIG_B 0x65100
f0f59a00 7674#define HSW_AUD_CFG(pipe) _MMIO_PIPE(pipe, _HSW_AUD_CONFIG_A, _HSW_AUD_CONFIG_B)
c46f111f
JN
7675
7676#define _HSW_AUD_MISC_CTRL_A 0x65010
7677#define _HSW_AUD_MISC_CTRL_B 0x65110
f0f59a00 7678#define HSW_AUD_MISC_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_MISC_CTRL_A, _HSW_AUD_MISC_CTRL_B)
c46f111f 7679
6014ac12
LY
7680#define _HSW_AUD_M_CTS_ENABLE_A 0x65028
7681#define _HSW_AUD_M_CTS_ENABLE_B 0x65128
7682#define HSW_AUD_M_CTS_ENABLE(pipe) _MMIO_PIPE(pipe, _HSW_AUD_M_CTS_ENABLE_A, _HSW_AUD_M_CTS_ENABLE_B)
7683#define AUD_M_CTS_M_VALUE_INDEX (1 << 21)
7684#define AUD_M_CTS_M_PROG_ENABLE (1 << 20)
7685#define AUD_CONFIG_M_MASK 0xfffff
7686
c46f111f
JN
7687#define _HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4
7688#define _HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4
f0f59a00 7689#define HSW_AUD_DIP_ELD_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIP_ELD_CTRL_ST_A, _HSW_AUD_DIP_ELD_CTRL_ST_B)
9a78b6cc
WX
7690
7691/* Audio Digital Converter */
c46f111f
JN
7692#define _HSW_AUD_DIG_CNVT_1 0x65080
7693#define _HSW_AUD_DIG_CNVT_2 0x65180
f0f59a00 7694#define AUD_DIG_CNVT(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIG_CNVT_1, _HSW_AUD_DIG_CNVT_2)
c46f111f
JN
7695#define DIP_PORT_SEL_MASK 0x3
7696
7697#define _HSW_AUD_EDID_DATA_A 0x65050
7698#define _HSW_AUD_EDID_DATA_B 0x65150
f0f59a00 7699#define HSW_AUD_EDID_DATA(pipe) _MMIO_PIPE(pipe, _HSW_AUD_EDID_DATA_A, _HSW_AUD_EDID_DATA_B)
c46f111f 7700
f0f59a00
VS
7701#define HSW_AUD_PIPE_CONV_CFG _MMIO(0x6507c)
7702#define HSW_AUD_PIN_ELD_CP_VLD _MMIO(0x650c0)
82910ac6
JN
7703#define AUDIO_INACTIVE(trans) ((1 << 3) << ((trans) * 4))
7704#define AUDIO_OUTPUT_ENABLE(trans) ((1 << 2) << ((trans) * 4))
7705#define AUDIO_CP_READY(trans) ((1 << 1) << ((trans) * 4))
7706#define AUDIO_ELD_VALID(trans) ((1 << 0) << ((trans) * 4))
9a78b6cc 7707
f0f59a00 7708#define HSW_AUD_CHICKENBIT _MMIO(0x65f10)
632f3ab9
LH
7709#define SKL_AUD_CODEC_WAKE_SIGNAL (1 << 15)
7710
9eb3a752 7711/* HSW Power Wells */
f0f59a00
VS
7712#define HSW_PWR_WELL_BIOS _MMIO(0x45400) /* CTL1 */
7713#define HSW_PWR_WELL_DRIVER _MMIO(0x45404) /* CTL2 */
7714#define HSW_PWR_WELL_KVMR _MMIO(0x45408) /* CTL3 */
7715#define HSW_PWR_WELL_DEBUG _MMIO(0x4540C) /* CTL4 */
6aedd1f5
PZ
7716#define HSW_PWR_WELL_ENABLE_REQUEST (1<<31)
7717#define HSW_PWR_WELL_STATE_ENABLED (1<<30)
f0f59a00 7718#define HSW_PWR_WELL_CTL5 _MMIO(0x45410)
9eb3a752
ED
7719#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1<<31)
7720#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1<<20)
5e49cea6 7721#define HSW_PWR_WELL_FORCE_ON (1<<19)
f0f59a00 7722#define HSW_PWR_WELL_CTL6 _MMIO(0x45414)
9eb3a752 7723
94dd5138 7724/* SKL Fuse Status */
f0f59a00 7725#define SKL_FUSE_STATUS _MMIO(0x42000)
94dd5138
S
7726#define SKL_FUSE_DOWNLOAD_STATUS (1<<31)
7727#define SKL_FUSE_PG0_DIST_STATUS (1<<27)
7728#define SKL_FUSE_PG1_DIST_STATUS (1<<26)
7729#define SKL_FUSE_PG2_DIST_STATUS (1<<25)
7730
85ee17eb
PP
7731/* Decoupled MMIO register pair for kernel driver */
7732#define GEN9_DECOUPLED_REG0_DW0 _MMIO(0xF00)
7733#define GEN9_DECOUPLED_REG0_DW1 _MMIO(0xF04)
7734#define GEN9_DECOUPLED_DW1_GO (1<<31)
7735#define GEN9_DECOUPLED_PD_SHIFT 28
7736#define GEN9_DECOUPLED_OP_SHIFT 24
7737
e7e104c3 7738/* Per-pipe DDI Function Control */
086f8e84
VS
7739#define _TRANS_DDI_FUNC_CTL_A 0x60400
7740#define _TRANS_DDI_FUNC_CTL_B 0x61400
7741#define _TRANS_DDI_FUNC_CTL_C 0x62400
7742#define _TRANS_DDI_FUNC_CTL_EDP 0x6F400
f0f59a00 7743#define TRANS_DDI_FUNC_CTL(tran) _MMIO_TRANS2(tran, _TRANS_DDI_FUNC_CTL_A)
a57c774a 7744
ad80a810 7745#define TRANS_DDI_FUNC_ENABLE (1<<31)
e7e104c3 7746/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
ad80a810 7747#define TRANS_DDI_PORT_MASK (7<<28)
26804afd 7748#define TRANS_DDI_PORT_SHIFT 28
ad80a810
PZ
7749#define TRANS_DDI_SELECT_PORT(x) ((x)<<28)
7750#define TRANS_DDI_PORT_NONE (0<<28)
7751#define TRANS_DDI_MODE_SELECT_MASK (7<<24)
7752#define TRANS_DDI_MODE_SELECT_HDMI (0<<24)
7753#define TRANS_DDI_MODE_SELECT_DVI (1<<24)
7754#define TRANS_DDI_MODE_SELECT_DP_SST (2<<24)
7755#define TRANS_DDI_MODE_SELECT_DP_MST (3<<24)
7756#define TRANS_DDI_MODE_SELECT_FDI (4<<24)
7757#define TRANS_DDI_BPC_MASK (7<<20)
7758#define TRANS_DDI_BPC_8 (0<<20)
7759#define TRANS_DDI_BPC_10 (1<<20)
7760#define TRANS_DDI_BPC_6 (2<<20)
7761#define TRANS_DDI_BPC_12 (3<<20)
7762#define TRANS_DDI_PVSYNC (1<<17)
7763#define TRANS_DDI_PHSYNC (1<<16)
7764#define TRANS_DDI_EDP_INPUT_MASK (7<<12)
7765#define TRANS_DDI_EDP_INPUT_A_ON (0<<12)
7766#define TRANS_DDI_EDP_INPUT_A_ONOFF (4<<12)
7767#define TRANS_DDI_EDP_INPUT_B_ONOFF (5<<12)
7768#define TRANS_DDI_EDP_INPUT_C_ONOFF (6<<12)
01b887c3 7769#define TRANS_DDI_DP_VC_PAYLOAD_ALLOC (1<<8)
ad80a810 7770#define TRANS_DDI_BFI_ENABLE (1<<4)
e7e104c3 7771
0e87f667 7772/* DisplayPort Transport Control */
086f8e84
VS
7773#define _DP_TP_CTL_A 0x64040
7774#define _DP_TP_CTL_B 0x64140
f0f59a00 7775#define DP_TP_CTL(port) _MMIO_PORT(port, _DP_TP_CTL_A, _DP_TP_CTL_B)
5e49cea6
PZ
7776#define DP_TP_CTL_ENABLE (1<<31)
7777#define DP_TP_CTL_MODE_SST (0<<27)
7778#define DP_TP_CTL_MODE_MST (1<<27)
01b887c3 7779#define DP_TP_CTL_FORCE_ACT (1<<25)
0e87f667 7780#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1<<18)
5e49cea6 7781#define DP_TP_CTL_FDI_AUTOTRAIN (1<<15)
0e87f667
ED
7782#define DP_TP_CTL_LINK_TRAIN_MASK (7<<8)
7783#define DP_TP_CTL_LINK_TRAIN_PAT1 (0<<8)
7784#define DP_TP_CTL_LINK_TRAIN_PAT2 (1<<8)
d6c0d722
PZ
7785#define DP_TP_CTL_LINK_TRAIN_PAT3 (4<<8)
7786#define DP_TP_CTL_LINK_TRAIN_IDLE (2<<8)
5e49cea6 7787#define DP_TP_CTL_LINK_TRAIN_NORMAL (3<<8)
d6c0d722 7788#define DP_TP_CTL_SCRAMBLE_DISABLE (1<<7)
0e87f667 7789
e411b2c1 7790/* DisplayPort Transport Status */
086f8e84
VS
7791#define _DP_TP_STATUS_A 0x64044
7792#define _DP_TP_STATUS_B 0x64144
f0f59a00 7793#define DP_TP_STATUS(port) _MMIO_PORT(port, _DP_TP_STATUS_A, _DP_TP_STATUS_B)
01b887c3
DA
7794#define DP_TP_STATUS_IDLE_DONE (1<<25)
7795#define DP_TP_STATUS_ACT_SENT (1<<24)
7796#define DP_TP_STATUS_MODE_STATUS_MST (1<<23)
7797#define DP_TP_STATUS_AUTOTRAIN_DONE (1<<12)
7798#define DP_TP_STATUS_PAYLOAD_MAPPING_VC2 (3 << 8)
7799#define DP_TP_STATUS_PAYLOAD_MAPPING_VC1 (3 << 4)
7800#define DP_TP_STATUS_PAYLOAD_MAPPING_VC0 (3 << 0)
e411b2c1 7801
03f896a1 7802/* DDI Buffer Control */
086f8e84
VS
7803#define _DDI_BUF_CTL_A 0x64000
7804#define _DDI_BUF_CTL_B 0x64100
f0f59a00 7805#define DDI_BUF_CTL(port) _MMIO_PORT(port, _DDI_BUF_CTL_A, _DDI_BUF_CTL_B)
5e49cea6 7806#define DDI_BUF_CTL_ENABLE (1<<31)
c5fe6a06 7807#define DDI_BUF_TRANS_SELECT(n) ((n) << 24)
5e49cea6 7808#define DDI_BUF_EMP_MASK (0xf<<24)
876a8cdf 7809#define DDI_BUF_PORT_REVERSAL (1<<16)
5e49cea6 7810#define DDI_BUF_IS_IDLE (1<<7)
79935fca 7811#define DDI_A_4_LANES (1<<4)
17aa6be9 7812#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
90a6b7b0
VS
7813#define DDI_PORT_WIDTH_MASK (7 << 1)
7814#define DDI_PORT_WIDTH_SHIFT 1
03f896a1
ED
7815#define DDI_INIT_DISPLAY_DETECTED (1<<0)
7816
bb879a44 7817/* DDI Buffer Translations */
086f8e84
VS
7818#define _DDI_BUF_TRANS_A 0x64E00
7819#define _DDI_BUF_TRANS_B 0x64E60
f0f59a00 7820#define DDI_BUF_TRANS_LO(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8)
c110ae6c 7821#define DDI_BUF_BALANCE_LEG_ENABLE (1 << 31)
f0f59a00 7822#define DDI_BUF_TRANS_HI(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8 + 4)
bb879a44 7823
7501a4d8
ED
7824/* Sideband Interface (SBI) is programmed indirectly, via
7825 * SBI_ADDR, which contains the register offset; and SBI_DATA,
7826 * which contains the payload */
f0f59a00
VS
7827#define SBI_ADDR _MMIO(0xC6000)
7828#define SBI_DATA _MMIO(0xC6004)
7829#define SBI_CTL_STAT _MMIO(0xC6008)
988d6ee8
PZ
7830#define SBI_CTL_DEST_ICLK (0x0<<16)
7831#define SBI_CTL_DEST_MPHY (0x1<<16)
7832#define SBI_CTL_OP_IORD (0x2<<8)
7833#define SBI_CTL_OP_IOWR (0x3<<8)
7501a4d8
ED
7834#define SBI_CTL_OP_CRRD (0x6<<8)
7835#define SBI_CTL_OP_CRWR (0x7<<8)
7836#define SBI_RESPONSE_FAIL (0x1<<1)
5e49cea6
PZ
7837#define SBI_RESPONSE_SUCCESS (0x0<<1)
7838#define SBI_BUSY (0x1<<0)
7839#define SBI_READY (0x0<<0)
52f025ef 7840
ccf1c867 7841/* SBI offsets */
f7be2c21 7842#define SBI_SSCDIVINTPHASE 0x0200
5e49cea6 7843#define SBI_SSCDIVINTPHASE6 0x0600
8802e5b6
VS
7844#define SBI_SSCDIVINTPHASE_DIVSEL_SHIFT 1
7845#define SBI_SSCDIVINTPHASE_DIVSEL_MASK (0x7f<<1)
ccf1c867 7846#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x)<<1)
8802e5b6
VS
7847#define SBI_SSCDIVINTPHASE_INCVAL_SHIFT 8
7848#define SBI_SSCDIVINTPHASE_INCVAL_MASK (0x7f<<8)
ccf1c867 7849#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x)<<8)
5e49cea6 7850#define SBI_SSCDIVINTPHASE_DIR(x) ((x)<<15)
ccf1c867 7851#define SBI_SSCDIVINTPHASE_PROPAGATE (1<<0)
f7be2c21 7852#define SBI_SSCDITHPHASE 0x0204
5e49cea6 7853#define SBI_SSCCTL 0x020c
ccf1c867 7854#define SBI_SSCCTL6 0x060C
dde86e2d 7855#define SBI_SSCCTL_PATHALT (1<<3)
5e49cea6 7856#define SBI_SSCCTL_DISABLE (1<<0)
ccf1c867 7857#define SBI_SSCAUXDIV6 0x0610
8802e5b6
VS
7858#define SBI_SSCAUXDIV_FINALDIV2SEL_SHIFT 4
7859#define SBI_SSCAUXDIV_FINALDIV2SEL_MASK (1<<4)
ccf1c867 7860#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x)<<4)
5e49cea6 7861#define SBI_DBUFF0 0x2a00
2fa86a1f
PZ
7862#define SBI_GEN0 0x1f00
7863#define SBI_GEN0_CFG_BUFFENABLE_DISABLE (1<<0)
ccf1c867 7864
52f025ef 7865/* LPT PIXCLK_GATE */
f0f59a00 7866#define PIXCLK_GATE _MMIO(0xC6020)
745ca3be
PZ
7867#define PIXCLK_GATE_UNGATE (1<<0)
7868#define PIXCLK_GATE_GATE (0<<0)
52f025ef 7869
e93ea06a 7870/* SPLL */
f0f59a00 7871#define SPLL_CTL _MMIO(0x46020)
e93ea06a 7872#define SPLL_PLL_ENABLE (1<<31)
39bc66c9
DL
7873#define SPLL_PLL_SSC (1<<28)
7874#define SPLL_PLL_NON_SSC (2<<28)
11578553
JB
7875#define SPLL_PLL_LCPLL (3<<28)
7876#define SPLL_PLL_REF_MASK (3<<28)
5e49cea6
PZ
7877#define SPLL_PLL_FREQ_810MHz (0<<26)
7878#define SPLL_PLL_FREQ_1350MHz (1<<26)
11578553
JB
7879#define SPLL_PLL_FREQ_2700MHz (2<<26)
7880#define SPLL_PLL_FREQ_MASK (3<<26)
e93ea06a 7881
4dffc404 7882/* WRPLL */
086f8e84
VS
7883#define _WRPLL_CTL1 0x46040
7884#define _WRPLL_CTL2 0x46060
f0f59a00 7885#define WRPLL_CTL(pll) _MMIO_PIPE(pll, _WRPLL_CTL1, _WRPLL_CTL2)
5e49cea6 7886#define WRPLL_PLL_ENABLE (1<<31)
114fe488
DV
7887#define WRPLL_PLL_SSC (1<<28)
7888#define WRPLL_PLL_NON_SSC (2<<28)
7889#define WRPLL_PLL_LCPLL (3<<28)
7890#define WRPLL_PLL_REF_MASK (3<<28)
ef4d084f 7891/* WRPLL divider programming */
5e49cea6 7892#define WRPLL_DIVIDER_REFERENCE(x) ((x)<<0)
11578553 7893#define WRPLL_DIVIDER_REF_MASK (0xff)
5e49cea6 7894#define WRPLL_DIVIDER_POST(x) ((x)<<8)
11578553
JB
7895#define WRPLL_DIVIDER_POST_MASK (0x3f<<8)
7896#define WRPLL_DIVIDER_POST_SHIFT 8
5e49cea6 7897#define WRPLL_DIVIDER_FEEDBACK(x) ((x)<<16)
11578553
JB
7898#define WRPLL_DIVIDER_FB_SHIFT 16
7899#define WRPLL_DIVIDER_FB_MASK (0xff<<16)
4dffc404 7900
fec9181c 7901/* Port clock selection */
086f8e84
VS
7902#define _PORT_CLK_SEL_A 0x46100
7903#define _PORT_CLK_SEL_B 0x46104
f0f59a00 7904#define PORT_CLK_SEL(port) _MMIO_PORT(port, _PORT_CLK_SEL_A, _PORT_CLK_SEL_B)
fec9181c
ED
7905#define PORT_CLK_SEL_LCPLL_2700 (0<<29)
7906#define PORT_CLK_SEL_LCPLL_1350 (1<<29)
7907#define PORT_CLK_SEL_LCPLL_810 (2<<29)
5e49cea6 7908#define PORT_CLK_SEL_SPLL (3<<29)
716c2e55 7909#define PORT_CLK_SEL_WRPLL(pll) (((pll)+4)<<29)
fec9181c
ED
7910#define PORT_CLK_SEL_WRPLL1 (4<<29)
7911#define PORT_CLK_SEL_WRPLL2 (5<<29)
6441ab5f 7912#define PORT_CLK_SEL_NONE (7<<29)
11578553 7913#define PORT_CLK_SEL_MASK (7<<29)
fec9181c 7914
bb523fc0 7915/* Transcoder clock selection */
086f8e84
VS
7916#define _TRANS_CLK_SEL_A 0x46140
7917#define _TRANS_CLK_SEL_B 0x46144
f0f59a00 7918#define TRANS_CLK_SEL(tran) _MMIO_TRANS(tran, _TRANS_CLK_SEL_A, _TRANS_CLK_SEL_B)
bb523fc0
PZ
7919/* For each transcoder, we need to select the corresponding port clock */
7920#define TRANS_CLK_SEL_DISABLED (0x0<<29)
68d97538 7921#define TRANS_CLK_SEL_PORT(x) (((x)+1)<<29)
fec9181c 7922
7f1052a8
VS
7923#define CDCLK_FREQ _MMIO(0x46200)
7924
086f8e84
VS
7925#define _TRANSA_MSA_MISC 0x60410
7926#define _TRANSB_MSA_MISC 0x61410
7927#define _TRANSC_MSA_MISC 0x62410
7928#define _TRANS_EDP_MSA_MISC 0x6f410
f0f59a00 7929#define TRANS_MSA_MISC(tran) _MMIO_TRANS2(tran, _TRANSA_MSA_MISC)
a57c774a 7930
c9809791
PZ
7931#define TRANS_MSA_SYNC_CLK (1<<0)
7932#define TRANS_MSA_6_BPC (0<<5)
7933#define TRANS_MSA_8_BPC (1<<5)
7934#define TRANS_MSA_10_BPC (2<<5)
7935#define TRANS_MSA_12_BPC (3<<5)
7936#define TRANS_MSA_16_BPC (4<<5)
dae84799 7937
90e8d31c 7938/* LCPLL Control */
f0f59a00 7939#define LCPLL_CTL _MMIO(0x130040)
90e8d31c
ED
7940#define LCPLL_PLL_DISABLE (1<<31)
7941#define LCPLL_PLL_LOCK (1<<30)
79f689aa
PZ
7942#define LCPLL_CLK_FREQ_MASK (3<<26)
7943#define LCPLL_CLK_FREQ_450 (0<<26)
e39bf98a
PZ
7944#define LCPLL_CLK_FREQ_54O_BDW (1<<26)
7945#define LCPLL_CLK_FREQ_337_5_BDW (2<<26)
7946#define LCPLL_CLK_FREQ_675_BDW (3<<26)
5e49cea6 7947#define LCPLL_CD_CLOCK_DISABLE (1<<25)
b432e5cf 7948#define LCPLL_ROOT_CD_CLOCK_DISABLE (1<<24)
90e8d31c 7949#define LCPLL_CD2X_CLOCK_DISABLE (1<<23)
be256dc7 7950#define LCPLL_POWER_DOWN_ALLOW (1<<22)
79f689aa 7951#define LCPLL_CD_SOURCE_FCLK (1<<21)
be256dc7
PZ
7952#define LCPLL_CD_SOURCE_FCLK_DONE (1<<19)
7953
326ac39b
S
7954/*
7955 * SKL Clocks
7956 */
7957
7958/* CDCLK_CTL */
f0f59a00 7959#define CDCLK_CTL _MMIO(0x46000)
326ac39b
S
7960#define CDCLK_FREQ_SEL_MASK (3<<26)
7961#define CDCLK_FREQ_450_432 (0<<26)
7962#define CDCLK_FREQ_540 (1<<26)
7963#define CDCLK_FREQ_337_308 (2<<26)
7964#define CDCLK_FREQ_675_617 (3<<26)
f8437dd1
VK
7965#define BXT_CDCLK_CD2X_DIV_SEL_MASK (3<<22)
7966#define BXT_CDCLK_CD2X_DIV_SEL_1 (0<<22)
7967#define BXT_CDCLK_CD2X_DIV_SEL_1_5 (1<<22)
7968#define BXT_CDCLK_CD2X_DIV_SEL_2 (2<<22)
7969#define BXT_CDCLK_CD2X_DIV_SEL_4 (3<<22)
7fe62757
VS
7970#define BXT_CDCLK_CD2X_PIPE(pipe) ((pipe)<<20)
7971#define BXT_CDCLK_CD2X_PIPE_NONE BXT_CDCLK_CD2X_PIPE(3)
f8437dd1 7972#define BXT_CDCLK_SSA_PRECHARGE_ENABLE (1<<16)
7fe62757 7973#define CDCLK_FREQ_DECIMAL_MASK (0x7ff)
f8437dd1 7974
326ac39b 7975/* LCPLL_CTL */
f0f59a00
VS
7976#define LCPLL1_CTL _MMIO(0x46010)
7977#define LCPLL2_CTL _MMIO(0x46014)
326ac39b
S
7978#define LCPLL_PLL_ENABLE (1<<31)
7979
7980/* DPLL control1 */
f0f59a00 7981#define DPLL_CTRL1 _MMIO(0x6C058)
326ac39b
S
7982#define DPLL_CTRL1_HDMI_MODE(id) (1<<((id)*6+5))
7983#define DPLL_CTRL1_SSC(id) (1<<((id)*6+4))
71cd8423
DL
7984#define DPLL_CTRL1_LINK_RATE_MASK(id) (7<<((id)*6+1))
7985#define DPLL_CTRL1_LINK_RATE_SHIFT(id) ((id)*6+1)
7986#define DPLL_CTRL1_LINK_RATE(linkrate, id) ((linkrate)<<((id)*6+1))
326ac39b 7987#define DPLL_CTRL1_OVERRIDE(id) (1<<((id)*6))
71cd8423
DL
7988#define DPLL_CTRL1_LINK_RATE_2700 0
7989#define DPLL_CTRL1_LINK_RATE_1350 1
7990#define DPLL_CTRL1_LINK_RATE_810 2
7991#define DPLL_CTRL1_LINK_RATE_1620 3
7992#define DPLL_CTRL1_LINK_RATE_1080 4
7993#define DPLL_CTRL1_LINK_RATE_2160 5
326ac39b
S
7994
7995/* DPLL control2 */
f0f59a00 7996#define DPLL_CTRL2 _MMIO(0x6C05C)
68d97538 7997#define DPLL_CTRL2_DDI_CLK_OFF(port) (1<<((port)+15))
326ac39b 7998#define DPLL_CTRL2_DDI_CLK_SEL_MASK(port) (3<<((port)*3+1))
540e732c 7999#define DPLL_CTRL2_DDI_CLK_SEL_SHIFT(port) ((port)*3+1)
68d97538 8000#define DPLL_CTRL2_DDI_CLK_SEL(clk, port) ((clk)<<((port)*3+1))
326ac39b
S
8001#define DPLL_CTRL2_DDI_SEL_OVERRIDE(port) (1<<((port)*3))
8002
8003/* DPLL Status */
f0f59a00 8004#define DPLL_STATUS _MMIO(0x6C060)
326ac39b
S
8005#define DPLL_LOCK(id) (1<<((id)*8))
8006
8007/* DPLL cfg */
086f8e84
VS
8008#define _DPLL1_CFGCR1 0x6C040
8009#define _DPLL2_CFGCR1 0x6C048
8010#define _DPLL3_CFGCR1 0x6C050
326ac39b
S
8011#define DPLL_CFGCR1_FREQ_ENABLE (1<<31)
8012#define DPLL_CFGCR1_DCO_FRACTION_MASK (0x7fff<<9)
68d97538 8013#define DPLL_CFGCR1_DCO_FRACTION(x) ((x)<<9)
326ac39b
S
8014#define DPLL_CFGCR1_DCO_INTEGER_MASK (0x1ff)
8015
086f8e84
VS
8016#define _DPLL1_CFGCR2 0x6C044
8017#define _DPLL2_CFGCR2 0x6C04C
8018#define _DPLL3_CFGCR2 0x6C054
326ac39b 8019#define DPLL_CFGCR2_QDIV_RATIO_MASK (0xff<<8)
68d97538
VS
8020#define DPLL_CFGCR2_QDIV_RATIO(x) ((x)<<8)
8021#define DPLL_CFGCR2_QDIV_MODE(x) ((x)<<7)
326ac39b 8022#define DPLL_CFGCR2_KDIV_MASK (3<<5)
68d97538 8023#define DPLL_CFGCR2_KDIV(x) ((x)<<5)
326ac39b
S
8024#define DPLL_CFGCR2_KDIV_5 (0<<5)
8025#define DPLL_CFGCR2_KDIV_2 (1<<5)
8026#define DPLL_CFGCR2_KDIV_3 (2<<5)
8027#define DPLL_CFGCR2_KDIV_1 (3<<5)
8028#define DPLL_CFGCR2_PDIV_MASK (7<<2)
68d97538 8029#define DPLL_CFGCR2_PDIV(x) ((x)<<2)
326ac39b
S
8030#define DPLL_CFGCR2_PDIV_1 (0<<2)
8031#define DPLL_CFGCR2_PDIV_2 (1<<2)
8032#define DPLL_CFGCR2_PDIV_3 (2<<2)
8033#define DPLL_CFGCR2_PDIV_7 (4<<2)
8034#define DPLL_CFGCR2_CENTRAL_FREQ_MASK (3)
8035
da3b891b 8036#define DPLL_CFGCR1(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR1, _DPLL2_CFGCR1)
f0f59a00 8037#define DPLL_CFGCR2(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR2, _DPLL2_CFGCR2)
540e732c 8038
f8437dd1 8039/* BXT display engine PLL */
f0f59a00 8040#define BXT_DE_PLL_CTL _MMIO(0x6d000)
f8437dd1
VK
8041#define BXT_DE_PLL_RATIO(x) (x) /* {60,65,100} * 19.2MHz */
8042#define BXT_DE_PLL_RATIO_MASK 0xff
8043
f0f59a00 8044#define BXT_DE_PLL_ENABLE _MMIO(0x46070)
f8437dd1
VK
8045#define BXT_DE_PLL_PLL_ENABLE (1 << 31)
8046#define BXT_DE_PLL_LOCK (1 << 30)
8047
664326f8 8048/* GEN9 DC */
f0f59a00 8049#define DC_STATE_EN _MMIO(0x45504)
13ae3a0d 8050#define DC_STATE_DISABLE 0
664326f8
SK
8051#define DC_STATE_EN_UPTO_DC5 (1<<0)
8052#define DC_STATE_EN_DC9 (1<<3)
6b457d31
SK
8053#define DC_STATE_EN_UPTO_DC6 (2<<0)
8054#define DC_STATE_EN_UPTO_DC5_DC6_MASK 0x3
8055
f0f59a00 8056#define DC_STATE_DEBUG _MMIO(0x45520)
5b076889 8057#define DC_STATE_DEBUG_MASK_CORES (1<<0)
6b457d31
SK
8058#define DC_STATE_DEBUG_MASK_MEMORY_UP (1<<1)
8059
9ccd5aeb
PZ
8060/* Please see hsw_read_dcomp() and hsw_write_dcomp() before using this register,
8061 * since on HSW we can't write to it using I915_WRITE. */
f0f59a00
VS
8062#define D_COMP_HSW _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5F0C)
8063#define D_COMP_BDW _MMIO(0x138144)
be256dc7
PZ
8064#define D_COMP_RCOMP_IN_PROGRESS (1<<9)
8065#define D_COMP_COMP_FORCE (1<<8)
8066#define D_COMP_COMP_DISABLE (1<<0)
90e8d31c 8067
69e94b7e 8068/* Pipe WM_LINETIME - watermark line time */
086f8e84
VS
8069#define _PIPE_WM_LINETIME_A 0x45270
8070#define _PIPE_WM_LINETIME_B 0x45274
f0f59a00 8071#define PIPE_WM_LINETIME(pipe) _MMIO_PIPE(pipe, _PIPE_WM_LINETIME_A, _PIPE_WM_LINETIME_B)
5e49cea6
PZ
8072#define PIPE_WM_LINETIME_MASK (0x1ff)
8073#define PIPE_WM_LINETIME_TIME(x) ((x))
69e94b7e 8074#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff<<16)
5e49cea6 8075#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x)<<16)
96d6e350
ED
8076
8077/* SFUSE_STRAP */
f0f59a00 8078#define SFUSE_STRAP _MMIO(0xc2014)
658ac4c6
DL
8079#define SFUSE_STRAP_FUSE_LOCK (1<<13)
8080#define SFUSE_STRAP_DISPLAY_DISABLED (1<<7)
65e472e4 8081#define SFUSE_STRAP_CRT_DISABLED (1<<6)
96d6e350
ED
8082#define SFUSE_STRAP_DDIB_DETECTED (1<<2)
8083#define SFUSE_STRAP_DDIC_DETECTED (1<<1)
8084#define SFUSE_STRAP_DDID_DETECTED (1<<0)
8085
f0f59a00 8086#define WM_MISC _MMIO(0x45260)
801bcfff
PZ
8087#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
8088
f0f59a00 8089#define WM_DBG _MMIO(0x45280)
1544d9d5
ED
8090#define WM_DBG_DISALLOW_MULTIPLE_LP (1<<0)
8091#define WM_DBG_DISALLOW_MAXFIFO (1<<1)
8092#define WM_DBG_DISALLOW_SPRITE (1<<2)
8093
86d3efce
VS
8094/* pipe CSC */
8095#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
8096#define _PIPE_A_CSC_COEFF_BY 0x49014
8097#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
8098#define _PIPE_A_CSC_COEFF_BU 0x4901c
8099#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
8100#define _PIPE_A_CSC_COEFF_BV 0x49024
8101#define _PIPE_A_CSC_MODE 0x49028
29a397ba
VS
8102#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
8103#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
8104#define CSC_MODE_YUV_TO_RGB (1 << 0)
86d3efce
VS
8105#define _PIPE_A_CSC_PREOFF_HI 0x49030
8106#define _PIPE_A_CSC_PREOFF_ME 0x49034
8107#define _PIPE_A_CSC_PREOFF_LO 0x49038
8108#define _PIPE_A_CSC_POSTOFF_HI 0x49040
8109#define _PIPE_A_CSC_POSTOFF_ME 0x49044
8110#define _PIPE_A_CSC_POSTOFF_LO 0x49048
8111
8112#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
8113#define _PIPE_B_CSC_COEFF_BY 0x49114
8114#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
8115#define _PIPE_B_CSC_COEFF_BU 0x4911c
8116#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
8117#define _PIPE_B_CSC_COEFF_BV 0x49124
8118#define _PIPE_B_CSC_MODE 0x49128
8119#define _PIPE_B_CSC_PREOFF_HI 0x49130
8120#define _PIPE_B_CSC_PREOFF_ME 0x49134
8121#define _PIPE_B_CSC_PREOFF_LO 0x49138
8122#define _PIPE_B_CSC_POSTOFF_HI 0x49140
8123#define _PIPE_B_CSC_POSTOFF_ME 0x49144
8124#define _PIPE_B_CSC_POSTOFF_LO 0x49148
8125
f0f59a00
VS
8126#define PIPE_CSC_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
8127#define PIPE_CSC_COEFF_BY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
8128#define PIPE_CSC_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
8129#define PIPE_CSC_COEFF_BU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
8130#define PIPE_CSC_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
8131#define PIPE_CSC_COEFF_BV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
8132#define PIPE_CSC_MODE(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
8133#define PIPE_CSC_PREOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
8134#define PIPE_CSC_PREOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
8135#define PIPE_CSC_PREOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
8136#define PIPE_CSC_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
8137#define PIPE_CSC_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
8138#define PIPE_CSC_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
86d3efce 8139
82cf435b
LL
8140/* pipe degamma/gamma LUTs on IVB+ */
8141#define _PAL_PREC_INDEX_A 0x4A400
8142#define _PAL_PREC_INDEX_B 0x4AC00
8143#define _PAL_PREC_INDEX_C 0x4B400
8144#define PAL_PREC_10_12_BIT (0 << 31)
8145#define PAL_PREC_SPLIT_MODE (1 << 31)
8146#define PAL_PREC_AUTO_INCREMENT (1 << 15)
8147#define _PAL_PREC_DATA_A 0x4A404
8148#define _PAL_PREC_DATA_B 0x4AC04
8149#define _PAL_PREC_DATA_C 0x4B404
8150#define _PAL_PREC_GC_MAX_A 0x4A410
8151#define _PAL_PREC_GC_MAX_B 0x4AC10
8152#define _PAL_PREC_GC_MAX_C 0x4B410
8153#define _PAL_PREC_EXT_GC_MAX_A 0x4A420
8154#define _PAL_PREC_EXT_GC_MAX_B 0x4AC20
8155#define _PAL_PREC_EXT_GC_MAX_C 0x4B420
8156
8157#define PREC_PAL_INDEX(pipe) _MMIO_PIPE(pipe, _PAL_PREC_INDEX_A, _PAL_PREC_INDEX_B)
8158#define PREC_PAL_DATA(pipe) _MMIO_PIPE(pipe, _PAL_PREC_DATA_A, _PAL_PREC_DATA_B)
8159#define PREC_PAL_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_GC_MAX_A, _PAL_PREC_GC_MAX_B) + (i) * 4)
8160#define PREC_PAL_EXT_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT_GC_MAX_A, _PAL_PREC_EXT_GC_MAX_B) + (i) * 4)
8161
29dc3739
LL
8162/* pipe CSC & degamma/gamma LUTs on CHV */
8163#define _CGM_PIPE_A_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x67900)
8164#define _CGM_PIPE_A_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x67904)
8165#define _CGM_PIPE_A_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x67908)
8166#define _CGM_PIPE_A_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6790C)
8167#define _CGM_PIPE_A_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x67910)
8168#define _CGM_PIPE_A_DEGAMMA (VLV_DISPLAY_BASE + 0x66000)
8169#define _CGM_PIPE_A_GAMMA (VLV_DISPLAY_BASE + 0x67000)
8170#define _CGM_PIPE_A_MODE (VLV_DISPLAY_BASE + 0x67A00)
8171#define CGM_PIPE_MODE_GAMMA (1 << 2)
8172#define CGM_PIPE_MODE_CSC (1 << 1)
8173#define CGM_PIPE_MODE_DEGAMMA (1 << 0)
8174
8175#define _CGM_PIPE_B_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x69900)
8176#define _CGM_PIPE_B_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x69904)
8177#define _CGM_PIPE_B_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x69908)
8178#define _CGM_PIPE_B_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6990C)
8179#define _CGM_PIPE_B_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x69910)
8180#define _CGM_PIPE_B_DEGAMMA (VLV_DISPLAY_BASE + 0x68000)
8181#define _CGM_PIPE_B_GAMMA (VLV_DISPLAY_BASE + 0x69000)
8182#define _CGM_PIPE_B_MODE (VLV_DISPLAY_BASE + 0x69A00)
8183
8184#define CGM_PIPE_CSC_COEFF01(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF01, _CGM_PIPE_B_CSC_COEFF01)
8185#define CGM_PIPE_CSC_COEFF23(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF23, _CGM_PIPE_B_CSC_COEFF23)
8186#define CGM_PIPE_CSC_COEFF45(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF45, _CGM_PIPE_B_CSC_COEFF45)
8187#define CGM_PIPE_CSC_COEFF67(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF67, _CGM_PIPE_B_CSC_COEFF67)
8188#define CGM_PIPE_CSC_COEFF8(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF8, _CGM_PIPE_B_CSC_COEFF8)
8189#define CGM_PIPE_DEGAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_DEGAMMA, _CGM_PIPE_B_DEGAMMA) + (i) * 8 + (w) * 4)
8190#define CGM_PIPE_GAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_GAMMA, _CGM_PIPE_B_GAMMA) + (i) * 8 + (w) * 4)
8191#define CGM_PIPE_MODE(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_MODE, _CGM_PIPE_B_MODE)
8192
e7d7cad0
JN
8193/* MIPI DSI registers */
8194
8195#define _MIPI_PORT(port, a, c) _PORT3(port, a, 0, c) /* ports A and C only */
f0f59a00 8196#define _MMIO_MIPI(port, a, c) _MMIO(_MIPI_PORT(port, a, c))
3230bf14 8197
11b8e4f5
SS
8198/* BXT MIPI clock controls */
8199#define BXT_MAX_VAR_OUTPUT_KHZ 39500
8200
f0f59a00 8201#define BXT_MIPI_CLOCK_CTL _MMIO(0x46090)
11b8e4f5
SS
8202#define BXT_MIPI1_DIV_SHIFT 26
8203#define BXT_MIPI2_DIV_SHIFT 10
8204#define BXT_MIPI_DIV_SHIFT(port) \
8205 _MIPI_PORT(port, BXT_MIPI1_DIV_SHIFT, \
8206 BXT_MIPI2_DIV_SHIFT)
782d25ca 8207
11b8e4f5 8208/* TX control divider to select actual TX clock output from (8x/var) */
782d25ca
D
8209#define BXT_MIPI1_TX_ESCLK_SHIFT 26
8210#define BXT_MIPI2_TX_ESCLK_SHIFT 10
11b8e4f5
SS
8211#define BXT_MIPI_TX_ESCLK_SHIFT(port) \
8212 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_SHIFT, \
8213 BXT_MIPI2_TX_ESCLK_SHIFT)
782d25ca
D
8214#define BXT_MIPI1_TX_ESCLK_FIXDIV_MASK (0x3F << 26)
8215#define BXT_MIPI2_TX_ESCLK_FIXDIV_MASK (0x3F << 10)
11b8e4f5
SS
8216#define BXT_MIPI_TX_ESCLK_FIXDIV_MASK(port) \
8217 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_FIXDIV_MASK, \
782d25ca
D
8218 BXT_MIPI2_TX_ESCLK_FIXDIV_MASK)
8219#define BXT_MIPI_TX_ESCLK_DIVIDER(port, val) \
8220 ((val & 0x3F) << BXT_MIPI_TX_ESCLK_SHIFT(port))
8221/* RX upper control divider to select actual RX clock output from 8x */
8222#define BXT_MIPI1_RX_ESCLK_UPPER_SHIFT 21
8223#define BXT_MIPI2_RX_ESCLK_UPPER_SHIFT 5
8224#define BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port) \
8225 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_SHIFT, \
8226 BXT_MIPI2_RX_ESCLK_UPPER_SHIFT)
8227#define BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 21)
8228#define BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 5)
8229#define BXT_MIPI_RX_ESCLK_UPPER_FIXDIV_MASK(port) \
8230 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK, \
8231 BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK)
8232#define BXT_MIPI_RX_ESCLK_UPPER_DIVIDER(port, val) \
8233 ((val & 3) << BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port))
8234/* 8/3X divider to select the actual 8/3X clock output from 8x */
8235#define BXT_MIPI1_8X_BY3_SHIFT 19
8236#define BXT_MIPI2_8X_BY3_SHIFT 3
8237#define BXT_MIPI_8X_BY3_SHIFT(port) \
8238 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_SHIFT, \
8239 BXT_MIPI2_8X_BY3_SHIFT)
8240#define BXT_MIPI1_8X_BY3_DIVIDER_MASK (3 << 19)
8241#define BXT_MIPI2_8X_BY3_DIVIDER_MASK (3 << 3)
8242#define BXT_MIPI_8X_BY3_DIVIDER_MASK(port) \
8243 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_DIVIDER_MASK, \
8244 BXT_MIPI2_8X_BY3_DIVIDER_MASK)
8245#define BXT_MIPI_8X_BY3_DIVIDER(port, val) \
8246 ((val & 3) << BXT_MIPI_8X_BY3_SHIFT(port))
8247/* RX lower control divider to select actual RX clock output from 8x */
8248#define BXT_MIPI1_RX_ESCLK_LOWER_SHIFT 16
8249#define BXT_MIPI2_RX_ESCLK_LOWER_SHIFT 0
8250#define BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port) \
8251 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_SHIFT, \
8252 BXT_MIPI2_RX_ESCLK_LOWER_SHIFT)
8253#define BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 16)
8254#define BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 0)
8255#define BXT_MIPI_RX_ESCLK_LOWER_FIXDIV_MASK(port) \
8256 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK, \
8257 BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK)
8258#define BXT_MIPI_RX_ESCLK_LOWER_DIVIDER(port, val) \
8259 ((val & 3) << BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port))
8260
8261#define RX_DIVIDER_BIT_1_2 0x3
8262#define RX_DIVIDER_BIT_3_4 0xC
11b8e4f5 8263
d2e08c0f
SS
8264/* BXT MIPI mode configure */
8265#define _BXT_MIPIA_TRANS_HACTIVE 0x6B0F8
8266#define _BXT_MIPIC_TRANS_HACTIVE 0x6B8F8
f0f59a00 8267#define BXT_MIPI_TRANS_HACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
8268 _BXT_MIPIA_TRANS_HACTIVE, _BXT_MIPIC_TRANS_HACTIVE)
8269
8270#define _BXT_MIPIA_TRANS_VACTIVE 0x6B0FC
8271#define _BXT_MIPIC_TRANS_VACTIVE 0x6B8FC
f0f59a00 8272#define BXT_MIPI_TRANS_VACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
8273 _BXT_MIPIA_TRANS_VACTIVE, _BXT_MIPIC_TRANS_VACTIVE)
8274
8275#define _BXT_MIPIA_TRANS_VTOTAL 0x6B100
8276#define _BXT_MIPIC_TRANS_VTOTAL 0x6B900
f0f59a00 8277#define BXT_MIPI_TRANS_VTOTAL(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
8278 _BXT_MIPIA_TRANS_VTOTAL, _BXT_MIPIC_TRANS_VTOTAL)
8279
f0f59a00 8280#define BXT_DSI_PLL_CTL _MMIO(0x161000)
cfe01a5e
SS
8281#define BXT_DSI_PLL_PVD_RATIO_SHIFT 16
8282#define BXT_DSI_PLL_PVD_RATIO_MASK (3 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
8283#define BXT_DSI_PLL_PVD_RATIO_1 (1 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
8284#define BXT_DSIC_16X_BY2 (1 << 10)
8285#define BXT_DSIC_16X_BY3 (2 << 10)
8286#define BXT_DSIC_16X_BY4 (3 << 10)
db18b6a6 8287#define BXT_DSIC_16X_MASK (3 << 10)
cfe01a5e
SS
8288#define BXT_DSIA_16X_BY2 (1 << 8)
8289#define BXT_DSIA_16X_BY3 (2 << 8)
8290#define BXT_DSIA_16X_BY4 (3 << 8)
db18b6a6 8291#define BXT_DSIA_16X_MASK (3 << 8)
cfe01a5e
SS
8292#define BXT_DSI_FREQ_SEL_SHIFT 8
8293#define BXT_DSI_FREQ_SEL_MASK (0xF << BXT_DSI_FREQ_SEL_SHIFT)
8294
8295#define BXT_DSI_PLL_RATIO_MAX 0x7D
8296#define BXT_DSI_PLL_RATIO_MIN 0x22
8297#define BXT_DSI_PLL_RATIO_MASK 0xFF
61ad9928 8298#define BXT_REF_CLOCK_KHZ 19200
cfe01a5e 8299
f0f59a00 8300#define BXT_DSI_PLL_ENABLE _MMIO(0x46080)
cfe01a5e
SS
8301#define BXT_DSI_PLL_DO_ENABLE (1 << 31)
8302#define BXT_DSI_PLL_LOCKED (1 << 30)
8303
3230bf14 8304#define _MIPIA_PORT_CTRL (VLV_DISPLAY_BASE + 0x61190)
e7d7cad0 8305#define _MIPIC_PORT_CTRL (VLV_DISPLAY_BASE + 0x61700)
f0f59a00 8306#define MIPI_PORT_CTRL(port) _MMIO_MIPI(port, _MIPIA_PORT_CTRL, _MIPIC_PORT_CTRL)
37ab0810
SS
8307
8308 /* BXT port control */
8309#define _BXT_MIPIA_PORT_CTRL 0x6B0C0
8310#define _BXT_MIPIC_PORT_CTRL 0x6B8C0
f0f59a00 8311#define BXT_MIPI_PORT_CTRL(tc) _MMIO_MIPI(tc, _BXT_MIPIA_PORT_CTRL, _BXT_MIPIC_PORT_CTRL)
37ab0810 8312
e7d7cad0 8313#define DPI_ENABLE (1 << 31) /* A + C */
3230bf14
JN
8314#define MIPIA_MIPI4DPHY_DELAY_COUNT_SHIFT 27
8315#define MIPIA_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 27)
369602d3 8316#define DUAL_LINK_MODE_SHIFT 26
3230bf14
JN
8317#define DUAL_LINK_MODE_MASK (1 << 26)
8318#define DUAL_LINK_MODE_FRONT_BACK (0 << 26)
8319#define DUAL_LINK_MODE_PIXEL_ALTERNATIVE (1 << 26)
e7d7cad0 8320#define DITHERING_ENABLE (1 << 25) /* A + C */
3230bf14
JN
8321#define FLOPPED_HSTX (1 << 23)
8322#define DE_INVERT (1 << 19) /* XXX */
8323#define MIPIA_FLISDSI_DELAY_COUNT_SHIFT 18
8324#define MIPIA_FLISDSI_DELAY_COUNT_MASK (0xf << 18)
8325#define AFE_LATCHOUT (1 << 17)
8326#define LP_OUTPUT_HOLD (1 << 16)
e7d7cad0
JN
8327#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_SHIFT 15
8328#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_MASK (1 << 15)
8329#define MIPIC_MIPI4DPHY_DELAY_COUNT_SHIFT 11
8330#define MIPIC_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 11)
3230bf14
JN
8331#define CSB_SHIFT 9
8332#define CSB_MASK (3 << 9)
8333#define CSB_20MHZ (0 << 9)
8334#define CSB_10MHZ (1 << 9)
8335#define CSB_40MHZ (2 << 9)
8336#define BANDGAP_MASK (1 << 8)
8337#define BANDGAP_PNW_CIRCUIT (0 << 8)
8338#define BANDGAP_LNC_CIRCUIT (1 << 8)
e7d7cad0
JN
8339#define MIPIC_FLISDSI_DELAY_COUNT_LOW_SHIFT 5
8340#define MIPIC_FLISDSI_DELAY_COUNT_LOW_MASK (7 << 5)
8341#define TEARING_EFFECT_DELAY (1 << 4) /* A + C */
8342#define TEARING_EFFECT_SHIFT 2 /* A + C */
3230bf14
JN
8343#define TEARING_EFFECT_MASK (3 << 2)
8344#define TEARING_EFFECT_OFF (0 << 2)
8345#define TEARING_EFFECT_DSI (1 << 2)
8346#define TEARING_EFFECT_GPIO (2 << 2)
8347#define LANE_CONFIGURATION_SHIFT 0
8348#define LANE_CONFIGURATION_MASK (3 << 0)
8349#define LANE_CONFIGURATION_4LANE (0 << 0)
8350#define LANE_CONFIGURATION_DUAL_LINK_A (1 << 0)
8351#define LANE_CONFIGURATION_DUAL_LINK_B (2 << 0)
8352
8353#define _MIPIA_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61194)
e7d7cad0 8354#define _MIPIC_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61704)
f0f59a00 8355#define MIPI_TEARING_CTRL(port) _MMIO_MIPI(port, _MIPIA_TEARING_CTRL, _MIPIC_TEARING_CTRL)
3230bf14
JN
8356#define TEARING_EFFECT_DELAY_SHIFT 0
8357#define TEARING_EFFECT_DELAY_MASK (0xffff << 0)
8358
8359/* XXX: all bits reserved */
4ad83e94 8360#define _MIPIA_AUTOPWG (VLV_DISPLAY_BASE + 0x611a0)
3230bf14
JN
8361
8362/* MIPI DSI Controller and D-PHY registers */
8363
4ad83e94 8364#define _MIPIA_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb000)
e7d7cad0 8365#define _MIPIC_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb800)
f0f59a00 8366#define MIPI_DEVICE_READY(port) _MMIO_MIPI(port, _MIPIA_DEVICE_READY, _MIPIC_DEVICE_READY)
3230bf14
JN
8367#define BUS_POSSESSION (1 << 3) /* set to give bus to receiver */
8368#define ULPS_STATE_MASK (3 << 1)
8369#define ULPS_STATE_ENTER (2 << 1)
8370#define ULPS_STATE_EXIT (1 << 1)
8371#define ULPS_STATE_NORMAL_OPERATION (0 << 1)
8372#define DEVICE_READY (1 << 0)
8373
4ad83e94 8374#define _MIPIA_INTR_STAT (dev_priv->mipi_mmio_base + 0xb004)
e7d7cad0 8375#define _MIPIC_INTR_STAT (dev_priv->mipi_mmio_base + 0xb804)
f0f59a00 8376#define MIPI_INTR_STAT(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT, _MIPIC_INTR_STAT)
4ad83e94 8377#define _MIPIA_INTR_EN (dev_priv->mipi_mmio_base + 0xb008)
e7d7cad0 8378#define _MIPIC_INTR_EN (dev_priv->mipi_mmio_base + 0xb808)
f0f59a00 8379#define MIPI_INTR_EN(port) _MMIO_MIPI(port, _MIPIA_INTR_EN, _MIPIC_INTR_EN)
3230bf14
JN
8380#define TEARING_EFFECT (1 << 31)
8381#define SPL_PKT_SENT_INTERRUPT (1 << 30)
8382#define GEN_READ_DATA_AVAIL (1 << 29)
8383#define LP_GENERIC_WR_FIFO_FULL (1 << 28)
8384#define HS_GENERIC_WR_FIFO_FULL (1 << 27)
8385#define RX_PROT_VIOLATION (1 << 26)
8386#define RX_INVALID_TX_LENGTH (1 << 25)
8387#define ACK_WITH_NO_ERROR (1 << 24)
8388#define TURN_AROUND_ACK_TIMEOUT (1 << 23)
8389#define LP_RX_TIMEOUT (1 << 22)
8390#define HS_TX_TIMEOUT (1 << 21)
8391#define DPI_FIFO_UNDERRUN (1 << 20)
8392#define LOW_CONTENTION (1 << 19)
8393#define HIGH_CONTENTION (1 << 18)
8394#define TXDSI_VC_ID_INVALID (1 << 17)
8395#define TXDSI_DATA_TYPE_NOT_RECOGNISED (1 << 16)
8396#define TXCHECKSUM_ERROR (1 << 15)
8397#define TXECC_MULTIBIT_ERROR (1 << 14)
8398#define TXECC_SINGLE_BIT_ERROR (1 << 13)
8399#define TXFALSE_CONTROL_ERROR (1 << 12)
8400#define RXDSI_VC_ID_INVALID (1 << 11)
8401#define RXDSI_DATA_TYPE_NOT_REGOGNISED (1 << 10)
8402#define RXCHECKSUM_ERROR (1 << 9)
8403#define RXECC_MULTIBIT_ERROR (1 << 8)
8404#define RXECC_SINGLE_BIT_ERROR (1 << 7)
8405#define RXFALSE_CONTROL_ERROR (1 << 6)
8406#define RXHS_RECEIVE_TIMEOUT_ERROR (1 << 5)
8407#define RX_LP_TX_SYNC_ERROR (1 << 4)
8408#define RXEXCAPE_MODE_ENTRY_ERROR (1 << 3)
8409#define RXEOT_SYNC_ERROR (1 << 2)
8410#define RXSOT_SYNC_ERROR (1 << 1)
8411#define RXSOT_ERROR (1 << 0)
8412
4ad83e94 8413#define _MIPIA_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb00c)
e7d7cad0 8414#define _MIPIC_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb80c)
f0f59a00 8415#define MIPI_DSI_FUNC_PRG(port) _MMIO_MIPI(port, _MIPIA_DSI_FUNC_PRG, _MIPIC_DSI_FUNC_PRG)
3230bf14
JN
8416#define CMD_MODE_DATA_WIDTH_MASK (7 << 13)
8417#define CMD_MODE_NOT_SUPPORTED (0 << 13)
8418#define CMD_MODE_DATA_WIDTH_16_BIT (1 << 13)
8419#define CMD_MODE_DATA_WIDTH_9_BIT (2 << 13)
8420#define CMD_MODE_DATA_WIDTH_8_BIT (3 << 13)
8421#define CMD_MODE_DATA_WIDTH_OPTION1 (4 << 13)
8422#define CMD_MODE_DATA_WIDTH_OPTION2 (5 << 13)
8423#define VID_MODE_FORMAT_MASK (0xf << 7)
8424#define VID_MODE_NOT_SUPPORTED (0 << 7)
8425#define VID_MODE_FORMAT_RGB565 (1 << 7)
42c151e6
JN
8426#define VID_MODE_FORMAT_RGB666_PACKED (2 << 7)
8427#define VID_MODE_FORMAT_RGB666 (3 << 7)
3230bf14
JN
8428#define VID_MODE_FORMAT_RGB888 (4 << 7)
8429#define CMD_MODE_CHANNEL_NUMBER_SHIFT 5
8430#define CMD_MODE_CHANNEL_NUMBER_MASK (3 << 5)
8431#define VID_MODE_CHANNEL_NUMBER_SHIFT 3
8432#define VID_MODE_CHANNEL_NUMBER_MASK (3 << 3)
8433#define DATA_LANES_PRG_REG_SHIFT 0
8434#define DATA_LANES_PRG_REG_MASK (7 << 0)
8435
4ad83e94 8436#define _MIPIA_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb010)
e7d7cad0 8437#define _MIPIC_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb810)
f0f59a00 8438#define MIPI_HS_TX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_HS_TX_TIMEOUT, _MIPIC_HS_TX_TIMEOUT)
3230bf14
JN
8439#define HIGH_SPEED_TX_TIMEOUT_COUNTER_MASK 0xffffff
8440
4ad83e94 8441#define _MIPIA_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb014)
e7d7cad0 8442#define _MIPIC_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb814)
f0f59a00 8443#define MIPI_LP_RX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_LP_RX_TIMEOUT, _MIPIC_LP_RX_TIMEOUT)
3230bf14
JN
8444#define LOW_POWER_RX_TIMEOUT_COUNTER_MASK 0xffffff
8445
4ad83e94 8446#define _MIPIA_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb018)
e7d7cad0 8447#define _MIPIC_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb818)
f0f59a00 8448#define MIPI_TURN_AROUND_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_TURN_AROUND_TIMEOUT, _MIPIC_TURN_AROUND_TIMEOUT)
3230bf14
JN
8449#define TURN_AROUND_TIMEOUT_MASK 0x3f
8450
4ad83e94 8451#define _MIPIA_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb01c)
e7d7cad0 8452#define _MIPIC_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb81c)
f0f59a00 8453#define MIPI_DEVICE_RESET_TIMER(port) _MMIO_MIPI(port, _MIPIA_DEVICE_RESET_TIMER, _MIPIC_DEVICE_RESET_TIMER)
3230bf14
JN
8454#define DEVICE_RESET_TIMER_MASK 0xffff
8455
4ad83e94 8456#define _MIPIA_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb020)
e7d7cad0 8457#define _MIPIC_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb820)
f0f59a00 8458#define MIPI_DPI_RESOLUTION(port) _MMIO_MIPI(port, _MIPIA_DPI_RESOLUTION, _MIPIC_DPI_RESOLUTION)
3230bf14
JN
8459#define VERTICAL_ADDRESS_SHIFT 16
8460#define VERTICAL_ADDRESS_MASK (0xffff << 16)
8461#define HORIZONTAL_ADDRESS_SHIFT 0
8462#define HORIZONTAL_ADDRESS_MASK 0xffff
8463
4ad83e94 8464#define _MIPIA_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb024)
e7d7cad0 8465#define _MIPIC_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb824)
f0f59a00 8466#define MIPI_DBI_FIFO_THROTTLE(port) _MMIO_MIPI(port, _MIPIA_DBI_FIFO_THROTTLE, _MIPIC_DBI_FIFO_THROTTLE)
3230bf14
JN
8467#define DBI_FIFO_EMPTY_HALF (0 << 0)
8468#define DBI_FIFO_EMPTY_QUARTER (1 << 0)
8469#define DBI_FIFO_EMPTY_7_LOCATIONS (2 << 0)
8470
8471/* regs below are bits 15:0 */
4ad83e94 8472#define _MIPIA_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb028)
e7d7cad0 8473#define _MIPIC_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb828)
f0f59a00 8474#define MIPI_HSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_HSYNC_PADDING_COUNT, _MIPIC_HSYNC_PADDING_COUNT)
3230bf14 8475
4ad83e94 8476#define _MIPIA_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb02c)
e7d7cad0 8477#define _MIPIC_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb82c)
f0f59a00 8478#define MIPI_HBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HBP_COUNT, _MIPIC_HBP_COUNT)
3230bf14 8479
4ad83e94 8480#define _MIPIA_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb030)
e7d7cad0 8481#define _MIPIC_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb830)
f0f59a00 8482#define MIPI_HFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HFP_COUNT, _MIPIC_HFP_COUNT)
3230bf14 8483
4ad83e94 8484#define _MIPIA_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb034)
e7d7cad0 8485#define _MIPIC_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb834)
f0f59a00 8486#define MIPI_HACTIVE_AREA_COUNT(port) _MMIO_MIPI(port, _MIPIA_HACTIVE_AREA_COUNT, _MIPIC_HACTIVE_AREA_COUNT)
3230bf14 8487
4ad83e94 8488#define _MIPIA_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb038)
e7d7cad0 8489#define _MIPIC_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb838)
f0f59a00 8490#define MIPI_VSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_VSYNC_PADDING_COUNT, _MIPIC_VSYNC_PADDING_COUNT)
3230bf14 8491
4ad83e94 8492#define _MIPIA_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb03c)
e7d7cad0 8493#define _MIPIC_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb83c)
f0f59a00 8494#define MIPI_VBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VBP_COUNT, _MIPIC_VBP_COUNT)
3230bf14 8495
4ad83e94 8496#define _MIPIA_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb040)
e7d7cad0 8497#define _MIPIC_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb840)
f0f59a00 8498#define MIPI_VFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VFP_COUNT, _MIPIC_VFP_COUNT)
3230bf14 8499
4ad83e94 8500#define _MIPIA_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb044)
e7d7cad0 8501#define _MIPIC_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb844)
f0f59a00 8502#define MIPI_HIGH_LOW_SWITCH_COUNT(port) _MMIO_MIPI(port, _MIPIA_HIGH_LOW_SWITCH_COUNT, _MIPIC_HIGH_LOW_SWITCH_COUNT)
4ad83e94 8503
3230bf14
JN
8504/* regs above are bits 15:0 */
8505
4ad83e94 8506#define _MIPIA_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb048)
e7d7cad0 8507#define _MIPIC_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb848)
f0f59a00 8508#define MIPI_DPI_CONTROL(port) _MMIO_MIPI(port, _MIPIA_DPI_CONTROL, _MIPIC_DPI_CONTROL)
3230bf14
JN
8509#define DPI_LP_MODE (1 << 6)
8510#define BACKLIGHT_OFF (1 << 5)
8511#define BACKLIGHT_ON (1 << 4)
8512#define COLOR_MODE_OFF (1 << 3)
8513#define COLOR_MODE_ON (1 << 2)
8514#define TURN_ON (1 << 1)
8515#define SHUTDOWN (1 << 0)
8516
4ad83e94 8517#define _MIPIA_DPI_DATA (dev_priv->mipi_mmio_base + 0xb04c)
e7d7cad0 8518#define _MIPIC_DPI_DATA (dev_priv->mipi_mmio_base + 0xb84c)
f0f59a00 8519#define MIPI_DPI_DATA(port) _MMIO_MIPI(port, _MIPIA_DPI_DATA, _MIPIC_DPI_DATA)
3230bf14
JN
8520#define COMMAND_BYTE_SHIFT 0
8521#define COMMAND_BYTE_MASK (0x3f << 0)
8522
4ad83e94 8523#define _MIPIA_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb050)
e7d7cad0 8524#define _MIPIC_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb850)
f0f59a00 8525#define MIPI_INIT_COUNT(port) _MMIO_MIPI(port, _MIPIA_INIT_COUNT, _MIPIC_INIT_COUNT)
3230bf14
JN
8526#define MASTER_INIT_TIMER_SHIFT 0
8527#define MASTER_INIT_TIMER_MASK (0xffff << 0)
8528
4ad83e94 8529#define _MIPIA_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb054)
e7d7cad0 8530#define _MIPIC_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb854)
f0f59a00 8531#define MIPI_MAX_RETURN_PKT_SIZE(port) _MMIO_MIPI(port, \
e7d7cad0 8532 _MIPIA_MAX_RETURN_PKT_SIZE, _MIPIC_MAX_RETURN_PKT_SIZE)
3230bf14
JN
8533#define MAX_RETURN_PKT_SIZE_SHIFT 0
8534#define MAX_RETURN_PKT_SIZE_MASK (0x3ff << 0)
8535
4ad83e94 8536#define _MIPIA_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb058)
e7d7cad0 8537#define _MIPIC_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb858)
f0f59a00 8538#define MIPI_VIDEO_MODE_FORMAT(port) _MMIO_MIPI(port, _MIPIA_VIDEO_MODE_FORMAT, _MIPIC_VIDEO_MODE_FORMAT)
3230bf14
JN
8539#define RANDOM_DPI_DISPLAY_RESOLUTION (1 << 4)
8540#define DISABLE_VIDEO_BTA (1 << 3)
8541#define IP_TG_CONFIG (1 << 2)
8542#define VIDEO_MODE_NON_BURST_WITH_SYNC_PULSE (1 << 0)
8543#define VIDEO_MODE_NON_BURST_WITH_SYNC_EVENTS (2 << 0)
8544#define VIDEO_MODE_BURST (3 << 0)
8545
4ad83e94 8546#define _MIPIA_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb05c)
e7d7cad0 8547#define _MIPIC_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb85c)
f0f59a00 8548#define MIPI_EOT_DISABLE(port) _MMIO_MIPI(port, _MIPIA_EOT_DISABLE, _MIPIC_EOT_DISABLE)
f90e8c36
JN
8549#define BXT_DEFEATURE_DPI_FIFO_CTR (1 << 9)
8550#define BXT_DPHY_DEFEATURE_EN (1 << 8)
3230bf14
JN
8551#define LP_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 7)
8552#define HS_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 6)
8553#define LOW_CONTENTION_RECOVERY_DISABLE (1 << 5)
8554#define HIGH_CONTENTION_RECOVERY_DISABLE (1 << 4)
8555#define TXDSI_TYPE_NOT_RECOGNISED_ERROR_RECOVERY_DISABLE (1 << 3)
8556#define TXECC_MULTIBIT_ERROR_RECOVERY_DISABLE (1 << 2)
8557#define CLOCKSTOP (1 << 1)
8558#define EOT_DISABLE (1 << 0)
8559
4ad83e94 8560#define _MIPIA_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb060)
e7d7cad0 8561#define _MIPIC_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb860)
f0f59a00 8562#define MIPI_LP_BYTECLK(port) _MMIO_MIPI(port, _MIPIA_LP_BYTECLK, _MIPIC_LP_BYTECLK)
3230bf14
JN
8563#define LP_BYTECLK_SHIFT 0
8564#define LP_BYTECLK_MASK (0xffff << 0)
8565
8566/* bits 31:0 */
4ad83e94 8567#define _MIPIA_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb064)
e7d7cad0 8568#define _MIPIC_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb864)
f0f59a00 8569#define MIPI_LP_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_DATA, _MIPIC_LP_GEN_DATA)
3230bf14
JN
8570
8571/* bits 31:0 */
4ad83e94 8572#define _MIPIA_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb068)
e7d7cad0 8573#define _MIPIC_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb868)
f0f59a00 8574#define MIPI_HS_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_DATA, _MIPIC_HS_GEN_DATA)
3230bf14 8575
4ad83e94 8576#define _MIPIA_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb06c)
e7d7cad0 8577#define _MIPIC_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb86c)
f0f59a00 8578#define MIPI_LP_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_CTRL, _MIPIC_LP_GEN_CTRL)
4ad83e94 8579#define _MIPIA_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb070)
e7d7cad0 8580#define _MIPIC_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb870)
f0f59a00 8581#define MIPI_HS_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_CTRL, _MIPIC_HS_GEN_CTRL)
3230bf14
JN
8582#define LONG_PACKET_WORD_COUNT_SHIFT 8
8583#define LONG_PACKET_WORD_COUNT_MASK (0xffff << 8)
8584#define SHORT_PACKET_PARAM_SHIFT 8
8585#define SHORT_PACKET_PARAM_MASK (0xffff << 8)
8586#define VIRTUAL_CHANNEL_SHIFT 6
8587#define VIRTUAL_CHANNEL_MASK (3 << 6)
8588#define DATA_TYPE_SHIFT 0
395b2913 8589#define DATA_TYPE_MASK (0x3f << 0)
3230bf14
JN
8590/* data type values, see include/video/mipi_display.h */
8591
4ad83e94 8592#define _MIPIA_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb074)
e7d7cad0 8593#define _MIPIC_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb874)
f0f59a00 8594#define MIPI_GEN_FIFO_STAT(port) _MMIO_MIPI(port, _MIPIA_GEN_FIFO_STAT, _MIPIC_GEN_FIFO_STAT)
3230bf14
JN
8595#define DPI_FIFO_EMPTY (1 << 28)
8596#define DBI_FIFO_EMPTY (1 << 27)
8597#define LP_CTRL_FIFO_EMPTY (1 << 26)
8598#define LP_CTRL_FIFO_HALF_EMPTY (1 << 25)
8599#define LP_CTRL_FIFO_FULL (1 << 24)
8600#define HS_CTRL_FIFO_EMPTY (1 << 18)
8601#define HS_CTRL_FIFO_HALF_EMPTY (1 << 17)
8602#define HS_CTRL_FIFO_FULL (1 << 16)
8603#define LP_DATA_FIFO_EMPTY (1 << 10)
8604#define LP_DATA_FIFO_HALF_EMPTY (1 << 9)
8605#define LP_DATA_FIFO_FULL (1 << 8)
8606#define HS_DATA_FIFO_EMPTY (1 << 2)
8607#define HS_DATA_FIFO_HALF_EMPTY (1 << 1)
8608#define HS_DATA_FIFO_FULL (1 << 0)
8609
4ad83e94 8610#define _MIPIA_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb078)
e7d7cad0 8611#define _MIPIC_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb878)
f0f59a00 8612#define MIPI_HS_LP_DBI_ENABLE(port) _MMIO_MIPI(port, _MIPIA_HS_LS_DBI_ENABLE, _MIPIC_HS_LS_DBI_ENABLE)
3230bf14
JN
8613#define DBI_HS_LP_MODE_MASK (1 << 0)
8614#define DBI_LP_MODE (1 << 0)
8615#define DBI_HS_MODE (0 << 0)
8616
4ad83e94 8617#define _MIPIA_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb080)
e7d7cad0 8618#define _MIPIC_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb880)
f0f59a00 8619#define MIPI_DPHY_PARAM(port) _MMIO_MIPI(port, _MIPIA_DPHY_PARAM, _MIPIC_DPHY_PARAM)
3230bf14
JN
8620#define EXIT_ZERO_COUNT_SHIFT 24
8621#define EXIT_ZERO_COUNT_MASK (0x3f << 24)
8622#define TRAIL_COUNT_SHIFT 16
8623#define TRAIL_COUNT_MASK (0x1f << 16)
8624#define CLK_ZERO_COUNT_SHIFT 8
8625#define CLK_ZERO_COUNT_MASK (0xff << 8)
8626#define PREPARE_COUNT_SHIFT 0
8627#define PREPARE_COUNT_MASK (0x3f << 0)
8628
8629/* bits 31:0 */
4ad83e94 8630#define _MIPIA_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb084)
e7d7cad0 8631#define _MIPIC_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb884)
f0f59a00
VS
8632#define MIPI_DBI_BW_CTRL(port) _MMIO_MIPI(port, _MIPIA_DBI_BW_CTRL, _MIPIC_DBI_BW_CTRL)
8633
8634#define _MIPIA_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb088)
8635#define _MIPIC_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb888)
8636#define MIPI_CLK_LANE_SWITCH_TIME_CNT(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_SWITCH_TIME_CNT, _MIPIC_CLK_LANE_SWITCH_TIME_CNT)
3230bf14
JN
8637#define LP_HS_SSW_CNT_SHIFT 16
8638#define LP_HS_SSW_CNT_MASK (0xffff << 16)
8639#define HS_LP_PWR_SW_CNT_SHIFT 0
8640#define HS_LP_PWR_SW_CNT_MASK (0xffff << 0)
8641
4ad83e94 8642#define _MIPIA_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb08c)
e7d7cad0 8643#define _MIPIC_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb88c)
f0f59a00 8644#define MIPI_STOP_STATE_STALL(port) _MMIO_MIPI(port, _MIPIA_STOP_STATE_STALL, _MIPIC_STOP_STATE_STALL)
3230bf14
JN
8645#define STOP_STATE_STALL_COUNTER_SHIFT 0
8646#define STOP_STATE_STALL_COUNTER_MASK (0xff << 0)
8647
4ad83e94 8648#define _MIPIA_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb090)
e7d7cad0 8649#define _MIPIC_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb890)
f0f59a00 8650#define MIPI_INTR_STAT_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT_REG_1, _MIPIC_INTR_STAT_REG_1)
4ad83e94 8651#define _MIPIA_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb094)
e7d7cad0 8652#define _MIPIC_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb894)
f0f59a00 8653#define MIPI_INTR_EN_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_EN_REG_1, _MIPIC_INTR_EN_REG_1)
3230bf14
JN
8654#define RX_CONTENTION_DETECTED (1 << 0)
8655
8656/* XXX: only pipe A ?!? */
4ad83e94 8657#define MIPIA_DBI_TYPEC_CTRL (dev_priv->mipi_mmio_base + 0xb100)
3230bf14
JN
8658#define DBI_TYPEC_ENABLE (1 << 31)
8659#define DBI_TYPEC_WIP (1 << 30)
8660#define DBI_TYPEC_OPTION_SHIFT 28
8661#define DBI_TYPEC_OPTION_MASK (3 << 28)
8662#define DBI_TYPEC_FREQ_SHIFT 24
8663#define DBI_TYPEC_FREQ_MASK (0xf << 24)
8664#define DBI_TYPEC_OVERRIDE (1 << 8)
8665#define DBI_TYPEC_OVERRIDE_COUNTER_SHIFT 0
8666#define DBI_TYPEC_OVERRIDE_COUNTER_MASK (0xff << 0)
8667
8668
8669/* MIPI adapter registers */
8670
4ad83e94 8671#define _MIPIA_CTRL (dev_priv->mipi_mmio_base + 0xb104)
e7d7cad0 8672#define _MIPIC_CTRL (dev_priv->mipi_mmio_base + 0xb904)
f0f59a00 8673#define MIPI_CTRL(port) _MMIO_MIPI(port, _MIPIA_CTRL, _MIPIC_CTRL)
3230bf14
JN
8674#define ESCAPE_CLOCK_DIVIDER_SHIFT 5 /* A only */
8675#define ESCAPE_CLOCK_DIVIDER_MASK (3 << 5)
8676#define ESCAPE_CLOCK_DIVIDER_1 (0 << 5)
8677#define ESCAPE_CLOCK_DIVIDER_2 (1 << 5)
8678#define ESCAPE_CLOCK_DIVIDER_4 (2 << 5)
8679#define READ_REQUEST_PRIORITY_SHIFT 3
8680#define READ_REQUEST_PRIORITY_MASK (3 << 3)
8681#define READ_REQUEST_PRIORITY_LOW (0 << 3)
8682#define READ_REQUEST_PRIORITY_HIGH (3 << 3)
8683#define RGB_FLIP_TO_BGR (1 << 2)
8684
6b93e9c8 8685#define BXT_PIPE_SELECT_SHIFT 7
d2e08c0f 8686#define BXT_PIPE_SELECT_MASK (7 << 7)
56c48978 8687#define BXT_PIPE_SELECT(pipe) ((pipe) << 7)
093d680a
D
8688#define GLK_PHY_STATUS_PORT_READY (1 << 31) /* RO */
8689#define GLK_ULPS_NOT_ACTIVE (1 << 30) /* RO */
8690#define GLK_MIPIIO_RESET_RELEASED (1 << 28)
8691#define GLK_CLOCK_LANE_STOP_STATE (1 << 27) /* RO */
8692#define GLK_DATA_LANE_STOP_STATE (1 << 26) /* RO */
8693#define GLK_LP_WAKE (1 << 22)
8694#define GLK_LP11_LOW_PWR_MODE (1 << 21)
8695#define GLK_LP00_LOW_PWR_MODE (1 << 20)
8696#define GLK_FIREWALL_ENABLE (1 << 16)
8697#define BXT_PIXEL_OVERLAP_CNT_MASK (0xf << 10)
8698#define BXT_PIXEL_OVERLAP_CNT_SHIFT 10
8699#define BXT_DSC_ENABLE (1 << 3)
8700#define BXT_RGB_FLIP (1 << 2)
8701#define GLK_MIPIIO_PORT_POWERED (1 << 1) /* RO */
8702#define GLK_MIPIIO_ENABLE (1 << 0)
d2e08c0f 8703
4ad83e94 8704#define _MIPIA_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb108)
e7d7cad0 8705#define _MIPIC_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb908)
f0f59a00 8706#define MIPI_DATA_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_DATA_ADDRESS, _MIPIC_DATA_ADDRESS)
3230bf14
JN
8707#define DATA_MEM_ADDRESS_SHIFT 5
8708#define DATA_MEM_ADDRESS_MASK (0x7ffffff << 5)
8709#define DATA_VALID (1 << 0)
8710
4ad83e94 8711#define _MIPIA_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb10c)
e7d7cad0 8712#define _MIPIC_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb90c)
f0f59a00 8713#define MIPI_DATA_LENGTH(port) _MMIO_MIPI(port, _MIPIA_DATA_LENGTH, _MIPIC_DATA_LENGTH)
3230bf14
JN
8714#define DATA_LENGTH_SHIFT 0
8715#define DATA_LENGTH_MASK (0xfffff << 0)
8716
4ad83e94 8717#define _MIPIA_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb110)
e7d7cad0 8718#define _MIPIC_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb910)
f0f59a00 8719#define MIPI_COMMAND_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_COMMAND_ADDRESS, _MIPIC_COMMAND_ADDRESS)
3230bf14
JN
8720#define COMMAND_MEM_ADDRESS_SHIFT 5
8721#define COMMAND_MEM_ADDRESS_MASK (0x7ffffff << 5)
8722#define AUTO_PWG_ENABLE (1 << 2)
8723#define MEMORY_WRITE_DATA_FROM_PIPE_RENDERING (1 << 1)
8724#define COMMAND_VALID (1 << 0)
8725
4ad83e94 8726#define _MIPIA_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb114)
e7d7cad0 8727#define _MIPIC_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb914)
f0f59a00 8728#define MIPI_COMMAND_LENGTH(port) _MMIO_MIPI(port, _MIPIA_COMMAND_LENGTH, _MIPIC_COMMAND_LENGTH)
3230bf14
JN
8729#define COMMAND_LENGTH_SHIFT(n) (8 * (n)) /* n: 0...3 */
8730#define COMMAND_LENGTH_MASK(n) (0xff << (8 * (n)))
8731
4ad83e94 8732#define _MIPIA_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb118)
e7d7cad0 8733#define _MIPIC_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb918)
f0f59a00 8734#define MIPI_READ_DATA_RETURN(port, n) _MMIO(_MIPI(port, _MIPIA_READ_DATA_RETURN0, _MIPIC_READ_DATA_RETURN0) + 4 * (n)) /* n: 0...7 */
3230bf14 8735
4ad83e94 8736#define _MIPIA_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb138)
e7d7cad0 8737#define _MIPIC_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb938)
f0f59a00 8738#define MIPI_READ_DATA_VALID(port) _MMIO_MIPI(port, _MIPIA_READ_DATA_VALID, _MIPIC_READ_DATA_VALID)
3230bf14
JN
8739#define READ_DATA_VALID(n) (1 << (n))
8740
a57c774a 8741/* For UMS only (deprecated): */
5c969aa7
DL
8742#define _PALETTE_A (dev_priv->info.display_mmio_offset + 0xa000)
8743#define _PALETTE_B (dev_priv->info.display_mmio_offset + 0xa800)
a57c774a 8744
3bbaba0c 8745/* MOCS (Memory Object Control State) registers */
f0f59a00 8746#define GEN9_LNCFCMOCS(i) _MMIO(0xb020 + (i) * 4) /* L3 Cache Control */
3bbaba0c 8747
f0f59a00
VS
8748#define GEN9_GFX_MOCS(i) _MMIO(0xc800 + (i) * 4) /* Graphics MOCS registers */
8749#define GEN9_MFX0_MOCS(i) _MMIO(0xc900 + (i) * 4) /* Media 0 MOCS registers */
8750#define GEN9_MFX1_MOCS(i) _MMIO(0xca00 + (i) * 4) /* Media 1 MOCS registers */
8751#define GEN9_VEBOX_MOCS(i) _MMIO(0xcb00 + (i) * 4) /* Video MOCS registers */
8752#define GEN9_BLT_MOCS(i) _MMIO(0xcc00 + (i) * 4) /* Blitter MOCS registers */
3bbaba0c 8753
d5165ebd
TG
8754/* gamt regs */
8755#define GEN8_L3_LRA_1_GPGPU _MMIO(0x4dd4)
8756#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_BDW 0x67F1427F /* max/min for LRA1/2 */
8757#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_CHV 0x5FF101FF /* max/min for LRA1/2 */
8758#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_SKL 0x67F1427F /* " " */
8759#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_BXT 0x5FF101FF /* " " */
8760
585fb111 8761#endif /* _I915_REG_H_ */