]> git.proxmox.com Git - mirror_ubuntu-jammy-kernel.git/blame - drivers/gpu/drm/i915/i915_reg.h
Merge branch 'timers-urgent-for-linus' of git://git.kernel.org/pub/scm/linux/kernel...
[mirror_ubuntu-jammy-kernel.git] / drivers / gpu / drm / i915 / i915_reg.h
CommitLineData
585fb111
JB
1/* Copyright 2003 Tungsten Graphics, Inc., Cedar Park, Texas.
2 * All Rights Reserved.
3 *
4 * Permission is hereby granted, free of charge, to any person obtaining a
5 * copy of this software and associated documentation files (the
6 * "Software"), to deal in the Software without restriction, including
7 * without limitation the rights to use, copy, modify, merge, publish,
8 * distribute, sub license, and/or sell copies of the Software, and to
9 * permit persons to whom the Software is furnished to do so, subject to
10 * the following conditions:
11 *
12 * The above copyright notice and this permission notice (including the
13 * next paragraph) shall be included in all copies or substantial portions
14 * of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
17 * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
18 * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT.
19 * IN NO EVENT SHALL TUNGSTEN GRAPHICS AND/OR ITS SUPPLIERS BE LIABLE FOR
20 * ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
21 * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
22 * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
23 */
24
25#ifndef _I915_REG_H_
26#define _I915_REG_H_
27
78b36b10 28#include <linux/bitfield.h>
09b434d4
JN
29#include <linux/bits.h>
30
1aa920ea
JN
31/**
32 * DOC: The i915 register macro definition style guide
33 *
34 * Follow the style described here for new macros, and while changing existing
35 * macros. Do **not** mass change existing definitions just to update the style.
36 *
37 * Layout
551bd336 38 * ~~~~~~
1aa920ea
JN
39 *
40 * Keep helper macros near the top. For example, _PIPE() and friends.
41 *
42 * Prefix macros that generally should not be used outside of this file with
43 * underscore '_'. For example, _PIPE() and friends, single instances of
44 * registers that are defined solely for the use by function-like macros.
45 *
46 * Avoid using the underscore prefixed macros outside of this file. There are
47 * exceptions, but keep them to a minimum.
48 *
49 * There are two basic types of register definitions: Single registers and
50 * register groups. Register groups are registers which have two or more
51 * instances, for example one per pipe, port, transcoder, etc. Register groups
52 * should be defined using function-like macros.
53 *
54 * For single registers, define the register offset first, followed by register
55 * contents.
56 *
57 * For register groups, define the register instance offsets first, prefixed
58 * with underscore, followed by a function-like macro choosing the right
59 * instance based on the parameter, followed by register contents.
60 *
61 * Define the register contents (i.e. bit and bit field macros) from most
62 * significant to least significant bit. Indent the register content macros
63 * using two extra spaces between ``#define`` and the macro name.
64 *
baa09e7d
JN
65 * Define bit fields using ``REG_GENMASK(h, l)``. Define bit field contents
66 * using ``REG_FIELD_PREP(mask, value)``. This will define the values already
67 * shifted in place, so they can be directly OR'd together. For convenience,
68 * function-like macros may be used to define bit fields, but do note that the
69 * macros may be needed to read as well as write the register contents.
1aa920ea 70 *
09b434d4 71 * Define bits using ``REG_BIT(N)``. Do **not** add ``_BIT`` suffix to the name.
1aa920ea
JN
72 *
73 * Group the register and its contents together without blank lines, separate
74 * from other registers and their contents with one blank line.
75 *
76 * Indent macro values from macro names using TABs. Align values vertically. Use
77 * braces in macro values as needed to avoid unintended precedence after macro
78 * substitution. Use spaces in macro values according to kernel coding
79 * style. Use lower case in hexadecimal values.
80 *
81 * Naming
551bd336 82 * ~~~~~~
1aa920ea
JN
83 *
84 * Try to name registers according to the specs. If the register name changes in
85 * the specs from platform to another, stick to the original name.
86 *
87 * Try to re-use existing register macro definitions. Only add new macros for
88 * new register offsets, or when the register contents have changed enough to
89 * warrant a full redefinition.
90 *
91 * When a register macro changes for a new platform, prefix the new macro using
92 * the platform acronym or generation. For example, ``SKL_`` or ``GEN8_``. The
93 * prefix signifies the start platform/generation using the register.
94 *
95 * When a bit (field) macro changes or gets added for a new platform, while
96 * retaining the existing register macro, add a platform acronym or generation
97 * suffix to the name. For example, ``_SKL`` or ``_GEN8``.
98 *
99 * Examples
551bd336 100 * ~~~~~~~~
1aa920ea
JN
101 *
102 * (Note that the values in the example are indented using spaces instead of
103 * TABs to avoid misalignment in generated documentation. Use TABs in the
104 * definitions.)::
105 *
106 * #define _FOO_A 0xf000
107 * #define _FOO_B 0xf001
108 * #define FOO(pipe) _MMIO_PIPE(pipe, _FOO_A, _FOO_B)
09b434d4
JN
109 * #define FOO_ENABLE REG_BIT(31)
110 * #define FOO_MODE_MASK REG_GENMASK(19, 16)
baa09e7d
JN
111 * #define FOO_MODE_BAR REG_FIELD_PREP(FOO_MODE_MASK, 0)
112 * #define FOO_MODE_BAZ REG_FIELD_PREP(FOO_MODE_MASK, 1)
113 * #define FOO_MODE_QUX_SNB REG_FIELD_PREP(FOO_MODE_MASK, 2)
1aa920ea
JN
114 *
115 * #define BAR _MMIO(0xb000)
116 * #define GEN8_BAR _MMIO(0xb888)
117 */
118
09b434d4
JN
119/**
120 * REG_BIT() - Prepare a u32 bit value
121 * @__n: 0-based bit number
122 *
123 * Local wrapper for BIT() to force u32, with compile time checks.
124 *
125 * @return: Value with bit @__n set.
126 */
127#define REG_BIT(__n) \
128 ((u32)(BIT(__n) + \
129 BUILD_BUG_ON_ZERO(__builtin_constant_p(__n) && \
130 ((__n) < 0 || (__n) > 31))))
131
132/**
133 * REG_GENMASK() - Prepare a continuous u32 bitmask
134 * @__high: 0-based high bit
135 * @__low: 0-based low bit
136 *
137 * Local wrapper for GENMASK() to force u32, with compile time checks.
138 *
139 * @return: Continuous bitmask from @__high to @__low, inclusive.
140 */
141#define REG_GENMASK(__high, __low) \
142 ((u32)(GENMASK(__high, __low) + \
143 BUILD_BUG_ON_ZERO(__builtin_constant_p(__high) && \
144 __builtin_constant_p(__low) && \
145 ((__low) < 0 || (__high) > 31 || (__low) > (__high)))))
146
baa09e7d
JN
147/*
148 * Local integer constant expression version of is_power_of_2().
149 */
150#define IS_POWER_OF_2(__x) ((__x) && (((__x) & ((__x) - 1)) == 0))
151
78b36b10
JN
152/**
153 * REG_FIELD_PREP() - Prepare a u32 bitfield value
154 * @__mask: shifted mask defining the field's length and position
155 * @__val: value to put in the field
156
baa09e7d
JN
157 * Local copy of FIELD_PREP() to generate an integer constant expression, force
158 * u32 and for consistency with REG_FIELD_GET(), REG_BIT() and REG_GENMASK().
78b36b10
JN
159 *
160 * @return: @__val masked and shifted into the field defined by @__mask.
161 */
baa09e7d
JN
162#define REG_FIELD_PREP(__mask, __val) \
163 ((u32)((((typeof(__mask))(__val) << __bf_shf(__mask)) & (__mask)) + \
ab7529f2 164 BUILD_BUG_ON_ZERO(!__is_constexpr(__mask)) + \
baa09e7d
JN
165 BUILD_BUG_ON_ZERO((__mask) == 0 || (__mask) > U32_MAX) + \
166 BUILD_BUG_ON_ZERO(!IS_POWER_OF_2((__mask) + (1ULL << __bf_shf(__mask)))) + \
ab7529f2 167 BUILD_BUG_ON_ZERO(__builtin_choose_expr(__is_constexpr(__val), (~((__mask) >> __bf_shf(__mask)) & (__val)), 0))))
78b36b10
JN
168
169/**
170 * REG_FIELD_GET() - Extract a u32 bitfield value
171 * @__mask: shifted mask defining the field's length and position
172 * @__val: value to extract the bitfield value from
173 *
174 * Local wrapper for FIELD_GET() to force u32 and for consistency with
175 * REG_FIELD_PREP(), REG_BIT() and REG_GENMASK().
176 *
177 * @return: Masked and shifted value of the field defined by @__mask in @__val.
178 */
179#define REG_FIELD_GET(__mask, __val) ((u32)FIELD_GET(__mask, __val))
180
f0f59a00 181typedef struct {
739f3abd 182 u32 reg;
f0f59a00
VS
183} i915_reg_t;
184
185#define _MMIO(r) ((const i915_reg_t){ .reg = (r) })
186
187#define INVALID_MMIO_REG _MMIO(0)
188
739f3abd 189static inline u32 i915_mmio_reg_offset(i915_reg_t reg)
f0f59a00
VS
190{
191 return reg.reg;
192}
193
194static inline bool i915_mmio_reg_equal(i915_reg_t a, i915_reg_t b)
195{
196 return i915_mmio_reg_offset(a) == i915_mmio_reg_offset(b);
197}
198
199static inline bool i915_mmio_reg_valid(i915_reg_t reg)
200{
201 return !i915_mmio_reg_equal(reg, INVALID_MMIO_REG);
202}
203
ed5eb1b7
JN
204#define VLV_DISPLAY_BASE 0x180000
205#define VLV_MIPI_BASE VLV_DISPLAY_BASE
206#define BXT_MIPI_BASE 0x60000
207
208#define DISPLAY_MMIO_BASE(dev_priv) (INTEL_INFO(dev_priv)->display_mmio_offset)
209
e67005e5
JN
210/*
211 * Given the first two numbers __a and __b of arbitrarily many evenly spaced
212 * numbers, pick the 0-based __index'th value.
213 *
214 * Always prefer this over _PICK() if the numbers are evenly spaced.
215 */
216#define _PICK_EVEN(__index, __a, __b) ((__a) + (__index) * ((__b) - (__a)))
217
218/*
219 * Given the arbitrary numbers in varargs, pick the 0-based __index'th number.
220 *
221 * Always prefer _PICK_EVEN() over this if the numbers are evenly spaced.
222 */
ce64645d
JN
223#define _PICK(__index, ...) (((const u32 []){ __VA_ARGS__ })[__index])
224
e67005e5
JN
225/*
226 * Named helper wrappers around _PICK_EVEN() and _PICK().
227 */
8d97b4a9
JN
228#define _PIPE(pipe, a, b) _PICK_EVEN(pipe, a, b)
229#define _PLANE(plane, a, b) _PICK_EVEN(plane, a, b)
230#define _TRANS(tran, a, b) _PICK_EVEN(tran, a, b)
231#define _PORT(port, a, b) _PICK_EVEN(port, a, b)
232#define _PLL(pll, a, b) _PICK_EVEN(pll, a, b)
233
234#define _MMIO_PIPE(pipe, a, b) _MMIO(_PIPE(pipe, a, b))
235#define _MMIO_PLANE(plane, a, b) _MMIO(_PLANE(plane, a, b))
236#define _MMIO_TRANS(tran, a, b) _MMIO(_TRANS(tran, a, b))
237#define _MMIO_PORT(port, a, b) _MMIO(_PORT(port, a, b))
238#define _MMIO_PLL(pll, a, b) _MMIO(_PLL(pll, a, b))
239
240#define _PHY3(phy, ...) _PICK(phy, __VA_ARGS__)
241
242#define _MMIO_PIPE3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
243#define _MMIO_PORT3(pipe, a, b, c) _MMIO(_PICK(pipe, a, b, c))
244#define _MMIO_PHY3(phy, a, b, c) _MMIO(_PHY3(phy, a, b, c))
2b139522 245
a7c0149f
JN
246/*
247 * Device info offset array based helpers for groups of registers with unevenly
248 * spaced base offsets.
249 */
a0f04cc2
JN
250#define _MMIO_PIPE2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->pipe_offsets[pipe] - \
251 INTEL_INFO(dev_priv)->pipe_offsets[PIPE_A] + (reg) + \
ed5eb1b7 252 DISPLAY_MMIO_BASE(dev_priv))
a0f04cc2
JN
253#define _MMIO_TRANS2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->trans_offsets[(pipe)] - \
254 INTEL_INFO(dev_priv)->trans_offsets[TRANSCODER_A] + (reg) + \
ed5eb1b7 255 DISPLAY_MMIO_BASE(dev_priv))
a0f04cc2
JN
256#define _CURSOR2(pipe, reg) _MMIO(INTEL_INFO(dev_priv)->cursor_offsets[(pipe)] - \
257 INTEL_INFO(dev_priv)->cursor_offsets[PIPE_A] + (reg) + \
ed5eb1b7 258 DISPLAY_MMIO_BASE(dev_priv))
a7c0149f 259
5ee4a7a6 260#define __MASKED_FIELD(mask, value) ((mask) << 16 | (value))
98533251
DL
261#define _MASKED_FIELD(mask, value) ({ \
262 if (__builtin_constant_p(mask)) \
263 BUILD_BUG_ON_MSG(((mask) & 0xffff0000), "Incorrect mask"); \
264 if (__builtin_constant_p(value)) \
265 BUILD_BUG_ON_MSG((value) & 0xffff0000, "Incorrect value"); \
266 if (__builtin_constant_p(mask) && __builtin_constant_p(value)) \
267 BUILD_BUG_ON_MSG((value) & ~(mask), \
268 "Incorrect value for mask"); \
5ee4a7a6 269 __MASKED_FIELD(mask, value); })
98533251
DL
270#define _MASKED_BIT_ENABLE(a) ({ typeof(a) _a = (a); _MASKED_FIELD(_a, _a); })
271#define _MASKED_BIT_DISABLE(a) (_MASKED_FIELD((a), 0))
272
237ae7c7 273/* Engine ID */
98533251 274
8a68d464
CW
275#define RCS0_HW 0
276#define VCS0_HW 1
277#define BCS0_HW 2
278#define VECS0_HW 3
279#define VCS1_HW 4
280#define VCS2_HW 6
281#define VCS3_HW 7
282#define VECS1_HW 12
6b26c86d 283
0908180b
DCS
284/* Engine class */
285
286#define RENDER_CLASS 0
287#define VIDEO_DECODE_CLASS 1
288#define VIDEO_ENHANCEMENT_CLASS 2
289#define COPY_ENGINE_CLASS 3
290#define OTHER_CLASS 4
b46a33e2
TU
291#define MAX_ENGINE_CLASS 4
292
d02b98b8 293#define OTHER_GTPM_INSTANCE 1
022d3093 294#define MAX_ENGINE_INSTANCE 3
0908180b 295
585fb111
JB
296/* PCI config space */
297
e10fa551
JL
298#define MCHBAR_I915 0x44
299#define MCHBAR_I965 0x48
300#define MCHBAR_SIZE (4 * 4096)
301
302#define DEVEN 0x54
303#define DEVEN_MCHBAR_EN (1 << 28)
304
40006c43 305/* BSM in include/drm/i915_drm.h */
e10fa551 306
1b1d2716
VS
307#define HPLLCC 0xc0 /* 85x only */
308#define GC_CLOCK_CONTROL_MASK (0x7 << 0)
585fb111
JB
309#define GC_CLOCK_133_200 (0 << 0)
310#define GC_CLOCK_100_200 (1 << 0)
311#define GC_CLOCK_100_133 (2 << 0)
1b1d2716
VS
312#define GC_CLOCK_133_266 (3 << 0)
313#define GC_CLOCK_133_200_2 (4 << 0)
314#define GC_CLOCK_133_266_2 (5 << 0)
315#define GC_CLOCK_166_266 (6 << 0)
316#define GC_CLOCK_166_250 (7 << 0)
317
e10fa551
JL
318#define I915_GDRST 0xc0 /* PCI config register */
319#define GRDOM_FULL (0 << 2)
320#define GRDOM_RENDER (1 << 2)
321#define GRDOM_MEDIA (3 << 2)
322#define GRDOM_MASK (3 << 2)
323#define GRDOM_RESET_STATUS (1 << 1)
324#define GRDOM_RESET_ENABLE (1 << 0)
325
8fdded82
VS
326/* BSpec only has register offset, PCI device and bit found empirically */
327#define I830_CLOCK_GATE 0xc8 /* device 0 */
328#define I830_L2_CACHE_CLOCK_GATE_DISABLE (1 << 2)
329
e10fa551
JL
330#define GCDGMBUS 0xcc
331
f97108d1 332#define GCFGC2 0xda
585fb111
JB
333#define GCFGC 0xf0 /* 915+ only */
334#define GC_LOW_FREQUENCY_ENABLE (1 << 7)
335#define GC_DISPLAY_CLOCK_190_200_MHZ (0 << 4)
6248017a 336#define GC_DISPLAY_CLOCK_333_320_MHZ (4 << 4)
257a7ffc
DV
337#define GC_DISPLAY_CLOCK_267_MHZ_PNV (0 << 4)
338#define GC_DISPLAY_CLOCK_333_MHZ_PNV (1 << 4)
339#define GC_DISPLAY_CLOCK_444_MHZ_PNV (2 << 4)
340#define GC_DISPLAY_CLOCK_200_MHZ_PNV (5 << 4)
341#define GC_DISPLAY_CLOCK_133_MHZ_PNV (6 << 4)
342#define GC_DISPLAY_CLOCK_167_MHZ_PNV (7 << 4)
585fb111 343#define GC_DISPLAY_CLOCK_MASK (7 << 4)
652c393a
JB
344#define GM45_GC_RENDER_CLOCK_MASK (0xf << 0)
345#define GM45_GC_RENDER_CLOCK_266_MHZ (8 << 0)
346#define GM45_GC_RENDER_CLOCK_320_MHZ (9 << 0)
347#define GM45_GC_RENDER_CLOCK_400_MHZ (0xb << 0)
348#define GM45_GC_RENDER_CLOCK_533_MHZ (0xc << 0)
349#define I965_GC_RENDER_CLOCK_MASK (0xf << 0)
350#define I965_GC_RENDER_CLOCK_267_MHZ (2 << 0)
351#define I965_GC_RENDER_CLOCK_333_MHZ (3 << 0)
352#define I965_GC_RENDER_CLOCK_444_MHZ (4 << 0)
353#define I965_GC_RENDER_CLOCK_533_MHZ (5 << 0)
354#define I945_GC_RENDER_CLOCK_MASK (7 << 0)
355#define I945_GC_RENDER_CLOCK_166_MHZ (0 << 0)
356#define I945_GC_RENDER_CLOCK_200_MHZ (1 << 0)
357#define I945_GC_RENDER_CLOCK_250_MHZ (3 << 0)
358#define I945_GC_RENDER_CLOCK_400_MHZ (5 << 0)
359#define I915_GC_RENDER_CLOCK_MASK (7 << 0)
360#define I915_GC_RENDER_CLOCK_166_MHZ (0 << 0)
361#define I915_GC_RENDER_CLOCK_200_MHZ (1 << 0)
362#define I915_GC_RENDER_CLOCK_333_MHZ (4 << 0)
7f1bdbcb 363
e10fa551
JL
364#define ASLE 0xe4
365#define ASLS 0xfc
366
367#define SWSCI 0xe8
368#define SWSCI_SCISEL (1 << 15)
369#define SWSCI_GSSCIE (1 << 0)
370
371#define LBPC 0xf4 /* legacy/combination backlight modes, also called LBB */
eeccdcac 372
585fb111 373
f0f59a00 374#define ILK_GDSR _MMIO(MCHBAR_MIRROR_BASE + 0x2ca4)
5ee8ee86
PZ
375#define ILK_GRDOM_FULL (0 << 1)
376#define ILK_GRDOM_RENDER (1 << 1)
377#define ILK_GRDOM_MEDIA (3 << 1)
378#define ILK_GRDOM_MASK (3 << 1)
379#define ILK_GRDOM_RESET_ENABLE (1 << 0)
b3a3f03d 380
f0f59a00 381#define GEN6_MBCUNIT_SNPCR _MMIO(0x900c) /* for LLC config */
07b7ddd9 382#define GEN6_MBC_SNPCR_SHIFT 21
5ee8ee86
PZ
383#define GEN6_MBC_SNPCR_MASK (3 << 21)
384#define GEN6_MBC_SNPCR_MAX (0 << 21)
385#define GEN6_MBC_SNPCR_MED (1 << 21)
386#define GEN6_MBC_SNPCR_LOW (2 << 21)
387#define GEN6_MBC_SNPCR_MIN (3 << 21) /* only 1/16th of the cache is shared */
07b7ddd9 388
f0f59a00
VS
389#define VLV_G3DCTL _MMIO(0x9024)
390#define VLV_GSCKGCTL _MMIO(0x9028)
9e72b46c 391
f0f59a00 392#define GEN6_MBCTL _MMIO(0x0907c)
5eb719cd
DV
393#define GEN6_MBCTL_ENABLE_BOOT_FETCH (1 << 4)
394#define GEN6_MBCTL_CTX_FETCH_NEEDED (1 << 3)
395#define GEN6_MBCTL_BME_UPDATE_ENABLE (1 << 2)
396#define GEN6_MBCTL_MAE_UPDATE_ENABLE (1 << 1)
397#define GEN6_MBCTL_BOOT_FETCH_MECH (1 << 0)
398
f0f59a00 399#define GEN6_GDRST _MMIO(0x941c)
cff458c2
EA
400#define GEN6_GRDOM_FULL (1 << 0)
401#define GEN6_GRDOM_RENDER (1 << 1)
402#define GEN6_GRDOM_MEDIA (1 << 2)
403#define GEN6_GRDOM_BLT (1 << 3)
ee4b6faf 404#define GEN6_GRDOM_VECS (1 << 4)
6b332fa2 405#define GEN9_GRDOM_GUC (1 << 5)
ee4b6faf 406#define GEN8_GRDOM_MEDIA2 (1 << 7)
e34b0345
MT
407/* GEN11 changed all bit defs except for FULL & RENDER */
408#define GEN11_GRDOM_FULL GEN6_GRDOM_FULL
409#define GEN11_GRDOM_RENDER GEN6_GRDOM_RENDER
410#define GEN11_GRDOM_BLT (1 << 2)
411#define GEN11_GRDOM_GUC (1 << 3)
412#define GEN11_GRDOM_MEDIA (1 << 5)
413#define GEN11_GRDOM_MEDIA2 (1 << 6)
414#define GEN11_GRDOM_MEDIA3 (1 << 7)
415#define GEN11_GRDOM_MEDIA4 (1 << 8)
416#define GEN11_GRDOM_VECS (1 << 13)
417#define GEN11_GRDOM_VECS2 (1 << 14)
f513ac76
OM
418#define GEN11_GRDOM_SFC0 (1 << 17)
419#define GEN11_GRDOM_SFC1 (1 << 18)
420
421#define GEN11_VCS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << ((instance) >> 1))
422#define GEN11_VECS_SFC_RESET_BIT(instance) (GEN11_GRDOM_SFC0 << (instance))
423
424#define GEN11_VCS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x88C)
425#define GEN11_VCS_SFC_FORCED_LOCK_BIT (1 << 0)
426#define GEN11_VCS_SFC_LOCK_STATUS(engine) _MMIO((engine)->mmio_base + 0x890)
427#define GEN11_VCS_SFC_USAGE_BIT (1 << 0)
428#define GEN11_VCS_SFC_LOCK_ACK_BIT (1 << 1)
429
430#define GEN11_VECS_SFC_FORCED_LOCK(engine) _MMIO((engine)->mmio_base + 0x201C)
431#define GEN11_VECS_SFC_FORCED_LOCK_BIT (1 << 0)
432#define GEN11_VECS_SFC_LOCK_ACK(engine) _MMIO((engine)->mmio_base + 0x2018)
433#define GEN11_VECS_SFC_LOCK_ACK_BIT (1 << 0)
434#define GEN11_VECS_SFC_USAGE(engine) _MMIO((engine)->mmio_base + 0x2014)
435#define GEN11_VECS_SFC_USAGE_BIT (1 << 0)
cff458c2 436
baba6e57
DCS
437#define RING_PP_DIR_BASE(base) _MMIO((base) + 0x228)
438#define RING_PP_DIR_BASE_READ(base) _MMIO((base) + 0x518)
439#define RING_PP_DIR_DCLV(base) _MMIO((base) + 0x220)
5eb719cd
DV
440#define PP_DIR_DCLV_2G 0xffffffff
441
6d425728
CW
442#define GEN8_RING_PDP_UDW(base, n) _MMIO((base) + 0x270 + (n) * 8 + 4)
443#define GEN8_RING_PDP_LDW(base, n) _MMIO((base) + 0x270 + (n) * 8)
94e409c1 444
f0f59a00 445#define GEN8_R_PWR_CLK_STATE _MMIO(0x20C8)
0cea6502
JM
446#define GEN8_RPCS_ENABLE (1 << 31)
447#define GEN8_RPCS_S_CNT_ENABLE (1 << 18)
448#define GEN8_RPCS_S_CNT_SHIFT 15
449#define GEN8_RPCS_S_CNT_MASK (0x7 << GEN8_RPCS_S_CNT_SHIFT)
b212f0a4
TU
450#define GEN11_RPCS_S_CNT_SHIFT 12
451#define GEN11_RPCS_S_CNT_MASK (0x3f << GEN11_RPCS_S_CNT_SHIFT)
0cea6502
JM
452#define GEN8_RPCS_SS_CNT_ENABLE (1 << 11)
453#define GEN8_RPCS_SS_CNT_SHIFT 8
454#define GEN8_RPCS_SS_CNT_MASK (0x7 << GEN8_RPCS_SS_CNT_SHIFT)
455#define GEN8_RPCS_EU_MAX_SHIFT 4
456#define GEN8_RPCS_EU_MAX_MASK (0xf << GEN8_RPCS_EU_MAX_SHIFT)
457#define GEN8_RPCS_EU_MIN_SHIFT 0
458#define GEN8_RPCS_EU_MIN_MASK (0xf << GEN8_RPCS_EU_MIN_SHIFT)
459
f89823c2
LL
460#define WAIT_FOR_RC6_EXIT _MMIO(0x20CC)
461/* HSW only */
462#define HSW_SELECTIVE_READ_ADDRESSING_SHIFT 2
463#define HSW_SELECTIVE_READ_ADDRESSING_MASK (0x3 << HSW_SLECTIVE_READ_ADDRESSING_SHIFT)
464#define HSW_SELECTIVE_WRITE_ADDRESS_SHIFT 4
465#define HSW_SELECTIVE_WRITE_ADDRESS_MASK (0x7 << HSW_SELECTIVE_WRITE_ADDRESS_SHIFT)
466/* HSW+ */
467#define HSW_WAIT_FOR_RC6_EXIT_ENABLE (1 << 0)
468#define HSW_RCS_CONTEXT_ENABLE (1 << 7)
469#define HSW_RCS_INHIBIT (1 << 8)
470/* Gen8 */
471#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
472#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
473#define GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT 4
474#define GEN8_SELECTIVE_WRITE_ADDRESS_MASK (0x3 << GEN8_SELECTIVE_WRITE_ADDRESS_SHIFT)
475#define GEN8_SELECTIVE_WRITE_ADDRESSING_ENABLE (1 << 6)
476#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT 9
477#define GEN8_SELECTIVE_READ_SUBSLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SUBSLICE_SELECT_SHIFT)
478#define GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT 11
479#define GEN8_SELECTIVE_READ_SLICE_SELECT_MASK (0x3 << GEN8_SELECTIVE_READ_SLICE_SELECT_SHIFT)
480#define GEN8_SELECTIVE_READ_ADDRESSING_ENABLE (1 << 13)
481
f0f59a00 482#define GAM_ECOCHK _MMIO(0x4090)
5ee8ee86
PZ
483#define BDW_DISABLE_HDC_INVALIDATION (1 << 25)
484#define ECOCHK_SNB_BIT (1 << 10)
485#define ECOCHK_DIS_TLB (1 << 8)
486#define HSW_ECOCHK_ARB_PRIO_SOL (1 << 6)
487#define ECOCHK_PPGTT_CACHE64B (0x3 << 3)
488#define ECOCHK_PPGTT_CACHE4B (0x0 << 3)
489#define ECOCHK_PPGTT_GFDT_IVB (0x1 << 4)
490#define ECOCHK_PPGTT_LLC_IVB (0x1 << 3)
491#define ECOCHK_PPGTT_UC_HSW (0x1 << 3)
492#define ECOCHK_PPGTT_WT_HSW (0x2 << 3)
493#define ECOCHK_PPGTT_WB_HSW (0x3 << 3)
5eb719cd 494
f0f59a00 495#define GAC_ECO_BITS _MMIO(0x14090)
5ee8ee86
PZ
496#define ECOBITS_SNB_BIT (1 << 13)
497#define ECOBITS_PPGTT_CACHE64B (3 << 8)
498#define ECOBITS_PPGTT_CACHE4B (0 << 8)
48ecfa10 499
f0f59a00 500#define GAB_CTL _MMIO(0x24000)
5ee8ee86 501#define GAB_CTL_CONT_AFTER_PAGEFAULT (1 << 8)
be901a5a 502
f0f59a00 503#define GEN6_STOLEN_RESERVED _MMIO(0x1082C0)
3774eb50
PZ
504#define GEN6_STOLEN_RESERVED_ADDR_MASK (0xFFF << 20)
505#define GEN7_STOLEN_RESERVED_ADDR_MASK (0x3FFF << 18)
506#define GEN6_STOLEN_RESERVED_SIZE_MASK (3 << 4)
507#define GEN6_STOLEN_RESERVED_1M (0 << 4)
508#define GEN6_STOLEN_RESERVED_512K (1 << 4)
509#define GEN6_STOLEN_RESERVED_256K (2 << 4)
510#define GEN6_STOLEN_RESERVED_128K (3 << 4)
511#define GEN7_STOLEN_RESERVED_SIZE_MASK (1 << 5)
512#define GEN7_STOLEN_RESERVED_1M (0 << 5)
513#define GEN7_STOLEN_RESERVED_256K (1 << 5)
514#define GEN8_STOLEN_RESERVED_SIZE_MASK (3 << 7)
515#define GEN8_STOLEN_RESERVED_1M (0 << 7)
516#define GEN8_STOLEN_RESERVED_2M (1 << 7)
517#define GEN8_STOLEN_RESERVED_4M (2 << 7)
518#define GEN8_STOLEN_RESERVED_8M (3 << 7)
db7fb605 519#define GEN6_STOLEN_RESERVED_ENABLE (1 << 0)
185441e0 520#define GEN11_STOLEN_RESERVED_ADDR_MASK (0xFFFFFFFFFFFULL << 20)
40bae736 521
585fb111
JB
522/* VGA stuff */
523
524#define VGA_ST01_MDA 0x3ba
525#define VGA_ST01_CGA 0x3da
526
f0f59a00 527#define _VGA_MSR_WRITE _MMIO(0x3c2)
585fb111
JB
528#define VGA_MSR_WRITE 0x3c2
529#define VGA_MSR_READ 0x3cc
5ee8ee86
PZ
530#define VGA_MSR_MEM_EN (1 << 1)
531#define VGA_MSR_CGA_MODE (1 << 0)
585fb111 532
5434fd92 533#define VGA_SR_INDEX 0x3c4
f930ddd0 534#define SR01 1
5434fd92 535#define VGA_SR_DATA 0x3c5
585fb111
JB
536
537#define VGA_AR_INDEX 0x3c0
5ee8ee86 538#define VGA_AR_VID_EN (1 << 5)
585fb111
JB
539#define VGA_AR_DATA_WRITE 0x3c0
540#define VGA_AR_DATA_READ 0x3c1
541
542#define VGA_GR_INDEX 0x3ce
543#define VGA_GR_DATA 0x3cf
544/* GR05 */
545#define VGA_GR_MEM_READ_MODE_SHIFT 3
546#define VGA_GR_MEM_READ_MODE_PLANE 1
547/* GR06 */
548#define VGA_GR_MEM_MODE_MASK 0xc
549#define VGA_GR_MEM_MODE_SHIFT 2
550#define VGA_GR_MEM_A0000_AFFFF 0
551#define VGA_GR_MEM_A0000_BFFFF 1
552#define VGA_GR_MEM_B0000_B7FFF 2
553#define VGA_GR_MEM_B0000_BFFFF 3
554
555#define VGA_DACMASK 0x3c6
556#define VGA_DACRX 0x3c7
557#define VGA_DACWX 0x3c8
558#define VGA_DACDATA 0x3c9
559
560#define VGA_CR_INDEX_MDA 0x3b4
561#define VGA_CR_DATA_MDA 0x3b5
562#define VGA_CR_INDEX_CGA 0x3d4
563#define VGA_CR_DATA_CGA 0x3d5
564
f0f59a00
VS
565#define MI_PREDICATE_SRC0 _MMIO(0x2400)
566#define MI_PREDICATE_SRC0_UDW _MMIO(0x2400 + 4)
567#define MI_PREDICATE_SRC1 _MMIO(0x2408)
568#define MI_PREDICATE_SRC1_UDW _MMIO(0x2408 + 4)
9435373e 569
f0f59a00 570#define MI_PREDICATE_RESULT_2 _MMIO(0x2214)
5ee8ee86
PZ
571#define LOWER_SLICE_ENABLED (1 << 0)
572#define LOWER_SLICE_DISABLED (0 << 0)
9435373e 573
5947de9b
BV
574/*
575 * Registers used only by the command parser
576 */
f0f59a00
VS
577#define BCS_SWCTRL _MMIO(0x22200)
578
579#define GPGPU_THREADS_DISPATCHED _MMIO(0x2290)
580#define GPGPU_THREADS_DISPATCHED_UDW _MMIO(0x2290 + 4)
581#define HS_INVOCATION_COUNT _MMIO(0x2300)
582#define HS_INVOCATION_COUNT_UDW _MMIO(0x2300 + 4)
583#define DS_INVOCATION_COUNT _MMIO(0x2308)
584#define DS_INVOCATION_COUNT_UDW _MMIO(0x2308 + 4)
585#define IA_VERTICES_COUNT _MMIO(0x2310)
586#define IA_VERTICES_COUNT_UDW _MMIO(0x2310 + 4)
587#define IA_PRIMITIVES_COUNT _MMIO(0x2318)
588#define IA_PRIMITIVES_COUNT_UDW _MMIO(0x2318 + 4)
589#define VS_INVOCATION_COUNT _MMIO(0x2320)
590#define VS_INVOCATION_COUNT_UDW _MMIO(0x2320 + 4)
591#define GS_INVOCATION_COUNT _MMIO(0x2328)
592#define GS_INVOCATION_COUNT_UDW _MMIO(0x2328 + 4)
593#define GS_PRIMITIVES_COUNT _MMIO(0x2330)
594#define GS_PRIMITIVES_COUNT_UDW _MMIO(0x2330 + 4)
595#define CL_INVOCATION_COUNT _MMIO(0x2338)
596#define CL_INVOCATION_COUNT_UDW _MMIO(0x2338 + 4)
597#define CL_PRIMITIVES_COUNT _MMIO(0x2340)
598#define CL_PRIMITIVES_COUNT_UDW _MMIO(0x2340 + 4)
599#define PS_INVOCATION_COUNT _MMIO(0x2348)
600#define PS_INVOCATION_COUNT_UDW _MMIO(0x2348 + 4)
601#define PS_DEPTH_COUNT _MMIO(0x2350)
602#define PS_DEPTH_COUNT_UDW _MMIO(0x2350 + 4)
5947de9b
BV
603
604/* There are the 4 64-bit counter registers, one for each stream output */
f0f59a00
VS
605#define GEN7_SO_NUM_PRIMS_WRITTEN(n) _MMIO(0x5200 + (n) * 8)
606#define GEN7_SO_NUM_PRIMS_WRITTEN_UDW(n) _MMIO(0x5200 + (n) * 8 + 4)
5947de9b 607
f0f59a00
VS
608#define GEN7_SO_PRIM_STORAGE_NEEDED(n) _MMIO(0x5240 + (n) * 8)
609#define GEN7_SO_PRIM_STORAGE_NEEDED_UDW(n) _MMIO(0x5240 + (n) * 8 + 4)
113a0476 610
f0f59a00
VS
611#define GEN7_3DPRIM_END_OFFSET _MMIO(0x2420)
612#define GEN7_3DPRIM_START_VERTEX _MMIO(0x2430)
613#define GEN7_3DPRIM_VERTEX_COUNT _MMIO(0x2434)
614#define GEN7_3DPRIM_INSTANCE_COUNT _MMIO(0x2438)
615#define GEN7_3DPRIM_START_INSTANCE _MMIO(0x243C)
616#define GEN7_3DPRIM_BASE_VERTEX _MMIO(0x2440)
113a0476 617
f0f59a00
VS
618#define GEN7_GPGPU_DISPATCHDIMX _MMIO(0x2500)
619#define GEN7_GPGPU_DISPATCHDIMY _MMIO(0x2504)
620#define GEN7_GPGPU_DISPATCHDIMZ _MMIO(0x2508)
7b9748cb 621
1b85066b
JJ
622/* There are the 16 64-bit CS General Purpose Registers */
623#define HSW_CS_GPR(n) _MMIO(0x2600 + (n) * 8)
624#define HSW_CS_GPR_UDW(n) _MMIO(0x2600 + (n) * 8 + 4)
625
a941795a 626#define GEN7_OACONTROL _MMIO(0x2360)
d7965152
RB
627#define GEN7_OACONTROL_CTX_MASK 0xFFFFF000
628#define GEN7_OACONTROL_TIMER_PERIOD_MASK 0x3F
629#define GEN7_OACONTROL_TIMER_PERIOD_SHIFT 6
5ee8ee86
PZ
630#define GEN7_OACONTROL_TIMER_ENABLE (1 << 5)
631#define GEN7_OACONTROL_FORMAT_A13 (0 << 2)
632#define GEN7_OACONTROL_FORMAT_A29 (1 << 2)
633#define GEN7_OACONTROL_FORMAT_A13_B8_C8 (2 << 2)
634#define GEN7_OACONTROL_FORMAT_A29_B8_C8 (3 << 2)
635#define GEN7_OACONTROL_FORMAT_B4_C8 (4 << 2)
636#define GEN7_OACONTROL_FORMAT_A45_B8_C8 (5 << 2)
637#define GEN7_OACONTROL_FORMAT_B4_C8_A16 (6 << 2)
638#define GEN7_OACONTROL_FORMAT_C4_B8 (7 << 2)
d7965152 639#define GEN7_OACONTROL_FORMAT_SHIFT 2
5ee8ee86
PZ
640#define GEN7_OACONTROL_PER_CTX_ENABLE (1 << 1)
641#define GEN7_OACONTROL_ENABLE (1 << 0)
d7965152
RB
642
643#define GEN8_OACTXID _MMIO(0x2364)
644
19f81df2 645#define GEN8_OA_DEBUG _MMIO(0x2B04)
5ee8ee86
PZ
646#define GEN9_OA_DEBUG_DISABLE_CLK_RATIO_REPORTS (1 << 5)
647#define GEN9_OA_DEBUG_INCLUDE_CLK_RATIO (1 << 6)
648#define GEN9_OA_DEBUG_DISABLE_GO_1_0_REPORTS (1 << 2)
649#define GEN9_OA_DEBUG_DISABLE_CTX_SWITCH_REPORTS (1 << 1)
19f81df2 650
d7965152 651#define GEN8_OACONTROL _MMIO(0x2B00)
5ee8ee86
PZ
652#define GEN8_OA_REPORT_FORMAT_A12 (0 << 2)
653#define GEN8_OA_REPORT_FORMAT_A12_B8_C8 (2 << 2)
654#define GEN8_OA_REPORT_FORMAT_A36_B8_C8 (5 << 2)
655#define GEN8_OA_REPORT_FORMAT_C4_B8 (7 << 2)
d7965152 656#define GEN8_OA_REPORT_FORMAT_SHIFT 2
5ee8ee86
PZ
657#define GEN8_OA_SPECIFIC_CONTEXT_ENABLE (1 << 1)
658#define GEN8_OA_COUNTER_ENABLE (1 << 0)
d7965152
RB
659
660#define GEN8_OACTXCONTROL _MMIO(0x2360)
661#define GEN8_OA_TIMER_PERIOD_MASK 0x3F
662#define GEN8_OA_TIMER_PERIOD_SHIFT 2
5ee8ee86
PZ
663#define GEN8_OA_TIMER_ENABLE (1 << 1)
664#define GEN8_OA_COUNTER_RESUME (1 << 0)
d7965152
RB
665
666#define GEN7_OABUFFER _MMIO(0x23B0) /* R/W */
5ee8ee86
PZ
667#define GEN7_OABUFFER_OVERRUN_DISABLE (1 << 3)
668#define GEN7_OABUFFER_EDGE_TRIGGER (1 << 2)
669#define GEN7_OABUFFER_STOP_RESUME_ENABLE (1 << 1)
670#define GEN7_OABUFFER_RESUME (1 << 0)
d7965152 671
19f81df2 672#define GEN8_OABUFFER_UDW _MMIO(0x23b4)
d7965152 673#define GEN8_OABUFFER _MMIO(0x2b14)
b82ed43d 674#define GEN8_OABUFFER_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
675
676#define GEN7_OASTATUS1 _MMIO(0x2364)
677#define GEN7_OASTATUS1_TAIL_MASK 0xffffffc0
5ee8ee86
PZ
678#define GEN7_OASTATUS1_COUNTER_OVERFLOW (1 << 2)
679#define GEN7_OASTATUS1_OABUFFER_OVERFLOW (1 << 1)
680#define GEN7_OASTATUS1_REPORT_LOST (1 << 0)
d7965152
RB
681
682#define GEN7_OASTATUS2 _MMIO(0x2368)
b82ed43d
LL
683#define GEN7_OASTATUS2_HEAD_MASK 0xffffffc0
684#define GEN7_OASTATUS2_MEM_SELECT_GGTT (1 << 0) /* 0: PPGTT, 1: GGTT */
d7965152
RB
685
686#define GEN8_OASTATUS _MMIO(0x2b08)
5ee8ee86
PZ
687#define GEN8_OASTATUS_OVERRUN_STATUS (1 << 3)
688#define GEN8_OASTATUS_COUNTER_OVERFLOW (1 << 2)
689#define GEN8_OASTATUS_OABUFFER_OVERFLOW (1 << 1)
690#define GEN8_OASTATUS_REPORT_LOST (1 << 0)
d7965152
RB
691
692#define GEN8_OAHEADPTR _MMIO(0x2B0C)
19f81df2 693#define GEN8_OAHEADPTR_MASK 0xffffffc0
d7965152 694#define GEN8_OATAILPTR _MMIO(0x2B10)
19f81df2 695#define GEN8_OATAILPTR_MASK 0xffffffc0
d7965152 696
5ee8ee86
PZ
697#define OABUFFER_SIZE_128K (0 << 3)
698#define OABUFFER_SIZE_256K (1 << 3)
699#define OABUFFER_SIZE_512K (2 << 3)
700#define OABUFFER_SIZE_1M (3 << 3)
701#define OABUFFER_SIZE_2M (4 << 3)
702#define OABUFFER_SIZE_4M (5 << 3)
703#define OABUFFER_SIZE_8M (6 << 3)
704#define OABUFFER_SIZE_16M (7 << 3)
d7965152 705
19f81df2
RB
706/*
707 * Flexible, Aggregate EU Counter Registers.
708 * Note: these aren't contiguous
709 */
d7965152 710#define EU_PERF_CNTL0 _MMIO(0xe458)
19f81df2
RB
711#define EU_PERF_CNTL1 _MMIO(0xe558)
712#define EU_PERF_CNTL2 _MMIO(0xe658)
713#define EU_PERF_CNTL3 _MMIO(0xe758)
714#define EU_PERF_CNTL4 _MMIO(0xe45c)
715#define EU_PERF_CNTL5 _MMIO(0xe55c)
716#define EU_PERF_CNTL6 _MMIO(0xe65c)
d7965152 717
d7965152
RB
718/*
719 * OA Boolean state
720 */
721
d7965152
RB
722#define OASTARTTRIG1 _MMIO(0x2710)
723#define OASTARTTRIG1_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
724#define OASTARTTRIG1_THRESHOLD_MASK 0xffff
725
726#define OASTARTTRIG2 _MMIO(0x2714)
5ee8ee86
PZ
727#define OASTARTTRIG2_INVERT_A_0 (1 << 0)
728#define OASTARTTRIG2_INVERT_A_1 (1 << 1)
729#define OASTARTTRIG2_INVERT_A_2 (1 << 2)
730#define OASTARTTRIG2_INVERT_A_3 (1 << 3)
731#define OASTARTTRIG2_INVERT_A_4 (1 << 4)
732#define OASTARTTRIG2_INVERT_A_5 (1 << 5)
733#define OASTARTTRIG2_INVERT_A_6 (1 << 6)
734#define OASTARTTRIG2_INVERT_A_7 (1 << 7)
735#define OASTARTTRIG2_INVERT_A_8 (1 << 8)
736#define OASTARTTRIG2_INVERT_A_9 (1 << 9)
737#define OASTARTTRIG2_INVERT_A_10 (1 << 10)
738#define OASTARTTRIG2_INVERT_A_11 (1 << 11)
739#define OASTARTTRIG2_INVERT_A_12 (1 << 12)
740#define OASTARTTRIG2_INVERT_A_13 (1 << 13)
741#define OASTARTTRIG2_INVERT_A_14 (1 << 14)
742#define OASTARTTRIG2_INVERT_A_15 (1 << 15)
743#define OASTARTTRIG2_INVERT_B_0 (1 << 16)
744#define OASTARTTRIG2_INVERT_B_1 (1 << 17)
745#define OASTARTTRIG2_INVERT_B_2 (1 << 18)
746#define OASTARTTRIG2_INVERT_B_3 (1 << 19)
747#define OASTARTTRIG2_INVERT_C_0 (1 << 20)
748#define OASTARTTRIG2_INVERT_C_1 (1 << 21)
749#define OASTARTTRIG2_INVERT_D_0 (1 << 22)
750#define OASTARTTRIG2_THRESHOLD_ENABLE (1 << 23)
751#define OASTARTTRIG2_START_TRIG_FLAG_MBZ (1 << 24)
752#define OASTARTTRIG2_EVENT_SELECT_0 (1 << 28)
753#define OASTARTTRIG2_EVENT_SELECT_1 (1 << 29)
754#define OASTARTTRIG2_EVENT_SELECT_2 (1 << 30)
755#define OASTARTTRIG2_EVENT_SELECT_3 (1 << 31)
d7965152
RB
756
757#define OASTARTTRIG3 _MMIO(0x2718)
758#define OASTARTTRIG3_NOA_SELECT_MASK 0xf
759#define OASTARTTRIG3_NOA_SELECT_8_SHIFT 0
760#define OASTARTTRIG3_NOA_SELECT_9_SHIFT 4
761#define OASTARTTRIG3_NOA_SELECT_10_SHIFT 8
762#define OASTARTTRIG3_NOA_SELECT_11_SHIFT 12
763#define OASTARTTRIG3_NOA_SELECT_12_SHIFT 16
764#define OASTARTTRIG3_NOA_SELECT_13_SHIFT 20
765#define OASTARTTRIG3_NOA_SELECT_14_SHIFT 24
766#define OASTARTTRIG3_NOA_SELECT_15_SHIFT 28
767
768#define OASTARTTRIG4 _MMIO(0x271c)
769#define OASTARTTRIG4_NOA_SELECT_MASK 0xf
770#define OASTARTTRIG4_NOA_SELECT_0_SHIFT 0
771#define OASTARTTRIG4_NOA_SELECT_1_SHIFT 4
772#define OASTARTTRIG4_NOA_SELECT_2_SHIFT 8
773#define OASTARTTRIG4_NOA_SELECT_3_SHIFT 12
774#define OASTARTTRIG4_NOA_SELECT_4_SHIFT 16
775#define OASTARTTRIG4_NOA_SELECT_5_SHIFT 20
776#define OASTARTTRIG4_NOA_SELECT_6_SHIFT 24
777#define OASTARTTRIG4_NOA_SELECT_7_SHIFT 28
778
779#define OASTARTTRIG5 _MMIO(0x2720)
780#define OASTARTTRIG5_THRESHOLD_COUNT_MASK_MBZ 0xffff0000
781#define OASTARTTRIG5_THRESHOLD_MASK 0xffff
782
783#define OASTARTTRIG6 _MMIO(0x2724)
5ee8ee86
PZ
784#define OASTARTTRIG6_INVERT_A_0 (1 << 0)
785#define OASTARTTRIG6_INVERT_A_1 (1 << 1)
786#define OASTARTTRIG6_INVERT_A_2 (1 << 2)
787#define OASTARTTRIG6_INVERT_A_3 (1 << 3)
788#define OASTARTTRIG6_INVERT_A_4 (1 << 4)
789#define OASTARTTRIG6_INVERT_A_5 (1 << 5)
790#define OASTARTTRIG6_INVERT_A_6 (1 << 6)
791#define OASTARTTRIG6_INVERT_A_7 (1 << 7)
792#define OASTARTTRIG6_INVERT_A_8 (1 << 8)
793#define OASTARTTRIG6_INVERT_A_9 (1 << 9)
794#define OASTARTTRIG6_INVERT_A_10 (1 << 10)
795#define OASTARTTRIG6_INVERT_A_11 (1 << 11)
796#define OASTARTTRIG6_INVERT_A_12 (1 << 12)
797#define OASTARTTRIG6_INVERT_A_13 (1 << 13)
798#define OASTARTTRIG6_INVERT_A_14 (1 << 14)
799#define OASTARTTRIG6_INVERT_A_15 (1 << 15)
800#define OASTARTTRIG6_INVERT_B_0 (1 << 16)
801#define OASTARTTRIG6_INVERT_B_1 (1 << 17)
802#define OASTARTTRIG6_INVERT_B_2 (1 << 18)
803#define OASTARTTRIG6_INVERT_B_3 (1 << 19)
804#define OASTARTTRIG6_INVERT_C_0 (1 << 20)
805#define OASTARTTRIG6_INVERT_C_1 (1 << 21)
806#define OASTARTTRIG6_INVERT_D_0 (1 << 22)
807#define OASTARTTRIG6_THRESHOLD_ENABLE (1 << 23)
808#define OASTARTTRIG6_START_TRIG_FLAG_MBZ (1 << 24)
809#define OASTARTTRIG6_EVENT_SELECT_4 (1 << 28)
810#define OASTARTTRIG6_EVENT_SELECT_5 (1 << 29)
811#define OASTARTTRIG6_EVENT_SELECT_6 (1 << 30)
812#define OASTARTTRIG6_EVENT_SELECT_7 (1 << 31)
d7965152
RB
813
814#define OASTARTTRIG7 _MMIO(0x2728)
815#define OASTARTTRIG7_NOA_SELECT_MASK 0xf
816#define OASTARTTRIG7_NOA_SELECT_8_SHIFT 0
817#define OASTARTTRIG7_NOA_SELECT_9_SHIFT 4
818#define OASTARTTRIG7_NOA_SELECT_10_SHIFT 8
819#define OASTARTTRIG7_NOA_SELECT_11_SHIFT 12
820#define OASTARTTRIG7_NOA_SELECT_12_SHIFT 16
821#define OASTARTTRIG7_NOA_SELECT_13_SHIFT 20
822#define OASTARTTRIG7_NOA_SELECT_14_SHIFT 24
823#define OASTARTTRIG7_NOA_SELECT_15_SHIFT 28
824
825#define OASTARTTRIG8 _MMIO(0x272c)
826#define OASTARTTRIG8_NOA_SELECT_MASK 0xf
827#define OASTARTTRIG8_NOA_SELECT_0_SHIFT 0
828#define OASTARTTRIG8_NOA_SELECT_1_SHIFT 4
829#define OASTARTTRIG8_NOA_SELECT_2_SHIFT 8
830#define OASTARTTRIG8_NOA_SELECT_3_SHIFT 12
831#define OASTARTTRIG8_NOA_SELECT_4_SHIFT 16
832#define OASTARTTRIG8_NOA_SELECT_5_SHIFT 20
833#define OASTARTTRIG8_NOA_SELECT_6_SHIFT 24
834#define OASTARTTRIG8_NOA_SELECT_7_SHIFT 28
835
7853d92e
LL
836#define OAREPORTTRIG1 _MMIO(0x2740)
837#define OAREPORTTRIG1_THRESHOLD_MASK 0xffff
838#define OAREPORTTRIG1_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
839
840#define OAREPORTTRIG2 _MMIO(0x2744)
5ee8ee86
PZ
841#define OAREPORTTRIG2_INVERT_A_0 (1 << 0)
842#define OAREPORTTRIG2_INVERT_A_1 (1 << 1)
843#define OAREPORTTRIG2_INVERT_A_2 (1 << 2)
844#define OAREPORTTRIG2_INVERT_A_3 (1 << 3)
845#define OAREPORTTRIG2_INVERT_A_4 (1 << 4)
846#define OAREPORTTRIG2_INVERT_A_5 (1 << 5)
847#define OAREPORTTRIG2_INVERT_A_6 (1 << 6)
848#define OAREPORTTRIG2_INVERT_A_7 (1 << 7)
849#define OAREPORTTRIG2_INVERT_A_8 (1 << 8)
850#define OAREPORTTRIG2_INVERT_A_9 (1 << 9)
851#define OAREPORTTRIG2_INVERT_A_10 (1 << 10)
852#define OAREPORTTRIG2_INVERT_A_11 (1 << 11)
853#define OAREPORTTRIG2_INVERT_A_12 (1 << 12)
854#define OAREPORTTRIG2_INVERT_A_13 (1 << 13)
855#define OAREPORTTRIG2_INVERT_A_14 (1 << 14)
856#define OAREPORTTRIG2_INVERT_A_15 (1 << 15)
857#define OAREPORTTRIG2_INVERT_B_0 (1 << 16)
858#define OAREPORTTRIG2_INVERT_B_1 (1 << 17)
859#define OAREPORTTRIG2_INVERT_B_2 (1 << 18)
860#define OAREPORTTRIG2_INVERT_B_3 (1 << 19)
861#define OAREPORTTRIG2_INVERT_C_0 (1 << 20)
862#define OAREPORTTRIG2_INVERT_C_1 (1 << 21)
863#define OAREPORTTRIG2_INVERT_D_0 (1 << 22)
864#define OAREPORTTRIG2_THRESHOLD_ENABLE (1 << 23)
865#define OAREPORTTRIG2_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
866
867#define OAREPORTTRIG3 _MMIO(0x2748)
868#define OAREPORTTRIG3_NOA_SELECT_MASK 0xf
869#define OAREPORTTRIG3_NOA_SELECT_8_SHIFT 0
870#define OAREPORTTRIG3_NOA_SELECT_9_SHIFT 4
871#define OAREPORTTRIG3_NOA_SELECT_10_SHIFT 8
872#define OAREPORTTRIG3_NOA_SELECT_11_SHIFT 12
873#define OAREPORTTRIG3_NOA_SELECT_12_SHIFT 16
874#define OAREPORTTRIG3_NOA_SELECT_13_SHIFT 20
875#define OAREPORTTRIG3_NOA_SELECT_14_SHIFT 24
876#define OAREPORTTRIG3_NOA_SELECT_15_SHIFT 28
877
878#define OAREPORTTRIG4 _MMIO(0x274c)
879#define OAREPORTTRIG4_NOA_SELECT_MASK 0xf
880#define OAREPORTTRIG4_NOA_SELECT_0_SHIFT 0
881#define OAREPORTTRIG4_NOA_SELECT_1_SHIFT 4
882#define OAREPORTTRIG4_NOA_SELECT_2_SHIFT 8
883#define OAREPORTTRIG4_NOA_SELECT_3_SHIFT 12
884#define OAREPORTTRIG4_NOA_SELECT_4_SHIFT 16
885#define OAREPORTTRIG4_NOA_SELECT_5_SHIFT 20
886#define OAREPORTTRIG4_NOA_SELECT_6_SHIFT 24
887#define OAREPORTTRIG4_NOA_SELECT_7_SHIFT 28
888
889#define OAREPORTTRIG5 _MMIO(0x2750)
890#define OAREPORTTRIG5_THRESHOLD_MASK 0xffff
891#define OAREPORTTRIG5_EDGE_LEVEL_TRIGER_SELECT_MASK 0xffff0000 /* 0=level */
892
893#define OAREPORTTRIG6 _MMIO(0x2754)
5ee8ee86
PZ
894#define OAREPORTTRIG6_INVERT_A_0 (1 << 0)
895#define OAREPORTTRIG6_INVERT_A_1 (1 << 1)
896#define OAREPORTTRIG6_INVERT_A_2 (1 << 2)
897#define OAREPORTTRIG6_INVERT_A_3 (1 << 3)
898#define OAREPORTTRIG6_INVERT_A_4 (1 << 4)
899#define OAREPORTTRIG6_INVERT_A_5 (1 << 5)
900#define OAREPORTTRIG6_INVERT_A_6 (1 << 6)
901#define OAREPORTTRIG6_INVERT_A_7 (1 << 7)
902#define OAREPORTTRIG6_INVERT_A_8 (1 << 8)
903#define OAREPORTTRIG6_INVERT_A_9 (1 << 9)
904#define OAREPORTTRIG6_INVERT_A_10 (1 << 10)
905#define OAREPORTTRIG6_INVERT_A_11 (1 << 11)
906#define OAREPORTTRIG6_INVERT_A_12 (1 << 12)
907#define OAREPORTTRIG6_INVERT_A_13 (1 << 13)
908#define OAREPORTTRIG6_INVERT_A_14 (1 << 14)
909#define OAREPORTTRIG6_INVERT_A_15 (1 << 15)
910#define OAREPORTTRIG6_INVERT_B_0 (1 << 16)
911#define OAREPORTTRIG6_INVERT_B_1 (1 << 17)
912#define OAREPORTTRIG6_INVERT_B_2 (1 << 18)
913#define OAREPORTTRIG6_INVERT_B_3 (1 << 19)
914#define OAREPORTTRIG6_INVERT_C_0 (1 << 20)
915#define OAREPORTTRIG6_INVERT_C_1 (1 << 21)
916#define OAREPORTTRIG6_INVERT_D_0 (1 << 22)
917#define OAREPORTTRIG6_THRESHOLD_ENABLE (1 << 23)
918#define OAREPORTTRIG6_REPORT_TRIGGER_ENABLE (1 << 31)
7853d92e
LL
919
920#define OAREPORTTRIG7 _MMIO(0x2758)
921#define OAREPORTTRIG7_NOA_SELECT_MASK 0xf
922#define OAREPORTTRIG7_NOA_SELECT_8_SHIFT 0
923#define OAREPORTTRIG7_NOA_SELECT_9_SHIFT 4
924#define OAREPORTTRIG7_NOA_SELECT_10_SHIFT 8
925#define OAREPORTTRIG7_NOA_SELECT_11_SHIFT 12
926#define OAREPORTTRIG7_NOA_SELECT_12_SHIFT 16
927#define OAREPORTTRIG7_NOA_SELECT_13_SHIFT 20
928#define OAREPORTTRIG7_NOA_SELECT_14_SHIFT 24
929#define OAREPORTTRIG7_NOA_SELECT_15_SHIFT 28
930
931#define OAREPORTTRIG8 _MMIO(0x275c)
932#define OAREPORTTRIG8_NOA_SELECT_MASK 0xf
933#define OAREPORTTRIG8_NOA_SELECT_0_SHIFT 0
934#define OAREPORTTRIG8_NOA_SELECT_1_SHIFT 4
935#define OAREPORTTRIG8_NOA_SELECT_2_SHIFT 8
936#define OAREPORTTRIG8_NOA_SELECT_3_SHIFT 12
937#define OAREPORTTRIG8_NOA_SELECT_4_SHIFT 16
938#define OAREPORTTRIG8_NOA_SELECT_5_SHIFT 20
939#define OAREPORTTRIG8_NOA_SELECT_6_SHIFT 24
940#define OAREPORTTRIG8_NOA_SELECT_7_SHIFT 28
941
d7965152
RB
942/* CECX_0 */
943#define OACEC_COMPARE_LESS_OR_EQUAL 6
944#define OACEC_COMPARE_NOT_EQUAL 5
945#define OACEC_COMPARE_LESS_THAN 4
946#define OACEC_COMPARE_GREATER_OR_EQUAL 3
947#define OACEC_COMPARE_EQUAL 2
948#define OACEC_COMPARE_GREATER_THAN 1
949#define OACEC_COMPARE_ANY_EQUAL 0
950
951#define OACEC_COMPARE_VALUE_MASK 0xffff
952#define OACEC_COMPARE_VALUE_SHIFT 3
953
5ee8ee86
PZ
954#define OACEC_SELECT_NOA (0 << 19)
955#define OACEC_SELECT_PREV (1 << 19)
956#define OACEC_SELECT_BOOLEAN (2 << 19)
d7965152
RB
957
958/* CECX_1 */
959#define OACEC_MASK_MASK 0xffff
960#define OACEC_CONSIDERATIONS_MASK 0xffff
961#define OACEC_CONSIDERATIONS_SHIFT 16
962
963#define OACEC0_0 _MMIO(0x2770)
964#define OACEC0_1 _MMIO(0x2774)
965#define OACEC1_0 _MMIO(0x2778)
966#define OACEC1_1 _MMIO(0x277c)
967#define OACEC2_0 _MMIO(0x2780)
968#define OACEC2_1 _MMIO(0x2784)
969#define OACEC3_0 _MMIO(0x2788)
970#define OACEC3_1 _MMIO(0x278c)
971#define OACEC4_0 _MMIO(0x2790)
972#define OACEC4_1 _MMIO(0x2794)
973#define OACEC5_0 _MMIO(0x2798)
974#define OACEC5_1 _MMIO(0x279c)
975#define OACEC6_0 _MMIO(0x27a0)
976#define OACEC6_1 _MMIO(0x27a4)
977#define OACEC7_0 _MMIO(0x27a8)
978#define OACEC7_1 _MMIO(0x27ac)
979
f89823c2
LL
980/* OA perf counters */
981#define OA_PERFCNT1_LO _MMIO(0x91B8)
982#define OA_PERFCNT1_HI _MMIO(0x91BC)
983#define OA_PERFCNT2_LO _MMIO(0x91C0)
984#define OA_PERFCNT2_HI _MMIO(0x91C4)
95690a02
LL
985#define OA_PERFCNT3_LO _MMIO(0x91C8)
986#define OA_PERFCNT3_HI _MMIO(0x91CC)
987#define OA_PERFCNT4_LO _MMIO(0x91D8)
988#define OA_PERFCNT4_HI _MMIO(0x91DC)
f89823c2
LL
989
990#define OA_PERFMATRIX_LO _MMIO(0x91C8)
991#define OA_PERFMATRIX_HI _MMIO(0x91CC)
992
993/* RPM unit config (Gen8+) */
994#define RPM_CONFIG0 _MMIO(0x0D00)
dab91783
LL
995#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
996#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (1 << GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
997#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 0
998#define GEN9_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 1
d775a7b1
PZ
999#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT 3
1000#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_MASK (0x7 << GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_SHIFT)
1001#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_24_MHZ 0
1002#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_19_2_MHZ 1
1003#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_38_4_MHZ 2
1004#define GEN11_RPM_CONFIG0_CRYSTAL_CLOCK_FREQ_25_MHZ 3
dab91783
LL
1005#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT 1
1006#define GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_MASK (0x3 << GEN10_RPM_CONFIG0_CTC_SHIFT_PARAMETER_SHIFT)
1007
f89823c2 1008#define RPM_CONFIG1 _MMIO(0x0D04)
95690a02 1009#define GEN10_GT_NOA_ENABLE (1 << 9)
f89823c2 1010
dab91783
LL
1011/* GPM unit config (Gen9+) */
1012#define CTC_MODE _MMIO(0xA26C)
1013#define CTC_SOURCE_PARAMETER_MASK 1
1014#define CTC_SOURCE_CRYSTAL_CLOCK 0
1015#define CTC_SOURCE_DIVIDE_LOGIC 1
1016#define CTC_SHIFT_PARAMETER_SHIFT 1
1017#define CTC_SHIFT_PARAMETER_MASK (0x3 << CTC_SHIFT_PARAMETER_SHIFT)
1018
5888576b
LL
1019/* RCP unit config (Gen8+) */
1020#define RCP_CONFIG _MMIO(0x0D08)
f89823c2 1021
a54b19f1
LL
1022/* NOA (HSW) */
1023#define HSW_MBVID2_NOA0 _MMIO(0x9E80)
1024#define HSW_MBVID2_NOA1 _MMIO(0x9E84)
1025#define HSW_MBVID2_NOA2 _MMIO(0x9E88)
1026#define HSW_MBVID2_NOA3 _MMIO(0x9E8C)
1027#define HSW_MBVID2_NOA4 _MMIO(0x9E90)
1028#define HSW_MBVID2_NOA5 _MMIO(0x9E94)
1029#define HSW_MBVID2_NOA6 _MMIO(0x9E98)
1030#define HSW_MBVID2_NOA7 _MMIO(0x9E9C)
1031#define HSW_MBVID2_NOA8 _MMIO(0x9EA0)
1032#define HSW_MBVID2_NOA9 _MMIO(0x9EA4)
1033
1034#define HSW_MBVID2_MISR0 _MMIO(0x9EC0)
1035
f89823c2
LL
1036/* NOA (Gen8+) */
1037#define NOA_CONFIG(i) _MMIO(0x0D0C + (i) * 4)
1038
1039#define MICRO_BP0_0 _MMIO(0x9800)
1040#define MICRO_BP0_2 _MMIO(0x9804)
1041#define MICRO_BP0_1 _MMIO(0x9808)
1042
1043#define MICRO_BP1_0 _MMIO(0x980C)
1044#define MICRO_BP1_2 _MMIO(0x9810)
1045#define MICRO_BP1_1 _MMIO(0x9814)
1046
1047#define MICRO_BP2_0 _MMIO(0x9818)
1048#define MICRO_BP2_2 _MMIO(0x981C)
1049#define MICRO_BP2_1 _MMIO(0x9820)
1050
1051#define MICRO_BP3_0 _MMIO(0x9824)
1052#define MICRO_BP3_2 _MMIO(0x9828)
1053#define MICRO_BP3_1 _MMIO(0x982C)
1054
1055#define MICRO_BP_TRIGGER _MMIO(0x9830)
1056#define MICRO_BP3_COUNT_STATUS01 _MMIO(0x9834)
1057#define MICRO_BP3_COUNT_STATUS23 _MMIO(0x9838)
1058#define MICRO_BP_FIRED_ARMED _MMIO(0x983C)
1059
1060#define GDT_CHICKEN_BITS _MMIO(0x9840)
1061#define GT_NOA_ENABLE 0x00000080
1062
1063#define NOA_DATA _MMIO(0x986C)
1064#define NOA_WRITE _MMIO(0x9888)
c5cc0bf8 1065#define GEN10_NOA_WRITE_HIGH _MMIO(0x9884)
180b813c 1066
220375aa
BV
1067#define _GEN7_PIPEA_DE_LOAD_SL 0x70068
1068#define _GEN7_PIPEB_DE_LOAD_SL 0x71068
f0f59a00 1069#define GEN7_PIPE_DE_LOAD_SL(pipe) _MMIO_PIPE(pipe, _GEN7_PIPEA_DE_LOAD_SL, _GEN7_PIPEB_DE_LOAD_SL)
220375aa 1070
dc96e9b8
CW
1071/*
1072 * Reset registers
1073 */
f0f59a00 1074#define DEBUG_RESET_I830 _MMIO(0x6070)
5ee8ee86
PZ
1075#define DEBUG_RESET_FULL (1 << 7)
1076#define DEBUG_RESET_RENDER (1 << 8)
1077#define DEBUG_RESET_DISPLAY (1 << 9)
dc96e9b8 1078
57f350b6 1079/*
5a09ae9f
JN
1080 * IOSF sideband
1081 */
f0f59a00 1082#define VLV_IOSF_DOORBELL_REQ _MMIO(VLV_DISPLAY_BASE + 0x2100)
5a09ae9f
JN
1083#define IOSF_DEVFN_SHIFT 24
1084#define IOSF_OPCODE_SHIFT 16
1085#define IOSF_PORT_SHIFT 8
1086#define IOSF_BYTE_ENABLES_SHIFT 4
1087#define IOSF_BAR_SHIFT 1
5ee8ee86 1088#define IOSF_SB_BUSY (1 << 0)
4688d45f
JN
1089#define IOSF_PORT_BUNIT 0x03
1090#define IOSF_PORT_PUNIT 0x04
5a09ae9f
JN
1091#define IOSF_PORT_NC 0x11
1092#define IOSF_PORT_DPIO 0x12
e9f882a3
JN
1093#define IOSF_PORT_GPIO_NC 0x13
1094#define IOSF_PORT_CCK 0x14
4688d45f
JN
1095#define IOSF_PORT_DPIO_2 0x1a
1096#define IOSF_PORT_FLISDSI 0x1b
dfb19ed2
D
1097#define IOSF_PORT_GPIO_SC 0x48
1098#define IOSF_PORT_GPIO_SUS 0xa8
4688d45f 1099#define IOSF_PORT_CCU 0xa9
7071af97
JN
1100#define CHV_IOSF_PORT_GPIO_N 0x13
1101#define CHV_IOSF_PORT_GPIO_SE 0x48
1102#define CHV_IOSF_PORT_GPIO_E 0xa8
1103#define CHV_IOSF_PORT_GPIO_SW 0xb2
f0f59a00
VS
1104#define VLV_IOSF_DATA _MMIO(VLV_DISPLAY_BASE + 0x2104)
1105#define VLV_IOSF_ADDR _MMIO(VLV_DISPLAY_BASE + 0x2108)
5a09ae9f 1106
30a970c6
JB
1107/* See configdb bunit SB addr map */
1108#define BUNIT_REG_BISOC 0x11
1109
5e0b6697
VS
1110/* PUNIT_REG_*SSPM0 */
1111#define _SSPM0_SSC(val) ((val) << 0)
1112#define SSPM0_SSC_MASK _SSPM0_SSC(0x3)
1113#define SSPM0_SSC_PWR_ON _SSPM0_SSC(0x0)
1114#define SSPM0_SSC_CLK_GATE _SSPM0_SSC(0x1)
1115#define SSPM0_SSC_RESET _SSPM0_SSC(0x2)
1116#define SSPM0_SSC_PWR_GATE _SSPM0_SSC(0x3)
1117#define _SSPM0_SSS(val) ((val) << 24)
1118#define SSPM0_SSS_MASK _SSPM0_SSS(0x3)
1119#define SSPM0_SSS_PWR_ON _SSPM0_SSS(0x0)
1120#define SSPM0_SSS_CLK_GATE _SSPM0_SSS(0x1)
1121#define SSPM0_SSS_RESET _SSPM0_SSS(0x2)
1122#define SSPM0_SSS_PWR_GATE _SSPM0_SSS(0x3)
1123
1124/* PUNIT_REG_*SSPM1 */
1125#define SSPM1_FREQSTAT_SHIFT 24
1126#define SSPM1_FREQSTAT_MASK (0x1f << SSPM1_FREQSTAT_SHIFT)
1127#define SSPM1_FREQGUAR_SHIFT 8
1128#define SSPM1_FREQGUAR_MASK (0x1f << SSPM1_FREQGUAR_SHIFT)
1129#define SSPM1_FREQ_SHIFT 0
1130#define SSPM1_FREQ_MASK (0x1f << SSPM1_FREQ_SHIFT)
1131
1132#define PUNIT_REG_VEDSSPM0 0x32
1133#define PUNIT_REG_VEDSSPM1 0x33
1134
c11b813f 1135#define PUNIT_REG_DSPSSPM 0x36
383c5a6a
VS
1136#define DSPFREQSTAT_SHIFT_CHV 24
1137#define DSPFREQSTAT_MASK_CHV (0x1f << DSPFREQSTAT_SHIFT_CHV)
1138#define DSPFREQGUAR_SHIFT_CHV 8
1139#define DSPFREQGUAR_MASK_CHV (0x1f << DSPFREQGUAR_SHIFT_CHV)
30a970c6
JB
1140#define DSPFREQSTAT_SHIFT 30
1141#define DSPFREQSTAT_MASK (0x3 << DSPFREQSTAT_SHIFT)
1142#define DSPFREQGUAR_SHIFT 14
1143#define DSPFREQGUAR_MASK (0x3 << DSPFREQGUAR_SHIFT)
cfb41411
VS
1144#define DSP_MAXFIFO_PM5_STATUS (1 << 22) /* chv */
1145#define DSP_AUTO_CDCLK_GATE_DISABLE (1 << 7) /* chv */
1146#define DSP_MAXFIFO_PM5_ENABLE (1 << 6) /* chv */
26972b0a
VS
1147#define _DP_SSC(val, pipe) ((val) << (2 * (pipe)))
1148#define DP_SSC_MASK(pipe) _DP_SSC(0x3, (pipe))
1149#define DP_SSC_PWR_ON(pipe) _DP_SSC(0x0, (pipe))
1150#define DP_SSC_CLK_GATE(pipe) _DP_SSC(0x1, (pipe))
1151#define DP_SSC_RESET(pipe) _DP_SSC(0x2, (pipe))
1152#define DP_SSC_PWR_GATE(pipe) _DP_SSC(0x3, (pipe))
1153#define _DP_SSS(val, pipe) ((val) << (2 * (pipe) + 16))
1154#define DP_SSS_MASK(pipe) _DP_SSS(0x3, (pipe))
1155#define DP_SSS_PWR_ON(pipe) _DP_SSS(0x0, (pipe))
1156#define DP_SSS_CLK_GATE(pipe) _DP_SSS(0x1, (pipe))
1157#define DP_SSS_RESET(pipe) _DP_SSS(0x2, (pipe))
1158#define DP_SSS_PWR_GATE(pipe) _DP_SSS(0x3, (pipe))
a30180a5 1159
5e0b6697
VS
1160#define PUNIT_REG_ISPSSPM0 0x39
1161#define PUNIT_REG_ISPSSPM1 0x3a
1162
c3fdb9d8 1163/*
438b8dc4
ID
1164 * i915_power_well_id:
1165 *
4739a9d2
ID
1166 * IDs used to look up power wells. Power wells accessed directly bypassing
1167 * the power domains framework must be assigned a unique ID. The rest of power
1168 * wells must be assigned DISP_PW_ID_NONE.
438b8dc4
ID
1169 */
1170enum i915_power_well_id {
4739a9d2
ID
1171 DISP_PW_ID_NONE,
1172
2183b499
ID
1173 VLV_DISP_PW_DISP2D,
1174 BXT_DISP_PW_DPIO_CMN_A,
1175 VLV_DISP_PW_DPIO_CMN_BC,
1176 GLK_DISP_PW_DPIO_CMN_C,
1177 CHV_DISP_PW_DPIO_CMN_D,
4739a9d2
ID
1178 HSW_DISP_PW_GLOBAL,
1179 SKL_DISP_PW_MISC_IO,
1180 SKL_DISP_PW_1,
94dd5138
S
1181 SKL_DISP_PW_2,
1182};
1183
02f4c9e0
CML
1184#define PUNIT_REG_PWRGT_CTRL 0x60
1185#define PUNIT_REG_PWRGT_STATUS 0x61
d13dd05a
ID
1186#define PUNIT_PWRGT_MASK(pw_idx) (3 << ((pw_idx) * 2))
1187#define PUNIT_PWRGT_PWR_ON(pw_idx) (0 << ((pw_idx) * 2))
1188#define PUNIT_PWRGT_CLK_GATE(pw_idx) (1 << ((pw_idx) * 2))
1189#define PUNIT_PWRGT_RESET(pw_idx) (2 << ((pw_idx) * 2))
1190#define PUNIT_PWRGT_PWR_GATE(pw_idx) (3 << ((pw_idx) * 2))
1191
1192#define PUNIT_PWGT_IDX_RENDER 0
1193#define PUNIT_PWGT_IDX_MEDIA 1
1194#define PUNIT_PWGT_IDX_DISP2D 3
1195#define PUNIT_PWGT_IDX_DPIO_CMN_BC 5
1196#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_01 6
1197#define PUNIT_PWGT_IDX_DPIO_TX_B_LANES_23 7
1198#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_01 8
1199#define PUNIT_PWGT_IDX_DPIO_TX_C_LANES_23 9
1200#define PUNIT_PWGT_IDX_DPIO_RX0 10
1201#define PUNIT_PWGT_IDX_DPIO_RX1 11
1202#define PUNIT_PWGT_IDX_DPIO_CMN_D 12
02f4c9e0 1203
5a09ae9f
JN
1204#define PUNIT_REG_GPU_LFM 0xd3
1205#define PUNIT_REG_GPU_FREQ_REQ 0xd4
1206#define PUNIT_REG_GPU_FREQ_STS 0xd8
5ee8ee86
PZ
1207#define GPLLENABLE (1 << 4)
1208#define GENFREQSTATUS (1 << 0)
5a09ae9f 1209#define PUNIT_REG_MEDIA_TURBO_FREQ_REQ 0xdc
31685c25 1210#define PUNIT_REG_CZ_TIMESTAMP 0xce
5a09ae9f
JN
1211
1212#define PUNIT_FUSE_BUS2 0xf6 /* bits 47:40 */
1213#define PUNIT_FUSE_BUS1 0xf5 /* bits 55:48 */
1214
095acd5f
D
1215#define FB_GFX_FMAX_AT_VMAX_FUSE 0x136
1216#define FB_GFX_FREQ_FUSE_MASK 0xff
1217#define FB_GFX_FMAX_AT_VMAX_2SS4EU_FUSE_SHIFT 24
1218#define FB_GFX_FMAX_AT_VMAX_2SS6EU_FUSE_SHIFT 16
1219#define FB_GFX_FMAX_AT_VMAX_2SS8EU_FUSE_SHIFT 8
1220
1221#define FB_GFX_FMIN_AT_VMIN_FUSE 0x137
1222#define FB_GFX_FMIN_AT_VMIN_FUSE_SHIFT 8
1223
fc1ac8de
VS
1224#define PUNIT_REG_DDR_SETUP2 0x139
1225#define FORCE_DDR_FREQ_REQ_ACK (1 << 8)
1226#define FORCE_DDR_LOW_FREQ (1 << 1)
1227#define FORCE_DDR_HIGH_FREQ (1 << 0)
1228
2b6b3a09
D
1229#define PUNIT_GPU_STATUS_REG 0xdb
1230#define PUNIT_GPU_STATUS_MAX_FREQ_SHIFT 16
1231#define PUNIT_GPU_STATUS_MAX_FREQ_MASK 0xff
1232#define PUNIT_GPU_STATIS_GFX_MIN_FREQ_SHIFT 8
1233#define PUNIT_GPU_STATUS_GFX_MIN_FREQ_MASK 0xff
1234
1235#define PUNIT_GPU_DUTYCYCLE_REG 0xdf
1236#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_SHIFT 8
1237#define PUNIT_GPU_DUTYCYCLE_RPE_FREQ_MASK 0xff
1238
5a09ae9f
JN
1239#define IOSF_NC_FB_GFX_FREQ_FUSE 0x1c
1240#define FB_GFX_MAX_FREQ_FUSE_SHIFT 3
1241#define FB_GFX_MAX_FREQ_FUSE_MASK 0x000007f8
1242#define FB_GFX_FGUARANTEED_FREQ_FUSE_SHIFT 11
1243#define FB_GFX_FGUARANTEED_FREQ_FUSE_MASK 0x0007f800
1244#define IOSF_NC_FB_GFX_FMAX_FUSE_HI 0x34
1245#define FB_FMAX_VMIN_FREQ_HI_MASK 0x00000007
1246#define IOSF_NC_FB_GFX_FMAX_FUSE_LO 0x30
1247#define FB_FMAX_VMIN_FREQ_LO_SHIFT 27
1248#define FB_FMAX_VMIN_FREQ_LO_MASK 0xf8000000
1249
af7187b7
PZ
1250#define VLV_TURBO_SOC_OVERRIDE 0x04
1251#define VLV_OVERRIDE_EN 1
1252#define VLV_SOC_TDP_EN (1 << 1)
1253#define VLV_BIAS_CPU_125_SOC_875 (6 << 2)
1254#define CHV_BIAS_CPU_50_SOC_50 (3 << 2)
3ef62342 1255
be4fc046 1256/* vlv2 north clock has */
24eb2d59
CML
1257#define CCK_FUSE_REG 0x8
1258#define CCK_FUSE_HPLL_FREQ_MASK 0x3
be4fc046 1259#define CCK_REG_DSI_PLL_FUSE 0x44
1260#define CCK_REG_DSI_PLL_CONTROL 0x48
1261#define DSI_PLL_VCO_EN (1 << 31)
1262#define DSI_PLL_LDO_GATE (1 << 30)
1263#define DSI_PLL_P1_POST_DIV_SHIFT 17
1264#define DSI_PLL_P1_POST_DIV_MASK (0x1ff << 17)
1265#define DSI_PLL_P2_MUX_DSI0_DIV2 (1 << 13)
1266#define DSI_PLL_P3_MUX_DSI1_DIV2 (1 << 12)
1267#define DSI_PLL_MUX_MASK (3 << 9)
1268#define DSI_PLL_MUX_DSI0_DSIPLL (0 << 10)
1269#define DSI_PLL_MUX_DSI0_CCK (1 << 10)
1270#define DSI_PLL_MUX_DSI1_DSIPLL (0 << 9)
1271#define DSI_PLL_MUX_DSI1_CCK (1 << 9)
1272#define DSI_PLL_CLK_GATE_MASK (0xf << 5)
1273#define DSI_PLL_CLK_GATE_DSI0_DSIPLL (1 << 8)
1274#define DSI_PLL_CLK_GATE_DSI1_DSIPLL (1 << 7)
1275#define DSI_PLL_CLK_GATE_DSI0_CCK (1 << 6)
1276#define DSI_PLL_CLK_GATE_DSI1_CCK (1 << 5)
1277#define DSI_PLL_LOCK (1 << 0)
1278#define CCK_REG_DSI_PLL_DIVIDER 0x4c
1279#define DSI_PLL_LFSR (1 << 31)
1280#define DSI_PLL_FRACTION_EN (1 << 30)
1281#define DSI_PLL_FRAC_COUNTER_SHIFT 27
1282#define DSI_PLL_FRAC_COUNTER_MASK (7 << 27)
1283#define DSI_PLL_USYNC_CNT_SHIFT 18
1284#define DSI_PLL_USYNC_CNT_MASK (0x1ff << 18)
1285#define DSI_PLL_N1_DIV_SHIFT 16
1286#define DSI_PLL_N1_DIV_MASK (3 << 16)
1287#define DSI_PLL_M1_DIV_SHIFT 0
1288#define DSI_PLL_M1_DIV_MASK (0x1ff << 0)
bfa7df01 1289#define CCK_CZ_CLOCK_CONTROL 0x62
c30fec65 1290#define CCK_GPLL_CLOCK_CONTROL 0x67
30a970c6 1291#define CCK_DISPLAY_CLOCK_CONTROL 0x6b
35d38d1f 1292#define CCK_DISPLAY_REF_CLOCK_CONTROL 0x6c
87d5d259
VK
1293#define CCK_TRUNK_FORCE_ON (1 << 17)
1294#define CCK_TRUNK_FORCE_OFF (1 << 16)
1295#define CCK_FREQUENCY_STATUS (0x1f << 8)
1296#define CCK_FREQUENCY_STATUS_SHIFT 8
1297#define CCK_FREQUENCY_VALUES (0x1f << 0)
be4fc046 1298
f38861b8 1299/* DPIO registers */
5a09ae9f 1300#define DPIO_DEVFN 0
5a09ae9f 1301
f0f59a00 1302#define DPIO_CTL _MMIO(VLV_DISPLAY_BASE + 0x2110)
5ee8ee86
PZ
1303#define DPIO_MODSEL1 (1 << 3) /* if ref clk b == 27 */
1304#define DPIO_MODSEL0 (1 << 2) /* if ref clk a == 27 */
1305#define DPIO_SFR_BYPASS (1 << 1)
1306#define DPIO_CMNRST (1 << 0)
57f350b6 1307
e4607fcf
CML
1308#define DPIO_PHY(pipe) ((pipe) >> 1)
1309#define DPIO_PHY_IOSF_PORT(phy) (dev_priv->dpio_phy_iosf_port[phy])
1310
598fac6b
DV
1311/*
1312 * Per pipe/PLL DPIO regs
1313 */
ab3c759a 1314#define _VLV_PLL_DW3_CH0 0x800c
57f350b6 1315#define DPIO_POST_DIV_SHIFT (28) /* 3 bits */
598fac6b
DV
1316#define DPIO_POST_DIV_DAC 0
1317#define DPIO_POST_DIV_HDMIDP 1 /* DAC 225-400M rate */
1318#define DPIO_POST_DIV_LVDS1 2
1319#define DPIO_POST_DIV_LVDS2 3
57f350b6
JB
1320#define DPIO_K_SHIFT (24) /* 4 bits */
1321#define DPIO_P1_SHIFT (21) /* 3 bits */
1322#define DPIO_P2_SHIFT (16) /* 5 bits */
1323#define DPIO_N_SHIFT (12) /* 4 bits */
5ee8ee86 1324#define DPIO_ENABLE_CALIBRATION (1 << 11)
57f350b6
JB
1325#define DPIO_M1DIV_SHIFT (8) /* 3 bits */
1326#define DPIO_M2DIV_MASK 0xff
ab3c759a
CML
1327#define _VLV_PLL_DW3_CH1 0x802c
1328#define VLV_PLL_DW3(ch) _PIPE(ch, _VLV_PLL_DW3_CH0, _VLV_PLL_DW3_CH1)
57f350b6 1329
ab3c759a 1330#define _VLV_PLL_DW5_CH0 0x8014
57f350b6
JB
1331#define DPIO_REFSEL_OVERRIDE 27
1332#define DPIO_PLL_MODESEL_SHIFT 24 /* 3 bits */
1333#define DPIO_BIAS_CURRENT_CTL_SHIFT 21 /* 3 bits, always 0x7 */
1334#define DPIO_PLL_REFCLK_SEL_SHIFT 16 /* 2 bits */
b56747aa 1335#define DPIO_PLL_REFCLK_SEL_MASK 3
57f350b6
JB
1336#define DPIO_DRIVER_CTL_SHIFT 12 /* always set to 0x8 */
1337#define DPIO_CLK_BIAS_CTL_SHIFT 8 /* always set to 0x5 */
ab3c759a
CML
1338#define _VLV_PLL_DW5_CH1 0x8034
1339#define VLV_PLL_DW5(ch) _PIPE(ch, _VLV_PLL_DW5_CH0, _VLV_PLL_DW5_CH1)
57f350b6 1340
ab3c759a
CML
1341#define _VLV_PLL_DW7_CH0 0x801c
1342#define _VLV_PLL_DW7_CH1 0x803c
1343#define VLV_PLL_DW7(ch) _PIPE(ch, _VLV_PLL_DW7_CH0, _VLV_PLL_DW7_CH1)
57f350b6 1344
ab3c759a
CML
1345#define _VLV_PLL_DW8_CH0 0x8040
1346#define _VLV_PLL_DW8_CH1 0x8060
1347#define VLV_PLL_DW8(ch) _PIPE(ch, _VLV_PLL_DW8_CH0, _VLV_PLL_DW8_CH1)
598fac6b 1348
ab3c759a
CML
1349#define VLV_PLL_DW9_BCAST 0xc044
1350#define _VLV_PLL_DW9_CH0 0x8044
1351#define _VLV_PLL_DW9_CH1 0x8064
1352#define VLV_PLL_DW9(ch) _PIPE(ch, _VLV_PLL_DW9_CH0, _VLV_PLL_DW9_CH1)
598fac6b 1353
ab3c759a
CML
1354#define _VLV_PLL_DW10_CH0 0x8048
1355#define _VLV_PLL_DW10_CH1 0x8068
1356#define VLV_PLL_DW10(ch) _PIPE(ch, _VLV_PLL_DW10_CH0, _VLV_PLL_DW10_CH1)
598fac6b 1357
ab3c759a
CML
1358#define _VLV_PLL_DW11_CH0 0x804c
1359#define _VLV_PLL_DW11_CH1 0x806c
1360#define VLV_PLL_DW11(ch) _PIPE(ch, _VLV_PLL_DW11_CH0, _VLV_PLL_DW11_CH1)
57f350b6 1361
ab3c759a
CML
1362/* Spec for ref block start counts at DW10 */
1363#define VLV_REF_DW13 0x80ac
598fac6b 1364
ab3c759a 1365#define VLV_CMN_DW0 0x8100
dc96e9b8 1366
598fac6b
DV
1367/*
1368 * Per DDI channel DPIO regs
1369 */
1370
ab3c759a
CML
1371#define _VLV_PCS_DW0_CH0 0x8200
1372#define _VLV_PCS_DW0_CH1 0x8400
5ee8ee86
PZ
1373#define DPIO_PCS_TX_LANE2_RESET (1 << 16)
1374#define DPIO_PCS_TX_LANE1_RESET (1 << 7)
1375#define DPIO_LEFT_TXFIFO_RST_MASTER2 (1 << 4)
1376#define DPIO_RIGHT_TXFIFO_RST_MASTER2 (1 << 3)
ab3c759a 1377#define VLV_PCS_DW0(ch) _PORT(ch, _VLV_PCS_DW0_CH0, _VLV_PCS_DW0_CH1)
598fac6b 1378
97fd4d5c
VS
1379#define _VLV_PCS01_DW0_CH0 0x200
1380#define _VLV_PCS23_DW0_CH0 0x400
1381#define _VLV_PCS01_DW0_CH1 0x2600
1382#define _VLV_PCS23_DW0_CH1 0x2800
1383#define VLV_PCS01_DW0(ch) _PORT(ch, _VLV_PCS01_DW0_CH0, _VLV_PCS01_DW0_CH1)
1384#define VLV_PCS23_DW0(ch) _PORT(ch, _VLV_PCS23_DW0_CH0, _VLV_PCS23_DW0_CH1)
1385
ab3c759a
CML
1386#define _VLV_PCS_DW1_CH0 0x8204
1387#define _VLV_PCS_DW1_CH1 0x8404
5ee8ee86
PZ
1388#define CHV_PCS_REQ_SOFTRESET_EN (1 << 23)
1389#define DPIO_PCS_CLK_CRI_RXEB_EIOS_EN (1 << 22)
1390#define DPIO_PCS_CLK_CRI_RXDIGFILTSG_EN (1 << 21)
598fac6b 1391#define DPIO_PCS_CLK_DATAWIDTH_SHIFT (6)
5ee8ee86 1392#define DPIO_PCS_CLK_SOFT_RESET (1 << 5)
ab3c759a
CML
1393#define VLV_PCS_DW1(ch) _PORT(ch, _VLV_PCS_DW1_CH0, _VLV_PCS_DW1_CH1)
1394
97fd4d5c
VS
1395#define _VLV_PCS01_DW1_CH0 0x204
1396#define _VLV_PCS23_DW1_CH0 0x404
1397#define _VLV_PCS01_DW1_CH1 0x2604
1398#define _VLV_PCS23_DW1_CH1 0x2804
1399#define VLV_PCS01_DW1(ch) _PORT(ch, _VLV_PCS01_DW1_CH0, _VLV_PCS01_DW1_CH1)
1400#define VLV_PCS23_DW1(ch) _PORT(ch, _VLV_PCS23_DW1_CH0, _VLV_PCS23_DW1_CH1)
1401
ab3c759a
CML
1402#define _VLV_PCS_DW8_CH0 0x8220
1403#define _VLV_PCS_DW8_CH1 0x8420
9197c88b
VS
1404#define CHV_PCS_USEDCLKCHANNEL_OVRRIDE (1 << 20)
1405#define CHV_PCS_USEDCLKCHANNEL (1 << 21)
ab3c759a
CML
1406#define VLV_PCS_DW8(ch) _PORT(ch, _VLV_PCS_DW8_CH0, _VLV_PCS_DW8_CH1)
1407
1408#define _VLV_PCS01_DW8_CH0 0x0220
1409#define _VLV_PCS23_DW8_CH0 0x0420
1410#define _VLV_PCS01_DW8_CH1 0x2620
1411#define _VLV_PCS23_DW8_CH1 0x2820
1412#define VLV_PCS01_DW8(port) _PORT(port, _VLV_PCS01_DW8_CH0, _VLV_PCS01_DW8_CH1)
1413#define VLV_PCS23_DW8(port) _PORT(port, _VLV_PCS23_DW8_CH0, _VLV_PCS23_DW8_CH1)
1414
1415#define _VLV_PCS_DW9_CH0 0x8224
1416#define _VLV_PCS_DW9_CH1 0x8424
5ee8ee86
PZ
1417#define DPIO_PCS_TX2MARGIN_MASK (0x7 << 13)
1418#define DPIO_PCS_TX2MARGIN_000 (0 << 13)
1419#define DPIO_PCS_TX2MARGIN_101 (1 << 13)
1420#define DPIO_PCS_TX1MARGIN_MASK (0x7 << 10)
1421#define DPIO_PCS_TX1MARGIN_000 (0 << 10)
1422#define DPIO_PCS_TX1MARGIN_101 (1 << 10)
ab3c759a
CML
1423#define VLV_PCS_DW9(ch) _PORT(ch, _VLV_PCS_DW9_CH0, _VLV_PCS_DW9_CH1)
1424
a02ef3c7
VS
1425#define _VLV_PCS01_DW9_CH0 0x224
1426#define _VLV_PCS23_DW9_CH0 0x424
1427#define _VLV_PCS01_DW9_CH1 0x2624
1428#define _VLV_PCS23_DW9_CH1 0x2824
1429#define VLV_PCS01_DW9(ch) _PORT(ch, _VLV_PCS01_DW9_CH0, _VLV_PCS01_DW9_CH1)
1430#define VLV_PCS23_DW9(ch) _PORT(ch, _VLV_PCS23_DW9_CH0, _VLV_PCS23_DW9_CH1)
1431
9d556c99
CML
1432#define _CHV_PCS_DW10_CH0 0x8228
1433#define _CHV_PCS_DW10_CH1 0x8428
5ee8ee86
PZ
1434#define DPIO_PCS_SWING_CALC_TX0_TX2 (1 << 30)
1435#define DPIO_PCS_SWING_CALC_TX1_TX3 (1 << 31)
1436#define DPIO_PCS_TX2DEEMP_MASK (0xf << 24)
1437#define DPIO_PCS_TX2DEEMP_9P5 (0 << 24)
1438#define DPIO_PCS_TX2DEEMP_6P0 (2 << 24)
1439#define DPIO_PCS_TX1DEEMP_MASK (0xf << 16)
1440#define DPIO_PCS_TX1DEEMP_9P5 (0 << 16)
1441#define DPIO_PCS_TX1DEEMP_6P0 (2 << 16)
9d556c99
CML
1442#define CHV_PCS_DW10(ch) _PORT(ch, _CHV_PCS_DW10_CH0, _CHV_PCS_DW10_CH1)
1443
1966e59e
VS
1444#define _VLV_PCS01_DW10_CH0 0x0228
1445#define _VLV_PCS23_DW10_CH0 0x0428
1446#define _VLV_PCS01_DW10_CH1 0x2628
1447#define _VLV_PCS23_DW10_CH1 0x2828
1448#define VLV_PCS01_DW10(port) _PORT(port, _VLV_PCS01_DW10_CH0, _VLV_PCS01_DW10_CH1)
1449#define VLV_PCS23_DW10(port) _PORT(port, _VLV_PCS23_DW10_CH0, _VLV_PCS23_DW10_CH1)
1450
ab3c759a
CML
1451#define _VLV_PCS_DW11_CH0 0x822c
1452#define _VLV_PCS_DW11_CH1 0x842c
5ee8ee86
PZ
1453#define DPIO_TX2_STAGGER_MASK(x) ((x) << 24)
1454#define DPIO_LANEDESKEW_STRAP_OVRD (1 << 3)
1455#define DPIO_LEFT_TXFIFO_RST_MASTER (1 << 1)
1456#define DPIO_RIGHT_TXFIFO_RST_MASTER (1 << 0)
ab3c759a
CML
1457#define VLV_PCS_DW11(ch) _PORT(ch, _VLV_PCS_DW11_CH0, _VLV_PCS_DW11_CH1)
1458
570e2a74
VS
1459#define _VLV_PCS01_DW11_CH0 0x022c
1460#define _VLV_PCS23_DW11_CH0 0x042c
1461#define _VLV_PCS01_DW11_CH1 0x262c
1462#define _VLV_PCS23_DW11_CH1 0x282c
142d2eca
VS
1463#define VLV_PCS01_DW11(ch) _PORT(ch, _VLV_PCS01_DW11_CH0, _VLV_PCS01_DW11_CH1)
1464#define VLV_PCS23_DW11(ch) _PORT(ch, _VLV_PCS23_DW11_CH0, _VLV_PCS23_DW11_CH1)
570e2a74 1465
2e523e98
VS
1466#define _VLV_PCS01_DW12_CH0 0x0230
1467#define _VLV_PCS23_DW12_CH0 0x0430
1468#define _VLV_PCS01_DW12_CH1 0x2630
1469#define _VLV_PCS23_DW12_CH1 0x2830
1470#define VLV_PCS01_DW12(ch) _PORT(ch, _VLV_PCS01_DW12_CH0, _VLV_PCS01_DW12_CH1)
1471#define VLV_PCS23_DW12(ch) _PORT(ch, _VLV_PCS23_DW12_CH0, _VLV_PCS23_DW12_CH1)
1472
ab3c759a
CML
1473#define _VLV_PCS_DW12_CH0 0x8230
1474#define _VLV_PCS_DW12_CH1 0x8430
5ee8ee86
PZ
1475#define DPIO_TX2_STAGGER_MULT(x) ((x) << 20)
1476#define DPIO_TX1_STAGGER_MULT(x) ((x) << 16)
1477#define DPIO_TX1_STAGGER_MASK(x) ((x) << 8)
1478#define DPIO_LANESTAGGER_STRAP_OVRD (1 << 6)
1479#define DPIO_LANESTAGGER_STRAP(x) ((x) << 0)
ab3c759a
CML
1480#define VLV_PCS_DW12(ch) _PORT(ch, _VLV_PCS_DW12_CH0, _VLV_PCS_DW12_CH1)
1481
1482#define _VLV_PCS_DW14_CH0 0x8238
1483#define _VLV_PCS_DW14_CH1 0x8438
1484#define VLV_PCS_DW14(ch) _PORT(ch, _VLV_PCS_DW14_CH0, _VLV_PCS_DW14_CH1)
1485
1486#define _VLV_PCS_DW23_CH0 0x825c
1487#define _VLV_PCS_DW23_CH1 0x845c
1488#define VLV_PCS_DW23(ch) _PORT(ch, _VLV_PCS_DW23_CH0, _VLV_PCS_DW23_CH1)
1489
1490#define _VLV_TX_DW2_CH0 0x8288
1491#define _VLV_TX_DW2_CH1 0x8488
1fb44505
VS
1492#define DPIO_SWING_MARGIN000_SHIFT 16
1493#define DPIO_SWING_MARGIN000_MASK (0xff << DPIO_SWING_MARGIN000_SHIFT)
9d556c99 1494#define DPIO_UNIQ_TRANS_SCALE_SHIFT 8
ab3c759a
CML
1495#define VLV_TX_DW2(ch) _PORT(ch, _VLV_TX_DW2_CH0, _VLV_TX_DW2_CH1)
1496
1497#define _VLV_TX_DW3_CH0 0x828c
1498#define _VLV_TX_DW3_CH1 0x848c
9d556c99 1499/* The following bit for CHV phy */
5ee8ee86 1500#define DPIO_TX_UNIQ_TRANS_SCALE_EN (1 << 27)
1fb44505
VS
1501#define DPIO_SWING_MARGIN101_SHIFT 16
1502#define DPIO_SWING_MARGIN101_MASK (0xff << DPIO_SWING_MARGIN101_SHIFT)
ab3c759a
CML
1503#define VLV_TX_DW3(ch) _PORT(ch, _VLV_TX_DW3_CH0, _VLV_TX_DW3_CH1)
1504
1505#define _VLV_TX_DW4_CH0 0x8290
1506#define _VLV_TX_DW4_CH1 0x8490
9d556c99
CML
1507#define DPIO_SWING_DEEMPH9P5_SHIFT 24
1508#define DPIO_SWING_DEEMPH9P5_MASK (0xff << DPIO_SWING_DEEMPH9P5_SHIFT)
1fb44505
VS
1509#define DPIO_SWING_DEEMPH6P0_SHIFT 16
1510#define DPIO_SWING_DEEMPH6P0_MASK (0xff << DPIO_SWING_DEEMPH6P0_SHIFT)
ab3c759a
CML
1511#define VLV_TX_DW4(ch) _PORT(ch, _VLV_TX_DW4_CH0, _VLV_TX_DW4_CH1)
1512
1513#define _VLV_TX3_DW4_CH0 0x690
1514#define _VLV_TX3_DW4_CH1 0x2a90
1515#define VLV_TX3_DW4(ch) _PORT(ch, _VLV_TX3_DW4_CH0, _VLV_TX3_DW4_CH1)
1516
1517#define _VLV_TX_DW5_CH0 0x8294
1518#define _VLV_TX_DW5_CH1 0x8494
5ee8ee86 1519#define DPIO_TX_OCALINIT_EN (1 << 31)
ab3c759a
CML
1520#define VLV_TX_DW5(ch) _PORT(ch, _VLV_TX_DW5_CH0, _VLV_TX_DW5_CH1)
1521
1522#define _VLV_TX_DW11_CH0 0x82ac
1523#define _VLV_TX_DW11_CH1 0x84ac
1524#define VLV_TX_DW11(ch) _PORT(ch, _VLV_TX_DW11_CH0, _VLV_TX_DW11_CH1)
1525
1526#define _VLV_TX_DW14_CH0 0x82b8
1527#define _VLV_TX_DW14_CH1 0x84b8
1528#define VLV_TX_DW14(ch) _PORT(ch, _VLV_TX_DW14_CH0, _VLV_TX_DW14_CH1)
b56747aa 1529
9d556c99
CML
1530/* CHV dpPhy registers */
1531#define _CHV_PLL_DW0_CH0 0x8000
1532#define _CHV_PLL_DW0_CH1 0x8180
1533#define CHV_PLL_DW0(ch) _PIPE(ch, _CHV_PLL_DW0_CH0, _CHV_PLL_DW0_CH1)
1534
1535#define _CHV_PLL_DW1_CH0 0x8004
1536#define _CHV_PLL_DW1_CH1 0x8184
1537#define DPIO_CHV_N_DIV_SHIFT 8
1538#define DPIO_CHV_M1_DIV_BY_2 (0 << 0)
1539#define CHV_PLL_DW1(ch) _PIPE(ch, _CHV_PLL_DW1_CH0, _CHV_PLL_DW1_CH1)
1540
1541#define _CHV_PLL_DW2_CH0 0x8008
1542#define _CHV_PLL_DW2_CH1 0x8188
1543#define CHV_PLL_DW2(ch) _PIPE(ch, _CHV_PLL_DW2_CH0, _CHV_PLL_DW2_CH1)
1544
1545#define _CHV_PLL_DW3_CH0 0x800c
1546#define _CHV_PLL_DW3_CH1 0x818c
1547#define DPIO_CHV_FRAC_DIV_EN (1 << 16)
1548#define DPIO_CHV_FIRST_MOD (0 << 8)
1549#define DPIO_CHV_SECOND_MOD (1 << 8)
1550#define DPIO_CHV_FEEDFWD_GAIN_SHIFT 0
a945ce7e 1551#define DPIO_CHV_FEEDFWD_GAIN_MASK (0xF << 0)
9d556c99
CML
1552#define CHV_PLL_DW3(ch) _PIPE(ch, _CHV_PLL_DW3_CH0, _CHV_PLL_DW3_CH1)
1553
1554#define _CHV_PLL_DW6_CH0 0x8018
1555#define _CHV_PLL_DW6_CH1 0x8198
1556#define DPIO_CHV_GAIN_CTRL_SHIFT 16
1557#define DPIO_CHV_INT_COEFF_SHIFT 8
1558#define DPIO_CHV_PROP_COEFF_SHIFT 0
1559#define CHV_PLL_DW6(ch) _PIPE(ch, _CHV_PLL_DW6_CH0, _CHV_PLL_DW6_CH1)
1560
d3eee4ba
VP
1561#define _CHV_PLL_DW8_CH0 0x8020
1562#define _CHV_PLL_DW8_CH1 0x81A0
9cbe40c1
VP
1563#define DPIO_CHV_TDC_TARGET_CNT_SHIFT 0
1564#define DPIO_CHV_TDC_TARGET_CNT_MASK (0x3FF << 0)
d3eee4ba
VP
1565#define CHV_PLL_DW8(ch) _PIPE(ch, _CHV_PLL_DW8_CH0, _CHV_PLL_DW8_CH1)
1566
1567#define _CHV_PLL_DW9_CH0 0x8024
1568#define _CHV_PLL_DW9_CH1 0x81A4
1569#define DPIO_CHV_INT_LOCK_THRESHOLD_SHIFT 1 /* 3 bits */
de3a0fde 1570#define DPIO_CHV_INT_LOCK_THRESHOLD_MASK (7 << 1)
d3eee4ba
VP
1571#define DPIO_CHV_INT_LOCK_THRESHOLD_SEL_COARSE 1 /* 1: coarse & 0 : fine */
1572#define CHV_PLL_DW9(ch) _PIPE(ch, _CHV_PLL_DW9_CH0, _CHV_PLL_DW9_CH1)
1573
6669e39f
VS
1574#define _CHV_CMN_DW0_CH0 0x8100
1575#define DPIO_ALLDL_POWERDOWN_SHIFT_CH0 19
1576#define DPIO_ANYDL_POWERDOWN_SHIFT_CH0 18
1577#define DPIO_ALLDL_POWERDOWN (1 << 1)
1578#define DPIO_ANYDL_POWERDOWN (1 << 0)
1579
b9e5ac3c
VS
1580#define _CHV_CMN_DW5_CH0 0x8114
1581#define CHV_BUFRIGHTENA1_DISABLE (0 << 20)
1582#define CHV_BUFRIGHTENA1_NORMAL (1 << 20)
1583#define CHV_BUFRIGHTENA1_FORCE (3 << 20)
1584#define CHV_BUFRIGHTENA1_MASK (3 << 20)
1585#define CHV_BUFLEFTENA1_DISABLE (0 << 22)
1586#define CHV_BUFLEFTENA1_NORMAL (1 << 22)
1587#define CHV_BUFLEFTENA1_FORCE (3 << 22)
1588#define CHV_BUFLEFTENA1_MASK (3 << 22)
1589
9d556c99
CML
1590#define _CHV_CMN_DW13_CH0 0x8134
1591#define _CHV_CMN_DW0_CH1 0x8080
1592#define DPIO_CHV_S1_DIV_SHIFT 21
1593#define DPIO_CHV_P1_DIV_SHIFT 13 /* 3 bits */
1594#define DPIO_CHV_P2_DIV_SHIFT 8 /* 5 bits */
1595#define DPIO_CHV_K_DIV_SHIFT 4
1596#define DPIO_PLL_FREQLOCK (1 << 1)
1597#define DPIO_PLL_LOCK (1 << 0)
1598#define CHV_CMN_DW13(ch) _PIPE(ch, _CHV_CMN_DW13_CH0, _CHV_CMN_DW0_CH1)
1599
1600#define _CHV_CMN_DW14_CH0 0x8138
1601#define _CHV_CMN_DW1_CH1 0x8084
1602#define DPIO_AFC_RECAL (1 << 14)
1603#define DPIO_DCLKP_EN (1 << 13)
b9e5ac3c
VS
1604#define CHV_BUFLEFTENA2_DISABLE (0 << 17) /* CL2 DW1 only */
1605#define CHV_BUFLEFTENA2_NORMAL (1 << 17) /* CL2 DW1 only */
1606#define CHV_BUFLEFTENA2_FORCE (3 << 17) /* CL2 DW1 only */
1607#define CHV_BUFLEFTENA2_MASK (3 << 17) /* CL2 DW1 only */
1608#define CHV_BUFRIGHTENA2_DISABLE (0 << 19) /* CL2 DW1 only */
1609#define CHV_BUFRIGHTENA2_NORMAL (1 << 19) /* CL2 DW1 only */
1610#define CHV_BUFRIGHTENA2_FORCE (3 << 19) /* CL2 DW1 only */
1611#define CHV_BUFRIGHTENA2_MASK (3 << 19) /* CL2 DW1 only */
9d556c99
CML
1612#define CHV_CMN_DW14(ch) _PIPE(ch, _CHV_CMN_DW14_CH0, _CHV_CMN_DW1_CH1)
1613
9197c88b
VS
1614#define _CHV_CMN_DW19_CH0 0x814c
1615#define _CHV_CMN_DW6_CH1 0x8098
6669e39f
VS
1616#define DPIO_ALLDL_POWERDOWN_SHIFT_CH1 30 /* CL2 DW6 only */
1617#define DPIO_ANYDL_POWERDOWN_SHIFT_CH1 29 /* CL2 DW6 only */
e0fce78f 1618#define DPIO_DYNPWRDOWNEN_CH1 (1 << 28) /* CL2 DW6 only */
9197c88b 1619#define CHV_CMN_USEDCLKCHANNEL (1 << 13)
e0fce78f 1620
9197c88b
VS
1621#define CHV_CMN_DW19(ch) _PIPE(ch, _CHV_CMN_DW19_CH0, _CHV_CMN_DW6_CH1)
1622
e0fce78f
VS
1623#define CHV_CMN_DW28 0x8170
1624#define DPIO_CL1POWERDOWNEN (1 << 23)
1625#define DPIO_DYNPWRDOWNEN_CH0 (1 << 22)
ee279218
VS
1626#define DPIO_SUS_CLK_CONFIG_ON (0 << 0)
1627#define DPIO_SUS_CLK_CONFIG_CLKREQ (1 << 0)
1628#define DPIO_SUS_CLK_CONFIG_GATE (2 << 0)
1629#define DPIO_SUS_CLK_CONFIG_GATE_CLKREQ (3 << 0)
e0fce78f 1630
9d556c99 1631#define CHV_CMN_DW30 0x8178
3e288786 1632#define DPIO_CL2_LDOFUSE_PWRENB (1 << 6)
9d556c99
CML
1633#define DPIO_LRC_BYPASS (1 << 3)
1634
1635#define _TXLANE(ch, lane, offset) ((ch ? 0x2400 : 0) + \
1636 (lane) * 0x200 + (offset))
1637
f72df8db
VS
1638#define CHV_TX_DW0(ch, lane) _TXLANE(ch, lane, 0x80)
1639#define CHV_TX_DW1(ch, lane) _TXLANE(ch, lane, 0x84)
1640#define CHV_TX_DW2(ch, lane) _TXLANE(ch, lane, 0x88)
1641#define CHV_TX_DW3(ch, lane) _TXLANE(ch, lane, 0x8c)
1642#define CHV_TX_DW4(ch, lane) _TXLANE(ch, lane, 0x90)
1643#define CHV_TX_DW5(ch, lane) _TXLANE(ch, lane, 0x94)
1644#define CHV_TX_DW6(ch, lane) _TXLANE(ch, lane, 0x98)
1645#define CHV_TX_DW7(ch, lane) _TXLANE(ch, lane, 0x9c)
1646#define CHV_TX_DW8(ch, lane) _TXLANE(ch, lane, 0xa0)
1647#define CHV_TX_DW9(ch, lane) _TXLANE(ch, lane, 0xa4)
1648#define CHV_TX_DW10(ch, lane) _TXLANE(ch, lane, 0xa8)
9d556c99
CML
1649#define CHV_TX_DW11(ch, lane) _TXLANE(ch, lane, 0xac)
1650#define DPIO_FRC_LATENCY_SHFIT 8
1651#define CHV_TX_DW14(ch, lane) _TXLANE(ch, lane, 0xb8)
1652#define DPIO_UPAR_SHIFT 30
5c6706e5
VK
1653
1654/* BXT PHY registers */
ed37892e
ACO
1655#define _BXT_PHY0_BASE 0x6C000
1656#define _BXT_PHY1_BASE 0x162000
0a116ce8
ACO
1657#define _BXT_PHY2_BASE 0x163000
1658#define BXT_PHY_BASE(phy) _PHY3((phy), _BXT_PHY0_BASE, \
1659 _BXT_PHY1_BASE, \
1660 _BXT_PHY2_BASE)
ed37892e
ACO
1661
1662#define _BXT_PHY(phy, reg) \
1663 _MMIO(BXT_PHY_BASE(phy) - _BXT_PHY0_BASE + (reg))
1664
1665#define _BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1666 (BXT_PHY_BASE(phy) + _PIPE((ch), (reg_ch0) - _BXT_PHY0_BASE, \
1667 (reg_ch1) - _BXT_PHY0_BASE))
1668#define _MMIO_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1) \
1669 _MMIO(_BXT_PHY_CH(phy, ch, reg_ch0, reg_ch1))
5c6706e5 1670
f0f59a00 1671#define BXT_P_CR_GT_DISP_PWRON _MMIO(0x138090)
1881a423 1672#define MIPIO_RST_CTRL (1 << 2)
5c6706e5 1673
e93da0a0
ID
1674#define _BXT_PHY_CTL_DDI_A 0x64C00
1675#define _BXT_PHY_CTL_DDI_B 0x64C10
1676#define _BXT_PHY_CTL_DDI_C 0x64C20
1677#define BXT_PHY_CMNLANE_POWERDOWN_ACK (1 << 10)
1678#define BXT_PHY_LANE_POWERDOWN_ACK (1 << 9)
1679#define BXT_PHY_LANE_ENABLED (1 << 8)
1680#define BXT_PHY_CTL(port) _MMIO_PORT(port, _BXT_PHY_CTL_DDI_A, \
1681 _BXT_PHY_CTL_DDI_B)
1682
5c6706e5
VK
1683#define _PHY_CTL_FAMILY_EDP 0x64C80
1684#define _PHY_CTL_FAMILY_DDI 0x64C90
0a116ce8 1685#define _PHY_CTL_FAMILY_DDI_C 0x64CA0
5c6706e5 1686#define COMMON_RESET_DIS (1 << 31)
0a116ce8
ACO
1687#define BXT_PHY_CTL_FAMILY(phy) _MMIO_PHY3((phy), _PHY_CTL_FAMILY_DDI, \
1688 _PHY_CTL_FAMILY_EDP, \
1689 _PHY_CTL_FAMILY_DDI_C)
5c6706e5 1690
dfb82408
S
1691/* BXT PHY PLL registers */
1692#define _PORT_PLL_A 0x46074
1693#define _PORT_PLL_B 0x46078
1694#define _PORT_PLL_C 0x4607c
1695#define PORT_PLL_ENABLE (1 << 31)
1696#define PORT_PLL_LOCK (1 << 30)
1697#define PORT_PLL_REF_SEL (1 << 27)
f7044dd9
MC
1698#define PORT_PLL_POWER_ENABLE (1 << 26)
1699#define PORT_PLL_POWER_STATE (1 << 25)
f0f59a00 1700#define BXT_PORT_PLL_ENABLE(port) _MMIO_PORT(port, _PORT_PLL_A, _PORT_PLL_B)
dfb82408
S
1701
1702#define _PORT_PLL_EBB_0_A 0x162034
1703#define _PORT_PLL_EBB_0_B 0x6C034
1704#define _PORT_PLL_EBB_0_C 0x6C340
aa610dcb
ID
1705#define PORT_PLL_P1_SHIFT 13
1706#define PORT_PLL_P1_MASK (0x07 << PORT_PLL_P1_SHIFT)
1707#define PORT_PLL_P1(x) ((x) << PORT_PLL_P1_SHIFT)
1708#define PORT_PLL_P2_SHIFT 8
1709#define PORT_PLL_P2_MASK (0x1f << PORT_PLL_P2_SHIFT)
1710#define PORT_PLL_P2(x) ((x) << PORT_PLL_P2_SHIFT)
ed37892e
ACO
1711#define BXT_PORT_PLL_EBB_0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1712 _PORT_PLL_EBB_0_B, \
1713 _PORT_PLL_EBB_0_C)
dfb82408
S
1714
1715#define _PORT_PLL_EBB_4_A 0x162038
1716#define _PORT_PLL_EBB_4_B 0x6C038
1717#define _PORT_PLL_EBB_4_C 0x6C344
1718#define PORT_PLL_10BIT_CLK_ENABLE (1 << 13)
1719#define PORT_PLL_RECALIBRATE (1 << 14)
ed37892e
ACO
1720#define BXT_PORT_PLL_EBB_4(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
1721 _PORT_PLL_EBB_4_B, \
1722 _PORT_PLL_EBB_4_C)
dfb82408
S
1723
1724#define _PORT_PLL_0_A 0x162100
1725#define _PORT_PLL_0_B 0x6C100
1726#define _PORT_PLL_0_C 0x6C380
1727/* PORT_PLL_0_A */
1728#define PORT_PLL_M2_MASK 0xFF
1729/* PORT_PLL_1_A */
aa610dcb
ID
1730#define PORT_PLL_N_SHIFT 8
1731#define PORT_PLL_N_MASK (0x0F << PORT_PLL_N_SHIFT)
1732#define PORT_PLL_N(x) ((x) << PORT_PLL_N_SHIFT)
dfb82408
S
1733/* PORT_PLL_2_A */
1734#define PORT_PLL_M2_FRAC_MASK 0x3FFFFF
1735/* PORT_PLL_3_A */
1736#define PORT_PLL_M2_FRAC_ENABLE (1 << 16)
1737/* PORT_PLL_6_A */
1738#define PORT_PLL_PROP_COEFF_MASK 0xF
1739#define PORT_PLL_INT_COEFF_MASK (0x1F << 8)
1740#define PORT_PLL_INT_COEFF(x) ((x) << 8)
1741#define PORT_PLL_GAIN_CTL_MASK (0x07 << 16)
1742#define PORT_PLL_GAIN_CTL(x) ((x) << 16)
1743/* PORT_PLL_8_A */
1744#define PORT_PLL_TARGET_CNT_MASK 0x3FF
b6dc71f3 1745/* PORT_PLL_9_A */
05712c15
ID
1746#define PORT_PLL_LOCK_THRESHOLD_SHIFT 1
1747#define PORT_PLL_LOCK_THRESHOLD_MASK (0x7 << PORT_PLL_LOCK_THRESHOLD_SHIFT)
b6dc71f3 1748/* PORT_PLL_10_A */
5ee8ee86 1749#define PORT_PLL_DCO_AMP_OVR_EN_H (1 << 27)
e6292556 1750#define PORT_PLL_DCO_AMP_DEFAULT 15
b6dc71f3 1751#define PORT_PLL_DCO_AMP_MASK 0x3c00
5ee8ee86 1752#define PORT_PLL_DCO_AMP(x) ((x) << 10)
ed37892e
ACO
1753#define _PORT_PLL_BASE(phy, ch) _BXT_PHY_CH(phy, ch, \
1754 _PORT_PLL_0_B, \
1755 _PORT_PLL_0_C)
1756#define BXT_PORT_PLL(phy, ch, idx) _MMIO(_PORT_PLL_BASE(phy, ch) + \
1757 (idx) * 4)
dfb82408 1758
5c6706e5
VK
1759/* BXT PHY common lane registers */
1760#define _PORT_CL1CM_DW0_A 0x162000
1761#define _PORT_CL1CM_DW0_BC 0x6C000
1762#define PHY_POWER_GOOD (1 << 16)
b61e7996 1763#define PHY_RESERVED (1 << 7)
ed37892e 1764#define BXT_PORT_CL1CM_DW0(phy) _BXT_PHY((phy), _PORT_CL1CM_DW0_BC)
5c6706e5 1765
d72e84cc
MK
1766#define _PORT_CL1CM_DW9_A 0x162024
1767#define _PORT_CL1CM_DW9_BC 0x6C024
1768#define IREF0RC_OFFSET_SHIFT 8
1769#define IREF0RC_OFFSET_MASK (0xFF << IREF0RC_OFFSET_SHIFT)
1770#define BXT_PORT_CL1CM_DW9(phy) _BXT_PHY((phy), _PORT_CL1CM_DW9_BC)
d8d4a512 1771
d72e84cc
MK
1772#define _PORT_CL1CM_DW10_A 0x162028
1773#define _PORT_CL1CM_DW10_BC 0x6C028
1774#define IREF1RC_OFFSET_SHIFT 8
1775#define IREF1RC_OFFSET_MASK (0xFF << IREF1RC_OFFSET_SHIFT)
1776#define BXT_PORT_CL1CM_DW10(phy) _BXT_PHY((phy), _PORT_CL1CM_DW10_BC)
1777
1778#define _PORT_CL1CM_DW28_A 0x162070
1779#define _PORT_CL1CM_DW28_BC 0x6C070
1780#define OCL1_POWER_DOWN_EN (1 << 23)
1781#define DW28_OLDO_DYN_PWR_DOWN_EN (1 << 22)
1782#define SUS_CLK_CONFIG 0x3
1783#define BXT_PORT_CL1CM_DW28(phy) _BXT_PHY((phy), _PORT_CL1CM_DW28_BC)
1784
1785#define _PORT_CL1CM_DW30_A 0x162078
1786#define _PORT_CL1CM_DW30_BC 0x6C078
1787#define OCL2_LDOFUSE_PWR_DIS (1 << 6)
1788#define BXT_PORT_CL1CM_DW30(phy) _BXT_PHY((phy), _PORT_CL1CM_DW30_BC)
1789
1790/*
1791 * CNL/ICL Port/COMBO-PHY Registers
1792 */
4e53840f
LDM
1793#define _ICL_COMBOPHY_A 0x162000
1794#define _ICL_COMBOPHY_B 0x6C000
1795#define _ICL_COMBOPHY(port) _PICK(port, _ICL_COMBOPHY_A, \
1796 _ICL_COMBOPHY_B)
1797
d72e84cc 1798/* CNL/ICL Port CL_DW registers */
4e53840f
LDM
1799#define _ICL_PORT_CL_DW(dw, port) (_ICL_COMBOPHY(port) + \
1800 4 * (dw))
1801
1802#define CNL_PORT_CL1CM_DW5 _MMIO(0x162014)
1803#define ICL_PORT_CL_DW5(port) _MMIO(_ICL_PORT_CL_DW(5, port))
d72e84cc
MK
1804#define CL_POWER_DOWN_ENABLE (1 << 4)
1805#define SUS_CLOCK_CONFIG (3 << 0)
ad186f3f 1806
4e53840f 1807#define ICL_PORT_CL_DW10(port) _MMIO(_ICL_PORT_CL_DW(10, port))
166869b3
MC
1808#define PG_SEQ_DELAY_OVERRIDE_MASK (3 << 25)
1809#define PG_SEQ_DELAY_OVERRIDE_SHIFT 25
1810#define PG_SEQ_DELAY_OVERRIDE_ENABLE (1 << 24)
1811#define PWR_UP_ALL_LANES (0x0 << 4)
1812#define PWR_DOWN_LN_3_2_1 (0xe << 4)
1813#define PWR_DOWN_LN_3_2 (0xc << 4)
1814#define PWR_DOWN_LN_3 (0x8 << 4)
1815#define PWR_DOWN_LN_2_1_0 (0x7 << 4)
1816#define PWR_DOWN_LN_1_0 (0x3 << 4)
1817#define PWR_DOWN_LN_1 (0x2 << 4)
1818#define PWR_DOWN_LN_3_1 (0xa << 4)
1819#define PWR_DOWN_LN_3_1_0 (0xb << 4)
1820#define PWR_DOWN_LN_MASK (0xf << 4)
1821#define PWR_DOWN_LN_SHIFT 4
1822
4e53840f 1823#define ICL_PORT_CL_DW12(port) _MMIO(_ICL_PORT_CL_DW(12, port))
67ca07e7 1824#define ICL_LANE_ENABLE_AUX (1 << 0)
67ca07e7 1825
d72e84cc 1826/* CNL/ICL Port COMP_DW registers */
4e53840f
LDM
1827#define _ICL_PORT_COMP 0x100
1828#define _ICL_PORT_COMP_DW(dw, port) (_ICL_COMBOPHY(port) + \
1829 _ICL_PORT_COMP + 4 * (dw))
1830
d72e84cc 1831#define CNL_PORT_COMP_DW0 _MMIO(0x162100)
4e53840f 1832#define ICL_PORT_COMP_DW0(port) _MMIO(_ICL_PORT_COMP_DW(0, port))
d72e84cc 1833#define COMP_INIT (1 << 31)
5c6706e5 1834
d72e84cc 1835#define CNL_PORT_COMP_DW1 _MMIO(0x162104)
4e53840f
LDM
1836#define ICL_PORT_COMP_DW1(port) _MMIO(_ICL_PORT_COMP_DW(1, port))
1837
d72e84cc 1838#define CNL_PORT_COMP_DW3 _MMIO(0x16210c)
4e53840f 1839#define ICL_PORT_COMP_DW3(port) _MMIO(_ICL_PORT_COMP_DW(3, port))
d72e84cc
MK
1840#define PROCESS_INFO_DOT_0 (0 << 26)
1841#define PROCESS_INFO_DOT_1 (1 << 26)
1842#define PROCESS_INFO_DOT_4 (2 << 26)
1843#define PROCESS_INFO_MASK (7 << 26)
1844#define PROCESS_INFO_SHIFT 26
1845#define VOLTAGE_INFO_0_85V (0 << 24)
1846#define VOLTAGE_INFO_0_95V (1 << 24)
1847#define VOLTAGE_INFO_1_05V (2 << 24)
1848#define VOLTAGE_INFO_MASK (3 << 24)
1849#define VOLTAGE_INFO_SHIFT 24
1850
1851#define CNL_PORT_COMP_DW9 _MMIO(0x162124)
4e53840f 1852#define ICL_PORT_COMP_DW9(port) _MMIO(_ICL_PORT_COMP_DW(9, port))
d72e84cc
MK
1853
1854#define CNL_PORT_COMP_DW10 _MMIO(0x162128)
4e53840f 1855#define ICL_PORT_COMP_DW10(port) _MMIO(_ICL_PORT_COMP_DW(10, port))
5c6706e5 1856
d72e84cc 1857/* CNL/ICL Port PCS registers */
04416108
RV
1858#define _CNL_PORT_PCS_DW1_GRP_AE 0x162304
1859#define _CNL_PORT_PCS_DW1_GRP_B 0x162384
1860#define _CNL_PORT_PCS_DW1_GRP_C 0x162B04
1861#define _CNL_PORT_PCS_DW1_GRP_D 0x162B84
1862#define _CNL_PORT_PCS_DW1_GRP_F 0x162A04
1863#define _CNL_PORT_PCS_DW1_LN0_AE 0x162404
1864#define _CNL_PORT_PCS_DW1_LN0_B 0x162604
1865#define _CNL_PORT_PCS_DW1_LN0_C 0x162C04
1866#define _CNL_PORT_PCS_DW1_LN0_D 0x162E04
1867#define _CNL_PORT_PCS_DW1_LN0_F 0x162804
da9cb11f 1868#define CNL_PORT_PCS_DW1_GRP(port) _MMIO(_PICK(port, \
04416108
RV
1869 _CNL_PORT_PCS_DW1_GRP_AE, \
1870 _CNL_PORT_PCS_DW1_GRP_B, \
1871 _CNL_PORT_PCS_DW1_GRP_C, \
1872 _CNL_PORT_PCS_DW1_GRP_D, \
1873 _CNL_PORT_PCS_DW1_GRP_AE, \
da9cb11f 1874 _CNL_PORT_PCS_DW1_GRP_F))
da9cb11f 1875#define CNL_PORT_PCS_DW1_LN0(port) _MMIO(_PICK(port, \
04416108
RV
1876 _CNL_PORT_PCS_DW1_LN0_AE, \
1877 _CNL_PORT_PCS_DW1_LN0_B, \
1878 _CNL_PORT_PCS_DW1_LN0_C, \
1879 _CNL_PORT_PCS_DW1_LN0_D, \
1880 _CNL_PORT_PCS_DW1_LN0_AE, \
da9cb11f 1881 _CNL_PORT_PCS_DW1_LN0_F))
d61d1b3b 1882
4e53840f
LDM
1883#define _ICL_PORT_PCS_AUX 0x300
1884#define _ICL_PORT_PCS_GRP 0x600
1885#define _ICL_PORT_PCS_LN(ln) (0x800 + (ln) * 0x100)
1886#define _ICL_PORT_PCS_DW_AUX(dw, port) (_ICL_COMBOPHY(port) + \
1887 _ICL_PORT_PCS_AUX + 4 * (dw))
1888#define _ICL_PORT_PCS_DW_GRP(dw, port) (_ICL_COMBOPHY(port) + \
1889 _ICL_PORT_PCS_GRP + 4 * (dw))
1890#define _ICL_PORT_PCS_DW_LN(dw, ln, port) (_ICL_COMBOPHY(port) + \
1891 _ICL_PORT_PCS_LN(ln) + 4 * (dw))
1892#define ICL_PORT_PCS_DW1_AUX(port) _MMIO(_ICL_PORT_PCS_DW_AUX(1, port))
1893#define ICL_PORT_PCS_DW1_GRP(port) _MMIO(_ICL_PORT_PCS_DW_GRP(1, port))
1894#define ICL_PORT_PCS_DW1_LN0(port) _MMIO(_ICL_PORT_PCS_DW_LN(1, 0, port))
04416108
RV
1895#define COMMON_KEEPER_EN (1 << 26)
1896
d72e84cc 1897/* CNL/ICL Port TX registers */
4635b573
MK
1898#define _CNL_PORT_TX_AE_GRP_OFFSET 0x162340
1899#define _CNL_PORT_TX_B_GRP_OFFSET 0x1623C0
1900#define _CNL_PORT_TX_C_GRP_OFFSET 0x162B40
1901#define _CNL_PORT_TX_D_GRP_OFFSET 0x162BC0
1902#define _CNL_PORT_TX_F_GRP_OFFSET 0x162A40
1903#define _CNL_PORT_TX_AE_LN0_OFFSET 0x162440
1904#define _CNL_PORT_TX_B_LN0_OFFSET 0x162640
1905#define _CNL_PORT_TX_C_LN0_OFFSET 0x162C40
1906#define _CNL_PORT_TX_D_LN0_OFFSET 0x162E40
1907#define _CNL_PORT_TX_F_LN0_OFFSET 0x162840
b14c06ec 1908#define _CNL_PORT_TX_DW_GRP(dw, port) (_PICK((port), \
4635b573
MK
1909 _CNL_PORT_TX_AE_GRP_OFFSET, \
1910 _CNL_PORT_TX_B_GRP_OFFSET, \
1911 _CNL_PORT_TX_B_GRP_OFFSET, \
1912 _CNL_PORT_TX_D_GRP_OFFSET, \
1913 _CNL_PORT_TX_AE_GRP_OFFSET, \
1914 _CNL_PORT_TX_F_GRP_OFFSET) + \
5ee8ee86 1915 4 * (dw))
b14c06ec 1916#define _CNL_PORT_TX_DW_LN0(dw, port) (_PICK((port), \
4635b573
MK
1917 _CNL_PORT_TX_AE_LN0_OFFSET, \
1918 _CNL_PORT_TX_B_LN0_OFFSET, \
1919 _CNL_PORT_TX_B_LN0_OFFSET, \
1920 _CNL_PORT_TX_D_LN0_OFFSET, \
1921 _CNL_PORT_TX_AE_LN0_OFFSET, \
1922 _CNL_PORT_TX_F_LN0_OFFSET) + \
5ee8ee86 1923 4 * (dw))
4635b573 1924
4e53840f
LDM
1925#define _ICL_PORT_TX_AUX 0x380
1926#define _ICL_PORT_TX_GRP 0x680
1927#define _ICL_PORT_TX_LN(ln) (0x880 + (ln) * 0x100)
1928
1929#define _ICL_PORT_TX_DW_AUX(dw, port) (_ICL_COMBOPHY(port) + \
1930 _ICL_PORT_TX_AUX + 4 * (dw))
1931#define _ICL_PORT_TX_DW_GRP(dw, port) (_ICL_COMBOPHY(port) + \
1932 _ICL_PORT_TX_GRP + 4 * (dw))
1933#define _ICL_PORT_TX_DW_LN(dw, ln, port) (_ICL_COMBOPHY(port) + \
1934 _ICL_PORT_TX_LN(ln) + 4 * (dw))
1935
1936#define CNL_PORT_TX_DW2_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(2, port))
1937#define CNL_PORT_TX_DW2_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(2, port))
1938#define ICL_PORT_TX_DW2_AUX(port) _MMIO(_ICL_PORT_TX_DW_AUX(2, port))
1939#define ICL_PORT_TX_DW2_GRP(port) _MMIO(_ICL_PORT_TX_DW_GRP(2, port))
1940#define ICL_PORT_TX_DW2_LN0(port) _MMIO(_ICL_PORT_TX_DW_LN(2, 0, port))
7487508e 1941#define SWING_SEL_UPPER(x) (((x) >> 3) << 15)
1f588aeb 1942#define SWING_SEL_UPPER_MASK (1 << 15)
7487508e 1943#define SWING_SEL_LOWER(x) (((x) & 0x7) << 11)
1f588aeb 1944#define SWING_SEL_LOWER_MASK (0x7 << 11)
d61d1b3b
MC
1945#define FRC_LATENCY_OPTIM_MASK (0x7 << 8)
1946#define FRC_LATENCY_OPTIM_VAL(x) ((x) << 8)
04416108 1947#define RCOMP_SCALAR(x) ((x) << 0)
1f588aeb 1948#define RCOMP_SCALAR_MASK (0xFF << 0)
04416108 1949
04416108
RV
1950#define _CNL_PORT_TX_DW4_LN0_AE 0x162450
1951#define _CNL_PORT_TX_DW4_LN1_AE 0x1624D0
b14c06ec
AS
1952#define CNL_PORT_TX_DW4_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(4, (port)))
1953#define CNL_PORT_TX_DW4_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)))
9194e42a 1954#define CNL_PORT_TX_DW4_LN(ln, port) _MMIO(_CNL_PORT_TX_DW_LN0(4, (port)) + \
9e8789ec 1955 ((ln) * (_CNL_PORT_TX_DW4_LN1_AE - \
4635b573 1956 _CNL_PORT_TX_DW4_LN0_AE)))
4e53840f
LDM
1957#define ICL_PORT_TX_DW4_AUX(port) _MMIO(_ICL_PORT_TX_DW_AUX(4, port))
1958#define ICL_PORT_TX_DW4_GRP(port) _MMIO(_ICL_PORT_TX_DW_GRP(4, port))
1959#define ICL_PORT_TX_DW4_LN0(port) _MMIO(_ICL_PORT_TX_DW_LN(4, 0, port))
9194e42a 1960#define ICL_PORT_TX_DW4_LN(ln, port) _MMIO(_ICL_PORT_TX_DW_LN(4, ln, port))
04416108
RV
1961#define LOADGEN_SELECT (1 << 31)
1962#define POST_CURSOR_1(x) ((x) << 12)
1f588aeb 1963#define POST_CURSOR_1_MASK (0x3F << 12)
04416108 1964#define POST_CURSOR_2(x) ((x) << 6)
1f588aeb 1965#define POST_CURSOR_2_MASK (0x3F << 6)
04416108 1966#define CURSOR_COEFF(x) ((x) << 0)
fcace3b9 1967#define CURSOR_COEFF_MASK (0x3F << 0)
04416108 1968
4e53840f
LDM
1969#define CNL_PORT_TX_DW5_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(5, port))
1970#define CNL_PORT_TX_DW5_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(5, port))
1971#define ICL_PORT_TX_DW5_AUX(port) _MMIO(_ICL_PORT_TX_DW_AUX(5, port))
1972#define ICL_PORT_TX_DW5_GRP(port) _MMIO(_ICL_PORT_TX_DW_GRP(5, port))
1973#define ICL_PORT_TX_DW5_LN0(port) _MMIO(_ICL_PORT_TX_DW_LN(5, 0, port))
04416108 1974#define TX_TRAINING_EN (1 << 31)
5bb975de 1975#define TAP2_DISABLE (1 << 30)
04416108
RV
1976#define TAP3_DISABLE (1 << 29)
1977#define SCALING_MODE_SEL(x) ((x) << 18)
1f588aeb 1978#define SCALING_MODE_SEL_MASK (0x7 << 18)
04416108 1979#define RTERM_SELECT(x) ((x) << 3)
1f588aeb 1980#define RTERM_SELECT_MASK (0x7 << 3)
04416108 1981
b14c06ec
AS
1982#define CNL_PORT_TX_DW7_GRP(port) _MMIO(_CNL_PORT_TX_DW_GRP(7, (port)))
1983#define CNL_PORT_TX_DW7_LN0(port) _MMIO(_CNL_PORT_TX_DW_LN0(7, (port)))
b265a2a6
CT
1984#define ICL_PORT_TX_DW7_AUX(port) _MMIO(_ICL_PORT_TX_DW_AUX(7, port))
1985#define ICL_PORT_TX_DW7_GRP(port) _MMIO(_ICL_PORT_TX_DW_GRP(7, port))
1986#define ICL_PORT_TX_DW7_LN0(port) _MMIO(_ICL_PORT_TX_DW_LN(7, 0, port))
9194e42a 1987#define ICL_PORT_TX_DW7_LN(ln, port) _MMIO(_ICL_PORT_TX_DW_LN(7, ln, port))
04416108 1988#define N_SCALAR(x) ((x) << 24)
1f588aeb 1989#define N_SCALAR_MASK (0x7F << 24)
04416108 1990
58106b7d 1991#define MG_PHY_PORT_LN(ln, port, ln0p1, ln0p2, ln1p1) \
c92f47b5
MN
1992 _MMIO(_PORT((port) - PORT_C, ln0p1, ln0p2) + (ln) * ((ln1p1) - (ln0p1)))
1993
a38bb309
MN
1994#define MG_TX_LINK_PARAMS_TX1LN0_PORT1 0x16812C
1995#define MG_TX_LINK_PARAMS_TX1LN1_PORT1 0x16852C
1996#define MG_TX_LINK_PARAMS_TX1LN0_PORT2 0x16912C
1997#define MG_TX_LINK_PARAMS_TX1LN1_PORT2 0x16952C
1998#define MG_TX_LINK_PARAMS_TX1LN0_PORT3 0x16A12C
1999#define MG_TX_LINK_PARAMS_TX1LN1_PORT3 0x16A52C
2000#define MG_TX_LINK_PARAMS_TX1LN0_PORT4 0x16B12C
2001#define MG_TX_LINK_PARAMS_TX1LN1_PORT4 0x16B52C
58106b7d
AS
2002#define MG_TX1_LINK_PARAMS(ln, port) \
2003 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX1LN0_PORT1, \
a38bb309
MN
2004 MG_TX_LINK_PARAMS_TX1LN0_PORT2, \
2005 MG_TX_LINK_PARAMS_TX1LN1_PORT1)
2006
2007#define MG_TX_LINK_PARAMS_TX2LN0_PORT1 0x1680AC
2008#define MG_TX_LINK_PARAMS_TX2LN1_PORT1 0x1684AC
2009#define MG_TX_LINK_PARAMS_TX2LN0_PORT2 0x1690AC
2010#define MG_TX_LINK_PARAMS_TX2LN1_PORT2 0x1694AC
2011#define MG_TX_LINK_PARAMS_TX2LN0_PORT3 0x16A0AC
2012#define MG_TX_LINK_PARAMS_TX2LN1_PORT3 0x16A4AC
2013#define MG_TX_LINK_PARAMS_TX2LN0_PORT4 0x16B0AC
2014#define MG_TX_LINK_PARAMS_TX2LN1_PORT4 0x16B4AC
58106b7d
AS
2015#define MG_TX2_LINK_PARAMS(ln, port) \
2016 MG_PHY_PORT_LN(ln, port, MG_TX_LINK_PARAMS_TX2LN0_PORT1, \
a38bb309
MN
2017 MG_TX_LINK_PARAMS_TX2LN0_PORT2, \
2018 MG_TX_LINK_PARAMS_TX2LN1_PORT1)
2019#define CRI_USE_FS32 (1 << 5)
2020
2021#define MG_TX_PISO_READLOAD_TX1LN0_PORT1 0x16814C
2022#define MG_TX_PISO_READLOAD_TX1LN1_PORT1 0x16854C
2023#define MG_TX_PISO_READLOAD_TX1LN0_PORT2 0x16914C
2024#define MG_TX_PISO_READLOAD_TX1LN1_PORT2 0x16954C
2025#define MG_TX_PISO_READLOAD_TX1LN0_PORT3 0x16A14C
2026#define MG_TX_PISO_READLOAD_TX1LN1_PORT3 0x16A54C
2027#define MG_TX_PISO_READLOAD_TX1LN0_PORT4 0x16B14C
2028#define MG_TX_PISO_READLOAD_TX1LN1_PORT4 0x16B54C
58106b7d
AS
2029#define MG_TX1_PISO_READLOAD(ln, port) \
2030 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX1LN0_PORT1, \
a38bb309
MN
2031 MG_TX_PISO_READLOAD_TX1LN0_PORT2, \
2032 MG_TX_PISO_READLOAD_TX1LN1_PORT1)
2033
2034#define MG_TX_PISO_READLOAD_TX2LN0_PORT1 0x1680CC
2035#define MG_TX_PISO_READLOAD_TX2LN1_PORT1 0x1684CC
2036#define MG_TX_PISO_READLOAD_TX2LN0_PORT2 0x1690CC
2037#define MG_TX_PISO_READLOAD_TX2LN1_PORT2 0x1694CC
2038#define MG_TX_PISO_READLOAD_TX2LN0_PORT3 0x16A0CC
2039#define MG_TX_PISO_READLOAD_TX2LN1_PORT3 0x16A4CC
2040#define MG_TX_PISO_READLOAD_TX2LN0_PORT4 0x16B0CC
2041#define MG_TX_PISO_READLOAD_TX2LN1_PORT4 0x16B4CC
58106b7d
AS
2042#define MG_TX2_PISO_READLOAD(ln, port) \
2043 MG_PHY_PORT_LN(ln, port, MG_TX_PISO_READLOAD_TX2LN0_PORT1, \
a38bb309
MN
2044 MG_TX_PISO_READLOAD_TX2LN0_PORT2, \
2045 MG_TX_PISO_READLOAD_TX2LN1_PORT1)
2046#define CRI_CALCINIT (1 << 1)
2047
2048#define MG_TX_SWINGCTRL_TX1LN0_PORT1 0x168148
2049#define MG_TX_SWINGCTRL_TX1LN1_PORT1 0x168548
2050#define MG_TX_SWINGCTRL_TX1LN0_PORT2 0x169148
2051#define MG_TX_SWINGCTRL_TX1LN1_PORT2 0x169548
2052#define MG_TX_SWINGCTRL_TX1LN0_PORT3 0x16A148
2053#define MG_TX_SWINGCTRL_TX1LN1_PORT3 0x16A548
2054#define MG_TX_SWINGCTRL_TX1LN0_PORT4 0x16B148
2055#define MG_TX_SWINGCTRL_TX1LN1_PORT4 0x16B548
58106b7d
AS
2056#define MG_TX1_SWINGCTRL(ln, port) \
2057 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX1LN0_PORT1, \
a38bb309
MN
2058 MG_TX_SWINGCTRL_TX1LN0_PORT2, \
2059 MG_TX_SWINGCTRL_TX1LN1_PORT1)
2060
2061#define MG_TX_SWINGCTRL_TX2LN0_PORT1 0x1680C8
2062#define MG_TX_SWINGCTRL_TX2LN1_PORT1 0x1684C8
2063#define MG_TX_SWINGCTRL_TX2LN0_PORT2 0x1690C8
2064#define MG_TX_SWINGCTRL_TX2LN1_PORT2 0x1694C8
2065#define MG_TX_SWINGCTRL_TX2LN0_PORT3 0x16A0C8
2066#define MG_TX_SWINGCTRL_TX2LN1_PORT3 0x16A4C8
2067#define MG_TX_SWINGCTRL_TX2LN0_PORT4 0x16B0C8
2068#define MG_TX_SWINGCTRL_TX2LN1_PORT4 0x16B4C8
58106b7d
AS
2069#define MG_TX2_SWINGCTRL(ln, port) \
2070 MG_PHY_PORT_LN(ln, port, MG_TX_SWINGCTRL_TX2LN0_PORT1, \
a38bb309
MN
2071 MG_TX_SWINGCTRL_TX2LN0_PORT2, \
2072 MG_TX_SWINGCTRL_TX2LN1_PORT1)
2073#define CRI_TXDEEMPH_OVERRIDE_17_12(x) ((x) << 0)
2074#define CRI_TXDEEMPH_OVERRIDE_17_12_MASK (0x3F << 0)
2075
2076#define MG_TX_DRVCTRL_TX1LN0_TXPORT1 0x168144
2077#define MG_TX_DRVCTRL_TX1LN1_TXPORT1 0x168544
2078#define MG_TX_DRVCTRL_TX1LN0_TXPORT2 0x169144
2079#define MG_TX_DRVCTRL_TX1LN1_TXPORT2 0x169544
2080#define MG_TX_DRVCTRL_TX1LN0_TXPORT3 0x16A144
2081#define MG_TX_DRVCTRL_TX1LN1_TXPORT3 0x16A544
2082#define MG_TX_DRVCTRL_TX1LN0_TXPORT4 0x16B144
2083#define MG_TX_DRVCTRL_TX1LN1_TXPORT4 0x16B544
58106b7d
AS
2084#define MG_TX1_DRVCTRL(ln, port) \
2085 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX1LN0_TXPORT1, \
a38bb309
MN
2086 MG_TX_DRVCTRL_TX1LN0_TXPORT2, \
2087 MG_TX_DRVCTRL_TX1LN1_TXPORT1)
2088
2089#define MG_TX_DRVCTRL_TX2LN0_PORT1 0x1680C4
2090#define MG_TX_DRVCTRL_TX2LN1_PORT1 0x1684C4
2091#define MG_TX_DRVCTRL_TX2LN0_PORT2 0x1690C4
2092#define MG_TX_DRVCTRL_TX2LN1_PORT2 0x1694C4
2093#define MG_TX_DRVCTRL_TX2LN0_PORT3 0x16A0C4
2094#define MG_TX_DRVCTRL_TX2LN1_PORT3 0x16A4C4
2095#define MG_TX_DRVCTRL_TX2LN0_PORT4 0x16B0C4
2096#define MG_TX_DRVCTRL_TX2LN1_PORT4 0x16B4C4
58106b7d
AS
2097#define MG_TX2_DRVCTRL(ln, port) \
2098 MG_PHY_PORT_LN(ln, port, MG_TX_DRVCTRL_TX2LN0_PORT1, \
a38bb309
MN
2099 MG_TX_DRVCTRL_TX2LN0_PORT2, \
2100 MG_TX_DRVCTRL_TX2LN1_PORT1)
2101#define CRI_TXDEEMPH_OVERRIDE_11_6(x) ((x) << 24)
2102#define CRI_TXDEEMPH_OVERRIDE_11_6_MASK (0x3F << 24)
2103#define CRI_TXDEEMPH_OVERRIDE_EN (1 << 22)
2104#define CRI_TXDEEMPH_OVERRIDE_5_0(x) ((x) << 16)
2105#define CRI_TXDEEMPH_OVERRIDE_5_0_MASK (0x3F << 16)
2106#define CRI_LOADGEN_SEL(x) ((x) << 12)
2107#define CRI_LOADGEN_SEL_MASK (0x3 << 12)
2108
2109#define MG_CLKHUB_LN0_PORT1 0x16839C
2110#define MG_CLKHUB_LN1_PORT1 0x16879C
2111#define MG_CLKHUB_LN0_PORT2 0x16939C
2112#define MG_CLKHUB_LN1_PORT2 0x16979C
2113#define MG_CLKHUB_LN0_PORT3 0x16A39C
2114#define MG_CLKHUB_LN1_PORT3 0x16A79C
2115#define MG_CLKHUB_LN0_PORT4 0x16B39C
2116#define MG_CLKHUB_LN1_PORT4 0x16B79C
58106b7d
AS
2117#define MG_CLKHUB(ln, port) \
2118 MG_PHY_PORT_LN(ln, port, MG_CLKHUB_LN0_PORT1, \
a38bb309
MN
2119 MG_CLKHUB_LN0_PORT2, \
2120 MG_CLKHUB_LN1_PORT1)
2121#define CFG_LOW_RATE_LKREN_EN (1 << 11)
2122
2123#define MG_TX_DCC_TX1LN0_PORT1 0x168110
2124#define MG_TX_DCC_TX1LN1_PORT1 0x168510
2125#define MG_TX_DCC_TX1LN0_PORT2 0x169110
2126#define MG_TX_DCC_TX1LN1_PORT2 0x169510
2127#define MG_TX_DCC_TX1LN0_PORT3 0x16A110
2128#define MG_TX_DCC_TX1LN1_PORT3 0x16A510
2129#define MG_TX_DCC_TX1LN0_PORT4 0x16B110
2130#define MG_TX_DCC_TX1LN1_PORT4 0x16B510
58106b7d
AS
2131#define MG_TX1_DCC(ln, port) \
2132 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX1LN0_PORT1, \
a38bb309
MN
2133 MG_TX_DCC_TX1LN0_PORT2, \
2134 MG_TX_DCC_TX1LN1_PORT1)
2135#define MG_TX_DCC_TX2LN0_PORT1 0x168090
2136#define MG_TX_DCC_TX2LN1_PORT1 0x168490
2137#define MG_TX_DCC_TX2LN0_PORT2 0x169090
2138#define MG_TX_DCC_TX2LN1_PORT2 0x169490
2139#define MG_TX_DCC_TX2LN0_PORT3 0x16A090
2140#define MG_TX_DCC_TX2LN1_PORT3 0x16A490
2141#define MG_TX_DCC_TX2LN0_PORT4 0x16B090
2142#define MG_TX_DCC_TX2LN1_PORT4 0x16B490
58106b7d
AS
2143#define MG_TX2_DCC(ln, port) \
2144 MG_PHY_PORT_LN(ln, port, MG_TX_DCC_TX2LN0_PORT1, \
a38bb309
MN
2145 MG_TX_DCC_TX2LN0_PORT2, \
2146 MG_TX_DCC_TX2LN1_PORT1)
2147#define CFG_AMI_CK_DIV_OVERRIDE_VAL(x) ((x) << 25)
2148#define CFG_AMI_CK_DIV_OVERRIDE_VAL_MASK (0x3 << 25)
2149#define CFG_AMI_CK_DIV_OVERRIDE_EN (1 << 24)
c92f47b5 2150
340a44be
PZ
2151#define MG_DP_MODE_LN0_ACU_PORT1 0x1683A0
2152#define MG_DP_MODE_LN1_ACU_PORT1 0x1687A0
2153#define MG_DP_MODE_LN0_ACU_PORT2 0x1693A0
2154#define MG_DP_MODE_LN1_ACU_PORT2 0x1697A0
2155#define MG_DP_MODE_LN0_ACU_PORT3 0x16A3A0
2156#define MG_DP_MODE_LN1_ACU_PORT3 0x16A7A0
2157#define MG_DP_MODE_LN0_ACU_PORT4 0x16B3A0
2158#define MG_DP_MODE_LN1_ACU_PORT4 0x16B7A0
58106b7d
AS
2159#define MG_DP_MODE(ln, port) \
2160 MG_PHY_PORT_LN(ln, port, MG_DP_MODE_LN0_ACU_PORT1, \
340a44be
PZ
2161 MG_DP_MODE_LN0_ACU_PORT2, \
2162 MG_DP_MODE_LN1_ACU_PORT1)
2163#define MG_DP_MODE_CFG_DP_X2_MODE (1 << 7)
2164#define MG_DP_MODE_CFG_DP_X1_MODE (1 << 6)
bc334d91
PZ
2165#define MG_DP_MODE_CFG_TR2PWR_GATING (1 << 5)
2166#define MG_DP_MODE_CFG_TRPWR_GATING (1 << 4)
2167#define MG_DP_MODE_CFG_CLNPWR_GATING (1 << 3)
2168#define MG_DP_MODE_CFG_DIGPWR_GATING (1 << 2)
2169#define MG_DP_MODE_CFG_GAONPWR_GATING (1 << 1)
2170
2171#define MG_MISC_SUS0_PORT1 0x168814
2172#define MG_MISC_SUS0_PORT2 0x169814
2173#define MG_MISC_SUS0_PORT3 0x16A814
2174#define MG_MISC_SUS0_PORT4 0x16B814
2175#define MG_MISC_SUS0(tc_port) \
2176 _MMIO(_PORT(tc_port, MG_MISC_SUS0_PORT1, MG_MISC_SUS0_PORT2))
2177#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE_MASK (3 << 14)
2178#define MG_MISC_SUS0_SUSCLK_DYNCLKGATE_MODE(x) ((x) << 14)
2179#define MG_MISC_SUS0_CFG_TR2PWR_GATING (1 << 12)
2180#define MG_MISC_SUS0_CFG_CL2PWR_GATING (1 << 11)
2181#define MG_MISC_SUS0_CFG_GAONPWR_GATING (1 << 10)
2182#define MG_MISC_SUS0_CFG_TRPWR_GATING (1 << 7)
2183#define MG_MISC_SUS0_CFG_CL1PWR_GATING (1 << 6)
2184#define MG_MISC_SUS0_CFG_DGPWR_GATING (1 << 5)
340a44be 2185
842d4166
ACO
2186/* The spec defines this only for BXT PHY0, but lets assume that this
2187 * would exist for PHY1 too if it had a second channel.
2188 */
2189#define _PORT_CL2CM_DW6_A 0x162358
2190#define _PORT_CL2CM_DW6_BC 0x6C358
ed37892e 2191#define BXT_PORT_CL2CM_DW6(phy) _BXT_PHY((phy), _PORT_CL2CM_DW6_BC)
5c6706e5
VK
2192#define DW6_OLDO_DYN_PWR_DOWN_EN (1 << 28)
2193
a6576a8d
AS
2194#define FIA1_BASE 0x163000
2195
a2bc69a1 2196/* ICL PHY DFLEX registers */
a6576a8d 2197#define PORT_TX_DFLEXDPMLE1 _MMIO(FIA1_BASE + 0x008C0)
b4335ec0
MN
2198#define DFLEXDPMLE1_DPMLETC_MASK(tc_port) (0xf << (4 * (tc_port)))
2199#define DFLEXDPMLE1_DPMLETC_ML0(tc_port) (1 << (4 * (tc_port)))
2200#define DFLEXDPMLE1_DPMLETC_ML1_0(tc_port) (3 << (4 * (tc_port)))
2201#define DFLEXDPMLE1_DPMLETC_ML3(tc_port) (8 << (4 * (tc_port)))
2202#define DFLEXDPMLE1_DPMLETC_ML3_2(tc_port) (12 << (4 * (tc_port)))
2203#define DFLEXDPMLE1_DPMLETC_ML3_0(tc_port) (15 << (4 * (tc_port)))
a2bc69a1 2204
5c6706e5
VK
2205/* BXT PHY Ref registers */
2206#define _PORT_REF_DW3_A 0x16218C
2207#define _PORT_REF_DW3_BC 0x6C18C
2208#define GRC_DONE (1 << 22)
ed37892e 2209#define BXT_PORT_REF_DW3(phy) _BXT_PHY((phy), _PORT_REF_DW3_BC)
5c6706e5
VK
2210
2211#define _PORT_REF_DW6_A 0x162198
2212#define _PORT_REF_DW6_BC 0x6C198
d1e082ff
ID
2213#define GRC_CODE_SHIFT 24
2214#define GRC_CODE_MASK (0xFF << GRC_CODE_SHIFT)
5c6706e5 2215#define GRC_CODE_FAST_SHIFT 16
d1e082ff 2216#define GRC_CODE_FAST_MASK (0xFF << GRC_CODE_FAST_SHIFT)
5c6706e5
VK
2217#define GRC_CODE_SLOW_SHIFT 8
2218#define GRC_CODE_SLOW_MASK (0xFF << GRC_CODE_SLOW_SHIFT)
2219#define GRC_CODE_NOM_MASK 0xFF
ed37892e 2220#define BXT_PORT_REF_DW6(phy) _BXT_PHY((phy), _PORT_REF_DW6_BC)
5c6706e5
VK
2221
2222#define _PORT_REF_DW8_A 0x1621A0
2223#define _PORT_REF_DW8_BC 0x6C1A0
2224#define GRC_DIS (1 << 15)
2225#define GRC_RDY_OVRD (1 << 1)
ed37892e 2226#define BXT_PORT_REF_DW8(phy) _BXT_PHY((phy), _PORT_REF_DW8_BC)
5c6706e5 2227
dfb82408 2228/* BXT PHY PCS registers */
96fb9f9b
VK
2229#define _PORT_PCS_DW10_LN01_A 0x162428
2230#define _PORT_PCS_DW10_LN01_B 0x6C428
2231#define _PORT_PCS_DW10_LN01_C 0x6C828
2232#define _PORT_PCS_DW10_GRP_A 0x162C28
2233#define _PORT_PCS_DW10_GRP_B 0x6CC28
2234#define _PORT_PCS_DW10_GRP_C 0x6CE28
ed37892e
ACO
2235#define BXT_PORT_PCS_DW10_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2236 _PORT_PCS_DW10_LN01_B, \
2237 _PORT_PCS_DW10_LN01_C)
2238#define BXT_PORT_PCS_DW10_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2239 _PORT_PCS_DW10_GRP_B, \
2240 _PORT_PCS_DW10_GRP_C)
2241
96fb9f9b
VK
2242#define TX2_SWING_CALC_INIT (1 << 31)
2243#define TX1_SWING_CALC_INIT (1 << 30)
2244
dfb82408
S
2245#define _PORT_PCS_DW12_LN01_A 0x162430
2246#define _PORT_PCS_DW12_LN01_B 0x6C430
2247#define _PORT_PCS_DW12_LN01_C 0x6C830
2248#define _PORT_PCS_DW12_LN23_A 0x162630
2249#define _PORT_PCS_DW12_LN23_B 0x6C630
2250#define _PORT_PCS_DW12_LN23_C 0x6CA30
2251#define _PORT_PCS_DW12_GRP_A 0x162c30
2252#define _PORT_PCS_DW12_GRP_B 0x6CC30
2253#define _PORT_PCS_DW12_GRP_C 0x6CE30
2254#define LANESTAGGER_STRAP_OVRD (1 << 6)
2255#define LANE_STAGGER_MASK 0x1F
ed37892e
ACO
2256#define BXT_PORT_PCS_DW12_LN01(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2257 _PORT_PCS_DW12_LN01_B, \
2258 _PORT_PCS_DW12_LN01_C)
2259#define BXT_PORT_PCS_DW12_LN23(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2260 _PORT_PCS_DW12_LN23_B, \
2261 _PORT_PCS_DW12_LN23_C)
2262#define BXT_PORT_PCS_DW12_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2263 _PORT_PCS_DW12_GRP_B, \
2264 _PORT_PCS_DW12_GRP_C)
dfb82408 2265
5c6706e5
VK
2266/* BXT PHY TX registers */
2267#define _BXT_LANE_OFFSET(lane) (((lane) >> 1) * 0x200 + \
2268 ((lane) & 1) * 0x80)
2269
96fb9f9b
VK
2270#define _PORT_TX_DW2_LN0_A 0x162508
2271#define _PORT_TX_DW2_LN0_B 0x6C508
2272#define _PORT_TX_DW2_LN0_C 0x6C908
2273#define _PORT_TX_DW2_GRP_A 0x162D08
2274#define _PORT_TX_DW2_GRP_B 0x6CD08
2275#define _PORT_TX_DW2_GRP_C 0x6CF08
ed37892e
ACO
2276#define BXT_PORT_TX_DW2_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2277 _PORT_TX_DW2_LN0_B, \
2278 _PORT_TX_DW2_LN0_C)
2279#define BXT_PORT_TX_DW2_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2280 _PORT_TX_DW2_GRP_B, \
2281 _PORT_TX_DW2_GRP_C)
96fb9f9b
VK
2282#define MARGIN_000_SHIFT 16
2283#define MARGIN_000 (0xFF << MARGIN_000_SHIFT)
2284#define UNIQ_TRANS_SCALE_SHIFT 8
2285#define UNIQ_TRANS_SCALE (0xFF << UNIQ_TRANS_SCALE_SHIFT)
2286
2287#define _PORT_TX_DW3_LN0_A 0x16250C
2288#define _PORT_TX_DW3_LN0_B 0x6C50C
2289#define _PORT_TX_DW3_LN0_C 0x6C90C
2290#define _PORT_TX_DW3_GRP_A 0x162D0C
2291#define _PORT_TX_DW3_GRP_B 0x6CD0C
2292#define _PORT_TX_DW3_GRP_C 0x6CF0C
ed37892e
ACO
2293#define BXT_PORT_TX_DW3_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2294 _PORT_TX_DW3_LN0_B, \
2295 _PORT_TX_DW3_LN0_C)
2296#define BXT_PORT_TX_DW3_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2297 _PORT_TX_DW3_GRP_B, \
2298 _PORT_TX_DW3_GRP_C)
9c58a049
SJ
2299#define SCALE_DCOMP_METHOD (1 << 26)
2300#define UNIQUE_TRANGE_EN_METHOD (1 << 27)
96fb9f9b
VK
2301
2302#define _PORT_TX_DW4_LN0_A 0x162510
2303#define _PORT_TX_DW4_LN0_B 0x6C510
2304#define _PORT_TX_DW4_LN0_C 0x6C910
2305#define _PORT_TX_DW4_GRP_A 0x162D10
2306#define _PORT_TX_DW4_GRP_B 0x6CD10
2307#define _PORT_TX_DW4_GRP_C 0x6CF10
ed37892e
ACO
2308#define BXT_PORT_TX_DW4_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2309 _PORT_TX_DW4_LN0_B, \
2310 _PORT_TX_DW4_LN0_C)
2311#define BXT_PORT_TX_DW4_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2312 _PORT_TX_DW4_GRP_B, \
2313 _PORT_TX_DW4_GRP_C)
96fb9f9b
VK
2314#define DEEMPH_SHIFT 24
2315#define DE_EMPHASIS (0xFF << DEEMPH_SHIFT)
2316
51b3ee35
ACO
2317#define _PORT_TX_DW5_LN0_A 0x162514
2318#define _PORT_TX_DW5_LN0_B 0x6C514
2319#define _PORT_TX_DW5_LN0_C 0x6C914
2320#define _PORT_TX_DW5_GRP_A 0x162D14
2321#define _PORT_TX_DW5_GRP_B 0x6CD14
2322#define _PORT_TX_DW5_GRP_C 0x6CF14
2323#define BXT_PORT_TX_DW5_LN0(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2324 _PORT_TX_DW5_LN0_B, \
2325 _PORT_TX_DW5_LN0_C)
2326#define BXT_PORT_TX_DW5_GRP(phy, ch) _MMIO_BXT_PHY_CH(phy, ch, \
2327 _PORT_TX_DW5_GRP_B, \
2328 _PORT_TX_DW5_GRP_C)
2329#define DCC_DELAY_RANGE_1 (1 << 9)
2330#define DCC_DELAY_RANGE_2 (1 << 8)
2331
5c6706e5
VK
2332#define _PORT_TX_DW14_LN0_A 0x162538
2333#define _PORT_TX_DW14_LN0_B 0x6C538
2334#define _PORT_TX_DW14_LN0_C 0x6C938
2335#define LATENCY_OPTIM_SHIFT 30
2336#define LATENCY_OPTIM (1 << LATENCY_OPTIM_SHIFT)
ed37892e
ACO
2337#define BXT_PORT_TX_DW14_LN(phy, ch, lane) \
2338 _MMIO(_BXT_PHY_CH(phy, ch, _PORT_TX_DW14_LN0_B, \
2339 _PORT_TX_DW14_LN0_C) + \
2340 _BXT_LANE_OFFSET(lane))
5c6706e5 2341
f8896f5d 2342/* UAIMI scratch pad register 1 */
f0f59a00 2343#define UAIMI_SPR1 _MMIO(0x4F074)
f8896f5d
DW
2344/* SKL VccIO mask */
2345#define SKL_VCCIO_MASK 0x1
2346/* SKL balance leg register */
f0f59a00 2347#define DISPIO_CR_TX_BMU_CR0 _MMIO(0x6C00C)
f8896f5d 2348/* I_boost values */
5ee8ee86
PZ
2349#define BALANCE_LEG_SHIFT(port) (8 + 3 * (port))
2350#define BALANCE_LEG_MASK(port) (7 << (8 + 3 * (port)))
f8896f5d
DW
2351/* Balance leg disable bits */
2352#define BALANCE_LEG_DISABLE_SHIFT 23
a7d8dbc0 2353#define BALANCE_LEG_DISABLE(port) (1 << (23 + (port)))
f8896f5d 2354
585fb111 2355/*
de151cf6 2356 * Fence registers
eecf613a
VS
2357 * [0-7] @ 0x2000 gen2,gen3
2358 * [8-15] @ 0x3000 945,g33,pnv
2359 *
2360 * [0-15] @ 0x3000 gen4,gen5
2361 *
2362 * [0-15] @ 0x100000 gen6,vlv,chv
2363 * [0-31] @ 0x100000 gen7+
585fb111 2364 */
f0f59a00 2365#define FENCE_REG(i) _MMIO(0x2000 + (((i) & 8) << 9) + ((i) & 7) * 4)
de151cf6
JB
2366#define I830_FENCE_START_MASK 0x07f80000
2367#define I830_FENCE_TILING_Y_SHIFT 12
0f973f27 2368#define I830_FENCE_SIZE_BITS(size) ((ffs((size) >> 19) - 1) << 8)
de151cf6 2369#define I830_FENCE_PITCH_SHIFT 4
5ee8ee86 2370#define I830_FENCE_REG_VALID (1 << 0)
c36a2a6d 2371#define I915_FENCE_MAX_PITCH_VAL 4
e76a16de 2372#define I830_FENCE_MAX_PITCH_VAL 6
5ee8ee86 2373#define I830_FENCE_MAX_SIZE_VAL (1 << 8)
de151cf6
JB
2374
2375#define I915_FENCE_START_MASK 0x0ff00000
0f973f27 2376#define I915_FENCE_SIZE_BITS(size) ((ffs((size) >> 20) - 1) << 8)
585fb111 2377
f0f59a00
VS
2378#define FENCE_REG_965_LO(i) _MMIO(0x03000 + (i) * 8)
2379#define FENCE_REG_965_HI(i) _MMIO(0x03000 + (i) * 8 + 4)
de151cf6
JB
2380#define I965_FENCE_PITCH_SHIFT 2
2381#define I965_FENCE_TILING_Y_SHIFT 1
5ee8ee86 2382#define I965_FENCE_REG_VALID (1 << 0)
8d7773a3 2383#define I965_FENCE_MAX_PITCH_VAL 0x0400
de151cf6 2384
f0f59a00
VS
2385#define FENCE_REG_GEN6_LO(i) _MMIO(0x100000 + (i) * 8)
2386#define FENCE_REG_GEN6_HI(i) _MMIO(0x100000 + (i) * 8 + 4)
eecf613a 2387#define GEN6_FENCE_PITCH_SHIFT 32
3a062478 2388#define GEN7_FENCE_MAX_PITCH_VAL 0x0800
4e901fdc 2389
2b6b3a09 2390
f691e2f4 2391/* control register for cpu gtt access */
f0f59a00 2392#define TILECTL _MMIO(0x101000)
f691e2f4 2393#define TILECTL_SWZCTL (1 << 0)
e3a29055 2394#define TILECTL_TLBPF (1 << 1)
f691e2f4
DV
2395#define TILECTL_TLB_PREFETCH_DIS (1 << 2)
2396#define TILECTL_BACKSNOOP_DIS (1 << 3)
2397
de151cf6
JB
2398/*
2399 * Instruction and interrupt control regs
2400 */
f0f59a00 2401#define PGTBL_CTL _MMIO(0x02020)
f1e1c212
VS
2402#define PGTBL_ADDRESS_LO_MASK 0xfffff000 /* bits [31:12] */
2403#define PGTBL_ADDRESS_HI_MASK 0x000000f0 /* bits [35:32] (gen4) */
f0f59a00 2404#define PGTBL_ER _MMIO(0x02024)
5ee8ee86
PZ
2405#define PRB0_BASE (0x2030 - 0x30)
2406#define PRB1_BASE (0x2040 - 0x30) /* 830,gen3 */
2407#define PRB2_BASE (0x2050 - 0x30) /* gen3 */
2408#define SRB0_BASE (0x2100 - 0x30) /* gen2 */
2409#define SRB1_BASE (0x2110 - 0x30) /* gen2 */
2410#define SRB2_BASE (0x2120 - 0x30) /* 830 */
2411#define SRB3_BASE (0x2130 - 0x30) /* 830 */
333e9fe9
DV
2412#define RENDER_RING_BASE 0x02000
2413#define BSD_RING_BASE 0x04000
2414#define GEN6_BSD_RING_BASE 0x12000
845f74a7 2415#define GEN8_BSD2_RING_BASE 0x1c000
5f79e7c6
OM
2416#define GEN11_BSD_RING_BASE 0x1c0000
2417#define GEN11_BSD2_RING_BASE 0x1c4000
2418#define GEN11_BSD3_RING_BASE 0x1d0000
2419#define GEN11_BSD4_RING_BASE 0x1d4000
1950de14 2420#define VEBOX_RING_BASE 0x1a000
5f79e7c6
OM
2421#define GEN11_VEBOX_RING_BASE 0x1c8000
2422#define GEN11_VEBOX2_RING_BASE 0x1d8000
549f7365 2423#define BLT_RING_BASE 0x22000
5ee8ee86
PZ
2424#define RING_TAIL(base) _MMIO((base) + 0x30)
2425#define RING_HEAD(base) _MMIO((base) + 0x34)
2426#define RING_START(base) _MMIO((base) + 0x38)
2427#define RING_CTL(base) _MMIO((base) + 0x3c)
62ae14b1 2428#define RING_CTL_SIZE(size) ((size) - PAGE_SIZE) /* in bytes -> pages */
5ee8ee86
PZ
2429#define RING_SYNC_0(base) _MMIO((base) + 0x40)
2430#define RING_SYNC_1(base) _MMIO((base) + 0x44)
2431#define RING_SYNC_2(base) _MMIO((base) + 0x48)
1950de14
BW
2432#define GEN6_RVSYNC (RING_SYNC_0(RENDER_RING_BASE))
2433#define GEN6_RBSYNC (RING_SYNC_1(RENDER_RING_BASE))
2434#define GEN6_RVESYNC (RING_SYNC_2(RENDER_RING_BASE))
2435#define GEN6_VBSYNC (RING_SYNC_0(GEN6_BSD_RING_BASE))
2436#define GEN6_VRSYNC (RING_SYNC_1(GEN6_BSD_RING_BASE))
2437#define GEN6_VVESYNC (RING_SYNC_2(GEN6_BSD_RING_BASE))
2438#define GEN6_BRSYNC (RING_SYNC_0(BLT_RING_BASE))
2439#define GEN6_BVSYNC (RING_SYNC_1(BLT_RING_BASE))
2440#define GEN6_BVESYNC (RING_SYNC_2(BLT_RING_BASE))
2441#define GEN6_VEBSYNC (RING_SYNC_0(VEBOX_RING_BASE))
2442#define GEN6_VERSYNC (RING_SYNC_1(VEBOX_RING_BASE))
2443#define GEN6_VEVSYNC (RING_SYNC_2(VEBOX_RING_BASE))
f0f59a00 2444#define GEN6_NOSYNC INVALID_MMIO_REG
5ee8ee86
PZ
2445#define RING_PSMI_CTL(base) _MMIO((base) + 0x50)
2446#define RING_MAX_IDLE(base) _MMIO((base) + 0x54)
2447#define RING_HWS_PGA(base) _MMIO((base) + 0x80)
2448#define RING_HWS_PGA_GEN6(base) _MMIO((base) + 0x2080)
2449#define RING_RESET_CTL(base) _MMIO((base) + 0xd0)
5ce5f61b
MK
2450#define RESET_CTL_CAT_ERROR REG_BIT(2)
2451#define RESET_CTL_READY_TO_RESET REG_BIT(1)
2452#define RESET_CTL_REQUEST_RESET REG_BIT(0)
2453
39e78234 2454#define RING_SEMA_WAIT_POLL(base) _MMIO((base) + 0x24c)
9e72b46c 2455
f0f59a00 2456#define HSW_GTT_CACHE_EN _MMIO(0x4024)
6d50b065 2457#define GTT_CACHE_EN_ALL 0xF0007FFF
f0f59a00
VS
2458#define GEN7_WR_WATERMARK _MMIO(0x4028)
2459#define GEN7_GFX_PRIO_CTRL _MMIO(0x402C)
2460#define ARB_MODE _MMIO(0x4030)
5ee8ee86
PZ
2461#define ARB_MODE_SWIZZLE_SNB (1 << 4)
2462#define ARB_MODE_SWIZZLE_IVB (1 << 5)
f0f59a00
VS
2463#define GEN7_GFX_PEND_TLB0 _MMIO(0x4034)
2464#define GEN7_GFX_PEND_TLB1 _MMIO(0x4038)
9e72b46c 2465/* L3, CVS, ZTLB, RCC, CASC LRA min, max values */
f0f59a00 2466#define GEN7_LRA_LIMITS(i) _MMIO(0x403C + (i) * 4)
9e72b46c 2467#define GEN7_LRA_LIMITS_REG_NUM 13
f0f59a00
VS
2468#define GEN7_MEDIA_MAX_REQ_COUNT _MMIO(0x4070)
2469#define GEN7_GFX_MAX_REQ_COUNT _MMIO(0x4074)
9e72b46c 2470
f0f59a00 2471#define GAMTARBMODE _MMIO(0x04a08)
5ee8ee86
PZ
2472#define ARB_MODE_BWGTLB_DISABLE (1 << 9)
2473#define ARB_MODE_SWIZZLE_BDW (1 << 1)
f0f59a00 2474#define RENDER_HWS_PGA_GEN7 _MMIO(0x04080)
5ee8ee86 2475#define RING_FAULT_REG(engine) _MMIO(0x4094 + 0x100 * (engine)->hw_id)
b03ec3d6
MT
2476#define GEN8_RING_FAULT_REG _MMIO(0x4094)
2477#define GEN8_RING_FAULT_ENGINE_ID(x) (((x) >> 12) & 0x7)
5ee8ee86 2478#define RING_FAULT_GTTSEL_MASK (1 << 11)
68d97538
VS
2479#define RING_FAULT_SRCID(x) (((x) >> 3) & 0xff)
2480#define RING_FAULT_FAULT_TYPE(x) (((x) >> 1) & 0x3)
5ee8ee86 2481#define RING_FAULT_VALID (1 << 0)
f0f59a00
VS
2482#define DONE_REG _MMIO(0x40b0)
2483#define GEN8_PRIVATE_PAT_LO _MMIO(0x40e0)
2484#define GEN8_PRIVATE_PAT_HI _MMIO(0x40e0 + 4)
5ee8ee86 2485#define GEN10_PAT_INDEX(index) _MMIO(0x40e0 + (index) * 4)
f0f59a00
VS
2486#define BSD_HWS_PGA_GEN7 _MMIO(0x04180)
2487#define BLT_HWS_PGA_GEN7 _MMIO(0x04280)
2488#define VEBOX_HWS_PGA_GEN7 _MMIO(0x04380)
5ee8ee86
PZ
2489#define RING_ACTHD(base) _MMIO((base) + 0x74)
2490#define RING_ACTHD_UDW(base) _MMIO((base) + 0x5c)
2491#define RING_NOPID(base) _MMIO((base) + 0x94)
2492#define RING_IMR(base) _MMIO((base) + 0xa8)
2493#define RING_HWSTAM(base) _MMIO((base) + 0x98)
2494#define RING_TIMESTAMP(base) _MMIO((base) + 0x358)
2495#define RING_TIMESTAMP_UDW(base) _MMIO((base) + 0x358 + 4)
585fb111
JB
2496#define TAIL_ADDR 0x001FFFF8
2497#define HEAD_WRAP_COUNT 0xFFE00000
2498#define HEAD_WRAP_ONE 0x00200000
2499#define HEAD_ADDR 0x001FFFFC
2500#define RING_NR_PAGES 0x001FF000
2501#define RING_REPORT_MASK 0x00000006
2502#define RING_REPORT_64K 0x00000002
2503#define RING_REPORT_128K 0x00000004
2504#define RING_NO_REPORT 0x00000000
2505#define RING_VALID_MASK 0x00000001
2506#define RING_VALID 0x00000001
2507#define RING_INVALID 0x00000000
5ee8ee86
PZ
2508#define RING_WAIT_I8XX (1 << 0) /* gen2, PRBx_HEAD */
2509#define RING_WAIT (1 << 11) /* gen3+, PRBx_CTL */
2510#define RING_WAIT_SEMAPHORE (1 << 10) /* gen6+ */
9e72b46c 2511
5ee8ee86 2512#define RING_FORCE_TO_NONPRIV(base, i) _MMIO(((base) + 0x4D0) + (i) * 4)
33136b06
AS
2513#define RING_MAX_NONPRIV_SLOTS 12
2514
f0f59a00 2515#define GEN7_TLB_RD_ADDR _MMIO(0x4700)
9e72b46c 2516
4ba9c1f7 2517#define GEN9_GAMT_ECO_REG_RW_IA _MMIO(0x4ab0)
5ee8ee86 2518#define GAMT_ECO_ENABLE_IN_PLACE_DECOMPRESS (1 << 18)
4ba9c1f7 2519
9a6330cf
MA
2520#define GEN8_GAMW_ECO_DEV_RW_IA _MMIO(0x4080)
2521#define GAMW_ECO_ENABLE_64K_IPS_FIELD 0xF
85f04aa5 2522#define GAMW_ECO_DEV_CTX_RELOAD_DISABLE (1 << 7)
9a6330cf 2523
c0b730d5 2524#define GAMT_CHKN_BIT_REG _MMIO(0x4ab8)
4ece66b1
OM
2525#define GAMT_CHKN_DISABLE_L3_COH_PIPE (1 << 31)
2526#define GAMT_CHKN_DISABLE_DYNAMIC_CREDIT_SHARING (1 << 28)
2527#define GAMT_CHKN_DISABLE_I2M_CYCLE_ON_WR_PORT (1 << 24)
c0b730d5 2528
8168bd48 2529#if 0
f0f59a00
VS
2530#define PRB0_TAIL _MMIO(0x2030)
2531#define PRB0_HEAD _MMIO(0x2034)
2532#define PRB0_START _MMIO(0x2038)
2533#define PRB0_CTL _MMIO(0x203c)
2534#define PRB1_TAIL _MMIO(0x2040) /* 915+ only */
2535#define PRB1_HEAD _MMIO(0x2044) /* 915+ only */
2536#define PRB1_START _MMIO(0x2048) /* 915+ only */
2537#define PRB1_CTL _MMIO(0x204c) /* 915+ only */
8168bd48 2538#endif
f0f59a00
VS
2539#define IPEIR_I965 _MMIO(0x2064)
2540#define IPEHR_I965 _MMIO(0x2068)
2541#define GEN7_SC_INSTDONE _MMIO(0x7100)
2542#define GEN7_SAMPLER_INSTDONE _MMIO(0xe160)
2543#define GEN7_ROW_INSTDONE _MMIO(0xe164)
f9e61372
BW
2544#define GEN8_MCR_SELECTOR _MMIO(0xfdc)
2545#define GEN8_MCR_SLICE(slice) (((slice) & 3) << 26)
2546#define GEN8_MCR_SLICE_MASK GEN8_MCR_SLICE(3)
2547#define GEN8_MCR_SUBSLICE(subslice) (((subslice) & 3) << 24)
2548#define GEN8_MCR_SUBSLICE_MASK GEN8_MCR_SUBSLICE(3)
d3d57927
KG
2549#define GEN11_MCR_SLICE(slice) (((slice) & 0xf) << 27)
2550#define GEN11_MCR_SLICE_MASK GEN11_MCR_SLICE(0xf)
2551#define GEN11_MCR_SUBSLICE(subslice) (((subslice) & 0x7) << 24)
2552#define GEN11_MCR_SUBSLICE_MASK GEN11_MCR_SUBSLICE(0x7)
5ee8ee86
PZ
2553#define RING_IPEIR(base) _MMIO((base) + 0x64)
2554#define RING_IPEHR(base) _MMIO((base) + 0x68)
f1d54348
ID
2555/*
2556 * On GEN4, only the render ring INSTDONE exists and has a different
2557 * layout than the GEN7+ version.
bd93a50e 2558 * The GEN2 counterpart of this register is GEN2_INSTDONE.
f1d54348 2559 */
5ee8ee86
PZ
2560#define RING_INSTDONE(base) _MMIO((base) + 0x6c)
2561#define RING_INSTPS(base) _MMIO((base) + 0x70)
2562#define RING_DMA_FADD(base) _MMIO((base) + 0x78)
2563#define RING_DMA_FADD_UDW(base) _MMIO((base) + 0x60) /* gen8+ */
2564#define RING_INSTPM(base) _MMIO((base) + 0xc0)
2565#define RING_MI_MODE(base) _MMIO((base) + 0x9c)
f0f59a00
VS
2566#define INSTPS _MMIO(0x2070) /* 965+ only */
2567#define GEN4_INSTDONE1 _MMIO(0x207c) /* 965+ only, aka INSTDONE_2 on SNB */
2568#define ACTHD_I965 _MMIO(0x2074)
2569#define HWS_PGA _MMIO(0x2080)
585fb111
JB
2570#define HWS_ADDRESS_MASK 0xfffff000
2571#define HWS_START_ADDRESS_SHIFT 4
f0f59a00 2572#define PWRCTXA _MMIO(0x2088) /* 965GM+ only */
5ee8ee86 2573#define PWRCTX_EN (1 << 0)
baba6e57
DCS
2574#define IPEIR(base) _MMIO((base) + 0x88)
2575#define IPEHR(base) _MMIO((base) + 0x8c)
f0f59a00
VS
2576#define GEN2_INSTDONE _MMIO(0x2090)
2577#define NOPID _MMIO(0x2094)
2578#define HWSTAM _MMIO(0x2098)
baba6e57 2579#define DMA_FADD_I8XX(base) _MMIO((base) + 0xd0)
5ee8ee86 2580#define RING_BBSTATE(base) _MMIO((base) + 0x110)
35dc3f97 2581#define RING_BB_PPGTT (1 << 5)
5ee8ee86
PZ
2582#define RING_SBBADDR(base) _MMIO((base) + 0x114) /* hsw+ */
2583#define RING_SBBSTATE(base) _MMIO((base) + 0x118) /* hsw+ */
2584#define RING_SBBADDR_UDW(base) _MMIO((base) + 0x11c) /* gen8+ */
2585#define RING_BBADDR(base) _MMIO((base) + 0x140)
2586#define RING_BBADDR_UDW(base) _MMIO((base) + 0x168) /* gen8+ */
2587#define RING_BB_PER_CTX_PTR(base) _MMIO((base) + 0x1c0) /* gen8+ */
2588#define RING_INDIRECT_CTX(base) _MMIO((base) + 0x1c4) /* gen8+ */
2589#define RING_INDIRECT_CTX_OFFSET(base) _MMIO((base) + 0x1c8) /* gen8+ */
2590#define RING_CTX_TIMESTAMP(base) _MMIO((base) + 0x3a8) /* gen8+ */
f0f59a00
VS
2591
2592#define ERROR_GEN6 _MMIO(0x40a0)
2593#define GEN7_ERR_INT _MMIO(0x44040)
5ee8ee86
PZ
2594#define ERR_INT_POISON (1 << 31)
2595#define ERR_INT_MMIO_UNCLAIMED (1 << 13)
2596#define ERR_INT_PIPE_CRC_DONE_C (1 << 8)
2597#define ERR_INT_FIFO_UNDERRUN_C (1 << 6)
2598#define ERR_INT_PIPE_CRC_DONE_B (1 << 5)
2599#define ERR_INT_FIFO_UNDERRUN_B (1 << 3)
2600#define ERR_INT_PIPE_CRC_DONE_A (1 << 2)
2601#define ERR_INT_PIPE_CRC_DONE(pipe) (1 << (2 + (pipe) * 3))
2602#define ERR_INT_FIFO_UNDERRUN_A (1 << 0)
2603#define ERR_INT_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
f406839f 2604
f0f59a00
VS
2605#define GEN8_FAULT_TLB_DATA0 _MMIO(0x4b10)
2606#define GEN8_FAULT_TLB_DATA1 _MMIO(0x4b14)
5a3f58df
OM
2607#define FAULT_VA_HIGH_BITS (0xf << 0)
2608#define FAULT_GTT_SEL (1 << 4)
6c826f34 2609
f0f59a00 2610#define FPGA_DBG _MMIO(0x42300)
5ee8ee86 2611#define FPGA_DBG_RM_NOCLAIM (1 << 31)
3f1e109a 2612
8ac3e1bb
MK
2613#define CLAIM_ER _MMIO(VLV_DISPLAY_BASE + 0x2028)
2614#define CLAIM_ER_CLR (1 << 31)
2615#define CLAIM_ER_OVERFLOW (1 << 16)
2616#define CLAIM_ER_CTR_MASK 0xffff
2617
f0f59a00 2618#define DERRMR _MMIO(0x44050)
4e0bbc31 2619/* Note that HBLANK events are reserved on bdw+ */
5ee8ee86
PZ
2620#define DERRMR_PIPEA_SCANLINE (1 << 0)
2621#define DERRMR_PIPEA_PRI_FLIP_DONE (1 << 1)
2622#define DERRMR_PIPEA_SPR_FLIP_DONE (1 << 2)
2623#define DERRMR_PIPEA_VBLANK (1 << 3)
2624#define DERRMR_PIPEA_HBLANK (1 << 5)
af7187b7 2625#define DERRMR_PIPEB_SCANLINE (1 << 8)
5ee8ee86
PZ
2626#define DERRMR_PIPEB_PRI_FLIP_DONE (1 << 9)
2627#define DERRMR_PIPEB_SPR_FLIP_DONE (1 << 10)
2628#define DERRMR_PIPEB_VBLANK (1 << 11)
2629#define DERRMR_PIPEB_HBLANK (1 << 13)
ffe74d75 2630/* Note that PIPEC is not a simple translation of PIPEA/PIPEB */
5ee8ee86
PZ
2631#define DERRMR_PIPEC_SCANLINE (1 << 14)
2632#define DERRMR_PIPEC_PRI_FLIP_DONE (1 << 15)
2633#define DERRMR_PIPEC_SPR_FLIP_DONE (1 << 20)
2634#define DERRMR_PIPEC_VBLANK (1 << 21)
2635#define DERRMR_PIPEC_HBLANK (1 << 22)
ffe74d75 2636
0f3b6849 2637
de6e2eaf
EA
2638/* GM45+ chicken bits -- debug workaround bits that may be required
2639 * for various sorts of correct behavior. The top 16 bits of each are
2640 * the enables for writing to the corresponding low bit.
2641 */
f0f59a00 2642#define _3D_CHICKEN _MMIO(0x2084)
4283908e 2643#define _3D_CHICKEN_HIZ_PLANE_DISABLE_MSAA_4X_SNB (1 << 10)
f0f59a00 2644#define _3D_CHICKEN2 _MMIO(0x208c)
b77422f8
KG
2645
2646#define FF_SLICE_CHICKEN _MMIO(0x2088)
2647#define FF_SLICE_CHICKEN_CL_PROVOKING_VERTEX_FIX (1 << 1)
2648
de6e2eaf
EA
2649/* Disables pipelining of read flushes past the SF-WIZ interface.
2650 * Required on all Ironlake steppings according to the B-Spec, but the
2651 * particular danger of not doing so is not specified.
2652 */
2653# define _3D_CHICKEN2_WM_READ_PIPELINED (1 << 14)
f0f59a00 2654#define _3D_CHICKEN3 _MMIO(0x2090)
b77422f8 2655#define _3D_CHICKEN_SF_PROVOKING_VERTEX_FIX (1 << 12)
87f8020e 2656#define _3D_CHICKEN_SF_DISABLE_OBJEND_CULL (1 << 10)
1a25db65 2657#define _3D_CHICKEN3_AA_LINE_QUALITY_FIX_ENABLE (1 << 5)
26b6e44a 2658#define _3D_CHICKEN3_SF_DISABLE_FASTCLIP_CULL (1 << 5)
5ee8ee86 2659#define _3D_CHICKEN_SDE_LIMIT_FIFO_POLY_DEPTH(x) ((x) << 1) /* gen8+ */
e927ecde 2660#define _3D_CHICKEN3_SF_DISABLE_PIPELINED_ATTR_FETCH (1 << 1) /* gen6 */
de6e2eaf 2661
f0f59a00 2662#define MI_MODE _MMIO(0x209c)
71cf39b1 2663# define VS_TIMER_DISPATCH (1 << 6)
fc74d8e0 2664# define MI_FLUSH_ENABLE (1 << 12)
1c8c38c5 2665# define ASYNC_FLIP_PERF_DISABLE (1 << 14)
e9fea574 2666# define MODE_IDLE (1 << 9)
9991ae78 2667# define STOP_RING (1 << 8)
71cf39b1 2668
f0f59a00
VS
2669#define GEN6_GT_MODE _MMIO(0x20d0)
2670#define GEN7_GT_MODE _MMIO(0x7008)
8d85d272
VS
2671#define GEN6_WIZ_HASHING(hi, lo) (((hi) << 9) | ((lo) << 7))
2672#define GEN6_WIZ_HASHING_8x8 GEN6_WIZ_HASHING(0, 0)
2673#define GEN6_WIZ_HASHING_8x4 GEN6_WIZ_HASHING(0, 1)
2674#define GEN6_WIZ_HASHING_16x4 GEN6_WIZ_HASHING(1, 0)
98533251 2675#define GEN6_WIZ_HASHING_MASK GEN6_WIZ_HASHING(1, 1)
6547fbdb 2676#define GEN6_TD_FOUR_ROW_DISPATCH_DISABLE (1 << 5)
68d97538
VS
2677#define GEN9_IZ_HASHING_MASK(slice) (0x3 << ((slice) * 2))
2678#define GEN9_IZ_HASHING(slice, val) ((val) << ((slice) * 2))
f8f2ac9a 2679
a8ab5ed5
TG
2680/* chicken reg for WaConextSwitchWithConcurrentTLBInvalidate */
2681#define GEN9_CSFE_CHICKEN1_RCS _MMIO(0x20D4)
2682#define GEN9_PREEMPT_GPGPU_SYNC_SWITCH_DISABLE (1 << 2)
622b3f68 2683#define GEN11_ENABLE_32_PLANE_MODE (1 << 7)
a8ab5ed5 2684
b1e429fe
TG
2685/* WaClearTdlStateAckDirtyBits */
2686#define GEN8_STATE_ACK _MMIO(0x20F0)
2687#define GEN9_STATE_ACK_SLICE1 _MMIO(0x20F8)
2688#define GEN9_STATE_ACK_SLICE2 _MMIO(0x2100)
2689#define GEN9_STATE_ACK_TDL0 (1 << 12)
2690#define GEN9_STATE_ACK_TDL1 (1 << 13)
2691#define GEN9_STATE_ACK_TDL2 (1 << 14)
2692#define GEN9_STATE_ACK_TDL3 (1 << 15)
2693#define GEN9_SUBSLICE_TDL_ACK_BITS \
2694 (GEN9_STATE_ACK_TDL3 | GEN9_STATE_ACK_TDL2 | \
2695 GEN9_STATE_ACK_TDL1 | GEN9_STATE_ACK_TDL0)
2696
f0f59a00
VS
2697#define GFX_MODE _MMIO(0x2520)
2698#define GFX_MODE_GEN7 _MMIO(0x229c)
5ee8ee86
PZ
2699#define RING_MODE_GEN7(engine) _MMIO((engine)->mmio_base + 0x29c)
2700#define GFX_RUN_LIST_ENABLE (1 << 15)
2701#define GFX_INTERRUPT_STEERING (1 << 14)
2702#define GFX_TLB_INVALIDATE_EXPLICIT (1 << 13)
2703#define GFX_SURFACE_FAULT_ENABLE (1 << 12)
2704#define GFX_REPLAY_MODE (1 << 11)
2705#define GFX_PSMI_GRANULARITY (1 << 10)
2706#define GFX_PPGTT_ENABLE (1 << 9)
2707#define GEN8_GFX_PPGTT_48B (1 << 7)
2708
2709#define GFX_FORWARD_VBLANK_MASK (3 << 5)
2710#define GFX_FORWARD_VBLANK_NEVER (0 << 5)
2711#define GFX_FORWARD_VBLANK_ALWAYS (1 << 5)
2712#define GFX_FORWARD_VBLANK_COND (2 << 5)
2713
2714#define GEN11_GFX_DISABLE_LEGACY_MODE (1 << 3)
225701fc 2715
f0f59a00
VS
2716#define VLV_GU_CTL0 _MMIO(VLV_DISPLAY_BASE + 0x2030)
2717#define VLV_GU_CTL1 _MMIO(VLV_DISPLAY_BASE + 0x2034)
2718#define SCPD0 _MMIO(0x209c) /* 915+ only */
9d9523d8
PZ
2719#define GEN2_IER _MMIO(0x20a0)
2720#define GEN2_IIR _MMIO(0x20a4)
2721#define GEN2_IMR _MMIO(0x20a8)
2722#define GEN2_ISR _MMIO(0x20ac)
f0f59a00 2723#define VLV_GUNIT_CLOCK_GATE _MMIO(VLV_DISPLAY_BASE + 0x2060)
5ee8ee86
PZ
2724#define GINT_DIS (1 << 22)
2725#define GCFG_DIS (1 << 8)
f0f59a00
VS
2726#define VLV_GUNIT_CLOCK_GATE2 _MMIO(VLV_DISPLAY_BASE + 0x2064)
2727#define VLV_IIR_RW _MMIO(VLV_DISPLAY_BASE + 0x2084)
2728#define VLV_IER _MMIO(VLV_DISPLAY_BASE + 0x20a0)
2729#define VLV_IIR _MMIO(VLV_DISPLAY_BASE + 0x20a4)
2730#define VLV_IMR _MMIO(VLV_DISPLAY_BASE + 0x20a8)
2731#define VLV_ISR _MMIO(VLV_DISPLAY_BASE + 0x20ac)
2732#define VLV_PCBR _MMIO(VLV_DISPLAY_BASE + 0x2120)
38807746
D
2733#define VLV_PCBR_ADDR_SHIFT 12
2734
5ee8ee86 2735#define DISPLAY_PLANE_FLIP_PENDING(plane) (1 << (11 - (plane))) /* A and B only */
f0f59a00
VS
2736#define EIR _MMIO(0x20b0)
2737#define EMR _MMIO(0x20b4)
2738#define ESR _MMIO(0x20b8)
5ee8ee86
PZ
2739#define GM45_ERROR_PAGE_TABLE (1 << 5)
2740#define GM45_ERROR_MEM_PRIV (1 << 4)
2741#define I915_ERROR_PAGE_TABLE (1 << 4)
2742#define GM45_ERROR_CP_PRIV (1 << 3)
2743#define I915_ERROR_MEMORY_REFRESH (1 << 1)
2744#define I915_ERROR_INSTRUCTION (1 << 0)
f0f59a00 2745#define INSTPM _MMIO(0x20c0)
5ee8ee86
PZ
2746#define INSTPM_SELF_EN (1 << 12) /* 915GM only */
2747#define INSTPM_AGPBUSY_INT_EN (1 << 11) /* gen3: when disabled, pending interrupts
8692d00e
CW
2748 will not assert AGPBUSY# and will only
2749 be delivered when out of C3. */
5ee8ee86
PZ
2750#define INSTPM_FORCE_ORDERING (1 << 7) /* GEN6+ */
2751#define INSTPM_TLB_INVALIDATE (1 << 9)
2752#define INSTPM_SYNC_FLUSH (1 << 5)
baba6e57 2753#define ACTHD(base) _MMIO((base) + 0xc8)
f0f59a00 2754#define MEM_MODE _MMIO(0x20cc)
5ee8ee86
PZ
2755#define MEM_DISPLAY_B_TRICKLE_FEED_DISABLE (1 << 3) /* 830 only */
2756#define MEM_DISPLAY_A_TRICKLE_FEED_DISABLE (1 << 2) /* 830/845 only */
2757#define MEM_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2) /* 85x only */
f0f59a00
VS
2758#define FW_BLC _MMIO(0x20d8)
2759#define FW_BLC2 _MMIO(0x20dc)
2760#define FW_BLC_SELF _MMIO(0x20e0) /* 915+ only */
5ee8ee86
PZ
2761#define FW_BLC_SELF_EN_MASK (1 << 31)
2762#define FW_BLC_SELF_FIFO_MASK (1 << 16) /* 945 only */
2763#define FW_BLC_SELF_EN (1 << 15) /* 945 only */
7662c8bd
SL
2764#define MM_BURST_LENGTH 0x00700000
2765#define MM_FIFO_WATERMARK 0x0001F000
2766#define LM_BURST_LENGTH 0x00000700
2767#define LM_FIFO_WATERMARK 0x0000001F
f0f59a00 2768#define MI_ARB_STATE _MMIO(0x20e4) /* 915+ only */
45503ded 2769
78005497
MK
2770#define MBUS_ABOX_CTL _MMIO(0x45038)
2771#define MBUS_ABOX_BW_CREDIT_MASK (3 << 20)
2772#define MBUS_ABOX_BW_CREDIT(x) ((x) << 20)
2773#define MBUS_ABOX_B_CREDIT_MASK (0xF << 16)
2774#define MBUS_ABOX_B_CREDIT(x) ((x) << 16)
2775#define MBUS_ABOX_BT_CREDIT_POOL2_MASK (0x1F << 8)
2776#define MBUS_ABOX_BT_CREDIT_POOL2(x) ((x) << 8)
2777#define MBUS_ABOX_BT_CREDIT_POOL1_MASK (0x1F << 0)
2778#define MBUS_ABOX_BT_CREDIT_POOL1(x) ((x) << 0)
2779
2780#define _PIPEA_MBUS_DBOX_CTL 0x7003C
2781#define _PIPEB_MBUS_DBOX_CTL 0x7103C
2782#define PIPE_MBUS_DBOX_CTL(pipe) _MMIO_PIPE(pipe, _PIPEA_MBUS_DBOX_CTL, \
2783 _PIPEB_MBUS_DBOX_CTL)
2784#define MBUS_DBOX_BW_CREDIT_MASK (3 << 14)
2785#define MBUS_DBOX_BW_CREDIT(x) ((x) << 14)
2786#define MBUS_DBOX_B_CREDIT_MASK (0x1F << 8)
2787#define MBUS_DBOX_B_CREDIT(x) ((x) << 8)
2788#define MBUS_DBOX_A_CREDIT_MASK (0xF << 0)
2789#define MBUS_DBOX_A_CREDIT(x) ((x) << 0)
2790
2791#define MBUS_UBOX_CTL _MMIO(0x4503C)
2792#define MBUS_BBOX_CTL_S1 _MMIO(0x45040)
2793#define MBUS_BBOX_CTL_S2 _MMIO(0x45044)
2794
45503ded
KP
2795/* Make render/texture TLB fetches lower priorty than associated data
2796 * fetches. This is not turned on by default
2797 */
2798#define MI_ARB_RENDER_TLB_LOW_PRIORITY (1 << 15)
2799
2800/* Isoch request wait on GTT enable (Display A/B/C streams).
2801 * Make isoch requests stall on the TLB update. May cause
2802 * display underruns (test mode only)
2803 */
2804#define MI_ARB_ISOCH_WAIT_GTT (1 << 14)
2805
2806/* Block grant count for isoch requests when block count is
2807 * set to a finite value.
2808 */
2809#define MI_ARB_BLOCK_GRANT_MASK (3 << 12)
2810#define MI_ARB_BLOCK_GRANT_8 (0 << 12) /* for 3 display planes */
2811#define MI_ARB_BLOCK_GRANT_4 (1 << 12) /* for 2 display planes */
2812#define MI_ARB_BLOCK_GRANT_2 (2 << 12) /* for 1 display plane */
2813#define MI_ARB_BLOCK_GRANT_0 (3 << 12) /* don't use */
2814
2815/* Enable render writes to complete in C2/C3/C4 power states.
2816 * If this isn't enabled, render writes are prevented in low
2817 * power states. That seems bad to me.
2818 */
2819#define MI_ARB_C3_LP_WRITE_ENABLE (1 << 11)
2820
2821/* This acknowledges an async flip immediately instead
2822 * of waiting for 2TLB fetches.
2823 */
2824#define MI_ARB_ASYNC_FLIP_ACK_IMMEDIATE (1 << 10)
2825
2826/* Enables non-sequential data reads through arbiter
2827 */
0206e353 2828#define MI_ARB_DUAL_DATA_PHASE_DISABLE (1 << 9)
45503ded
KP
2829
2830/* Disable FSB snooping of cacheable write cycles from binner/render
2831 * command stream
2832 */
2833#define MI_ARB_CACHE_SNOOP_DISABLE (1 << 8)
2834
2835/* Arbiter time slice for non-isoch streams */
2836#define MI_ARB_TIME_SLICE_MASK (7 << 5)
2837#define MI_ARB_TIME_SLICE_1 (0 << 5)
2838#define MI_ARB_TIME_SLICE_2 (1 << 5)
2839#define MI_ARB_TIME_SLICE_4 (2 << 5)
2840#define MI_ARB_TIME_SLICE_6 (3 << 5)
2841#define MI_ARB_TIME_SLICE_8 (4 << 5)
2842#define MI_ARB_TIME_SLICE_10 (5 << 5)
2843#define MI_ARB_TIME_SLICE_14 (6 << 5)
2844#define MI_ARB_TIME_SLICE_16 (7 << 5)
2845
2846/* Low priority grace period page size */
2847#define MI_ARB_LOW_PRIORITY_GRACE_4KB (0 << 4) /* default */
2848#define MI_ARB_LOW_PRIORITY_GRACE_8KB (1 << 4)
2849
2850/* Disable display A/B trickle feed */
2851#define MI_ARB_DISPLAY_TRICKLE_FEED_DISABLE (1 << 2)
2852
2853/* Set display plane priority */
2854#define MI_ARB_DISPLAY_PRIORITY_A_B (0 << 0) /* display A > display B */
2855#define MI_ARB_DISPLAY_PRIORITY_B_A (1 << 0) /* display B > display A */
2856
f0f59a00 2857#define MI_STATE _MMIO(0x20e4) /* gen2 only */
54e472ae
VS
2858#define MI_AGPBUSY_INT_EN (1 << 1) /* 85x only */
2859#define MI_AGPBUSY_830_MODE (1 << 0) /* 85x only */
2860
f0f59a00 2861#define CACHE_MODE_0 _MMIO(0x2120) /* 915+ only */
5ee8ee86
PZ
2862#define CM0_PIPELINED_RENDER_FLUSH_DISABLE (1 << 8)
2863#define CM0_IZ_OPT_DISABLE (1 << 6)
2864#define CM0_ZR_OPT_DISABLE (1 << 5)
2865#define CM0_STC_EVICT_DISABLE_LRA_SNB (1 << 5)
2866#define CM0_DEPTH_EVICT_DISABLE (1 << 4)
2867#define CM0_COLOR_EVICT_DISABLE (1 << 3)
2868#define CM0_DEPTH_WRITE_DISABLE (1 << 1)
2869#define CM0_RC_OP_FLUSH_DISABLE (1 << 0)
f0f59a00
VS
2870#define GFX_FLSH_CNTL _MMIO(0x2170) /* 915+ only */
2871#define GFX_FLSH_CNTL_GEN6 _MMIO(0x101008)
5ee8ee86 2872#define GFX_FLSH_CNTL_EN (1 << 0)
f0f59a00 2873#define ECOSKPD _MMIO(0x21d0)
5ee8ee86
PZ
2874#define ECO_GATING_CX_ONLY (1 << 3)
2875#define ECO_FLIP_DONE (1 << 0)
585fb111 2876
f0f59a00 2877#define CACHE_MODE_0_GEN7 _MMIO(0x7000) /* IVB+ */
5ee8ee86
PZ
2878#define RC_OP_FLUSH_ENABLE (1 << 0)
2879#define HIZ_RAW_STALL_OPT_DISABLE (1 << 2)
f0f59a00 2880#define CACHE_MODE_1 _MMIO(0x7004) /* IVB+ */
5ee8ee86
PZ
2881#define PIXEL_SUBSPAN_COLLECT_OPT_DISABLE (1 << 6)
2882#define GEN8_4x4_STC_OPTIMIZATION_DISABLE (1 << 6)
2883#define GEN9_PARTIAL_RESOLVE_IN_VC_DISABLE (1 << 1)
fb046853 2884
f0f59a00 2885#define GEN6_BLITTER_ECOSKPD _MMIO(0x221d0)
4efe0708 2886#define GEN6_BLITTER_LOCK_SHIFT 16
5ee8ee86 2887#define GEN6_BLITTER_FBC_NOTIFY (1 << 3)
4efe0708 2888
f0f59a00 2889#define GEN6_RC_SLEEP_PSMI_CONTROL _MMIO(0x2050)
2c550183 2890#define GEN6_PSMI_SLEEP_MSG_DISABLE (1 << 0)
295e8bb7 2891#define GEN8_RC_SEMA_IDLE_MSG_DISABLE (1 << 12)
5ee8ee86 2892#define GEN8_FF_DOP_CLOCK_GATE_DISABLE (1 << 10)
295e8bb7 2893
19f81df2
RB
2894#define GEN6_RCS_PWR_FSM _MMIO(0x22ac)
2895#define GEN9_RCS_FE_FSM2 _MMIO(0x22a4)
2896
0b904c89
TN
2897#define GEN10_CACHE_MODE_SS _MMIO(0xe420)
2898#define FLOAT_BLEND_OPTIMIZATION_ENABLE (1 << 4)
2899
693d11c3 2900/* Fuse readout registers for GT */
b8ec759e
LL
2901#define HSW_PAVP_FUSE1 _MMIO(0x911C)
2902#define HSW_F1_EU_DIS_SHIFT 16
2903#define HSW_F1_EU_DIS_MASK (0x3 << HSW_F1_EU_DIS_SHIFT)
2904#define HSW_F1_EU_DIS_10EUS 0
2905#define HSW_F1_EU_DIS_8EUS 1
2906#define HSW_F1_EU_DIS_6EUS 2
2907
f0f59a00 2908#define CHV_FUSE_GT _MMIO(VLV_DISPLAY_BASE + 0x2168)
c93043ae
JM
2909#define CHV_FGT_DISABLE_SS0 (1 << 10)
2910#define CHV_FGT_DISABLE_SS1 (1 << 11)
693d11c3
D
2911#define CHV_FGT_EU_DIS_SS0_R0_SHIFT 16
2912#define CHV_FGT_EU_DIS_SS0_R0_MASK (0xf << CHV_FGT_EU_DIS_SS0_R0_SHIFT)
2913#define CHV_FGT_EU_DIS_SS0_R1_SHIFT 20
2914#define CHV_FGT_EU_DIS_SS0_R1_MASK (0xf << CHV_FGT_EU_DIS_SS0_R1_SHIFT)
2915#define CHV_FGT_EU_DIS_SS1_R0_SHIFT 24
2916#define CHV_FGT_EU_DIS_SS1_R0_MASK (0xf << CHV_FGT_EU_DIS_SS1_R0_SHIFT)
2917#define CHV_FGT_EU_DIS_SS1_R1_SHIFT 28
2918#define CHV_FGT_EU_DIS_SS1_R1_MASK (0xf << CHV_FGT_EU_DIS_SS1_R1_SHIFT)
2919
f0f59a00 2920#define GEN8_FUSE2 _MMIO(0x9120)
91bedd34
ŁD
2921#define GEN8_F2_SS_DIS_SHIFT 21
2922#define GEN8_F2_SS_DIS_MASK (0x7 << GEN8_F2_SS_DIS_SHIFT)
3873218f
JM
2923#define GEN8_F2_S_ENA_SHIFT 25
2924#define GEN8_F2_S_ENA_MASK (0x7 << GEN8_F2_S_ENA_SHIFT)
2925
2926#define GEN9_F2_SS_DIS_SHIFT 20
2927#define GEN9_F2_SS_DIS_MASK (0xf << GEN9_F2_SS_DIS_SHIFT)
2928
4e9767bc
BW
2929#define GEN10_F2_S_ENA_SHIFT 22
2930#define GEN10_F2_S_ENA_MASK (0x3f << GEN10_F2_S_ENA_SHIFT)
2931#define GEN10_F2_SS_DIS_SHIFT 18
2932#define GEN10_F2_SS_DIS_MASK (0xf << GEN10_F2_SS_DIS_SHIFT)
2933
fe864b76
YZ
2934#define GEN10_MIRROR_FUSE3 _MMIO(0x9118)
2935#define GEN10_L3BANK_PAIR_COUNT 4
2936#define GEN10_L3BANK_MASK 0x0F
2937
f0f59a00 2938#define GEN8_EU_DISABLE0 _MMIO(0x9134)
91bedd34
ŁD
2939#define GEN8_EU_DIS0_S0_MASK 0xffffff
2940#define GEN8_EU_DIS0_S1_SHIFT 24
2941#define GEN8_EU_DIS0_S1_MASK (0xff << GEN8_EU_DIS0_S1_SHIFT)
2942
f0f59a00 2943#define GEN8_EU_DISABLE1 _MMIO(0x9138)
91bedd34
ŁD
2944#define GEN8_EU_DIS1_S1_MASK 0xffff
2945#define GEN8_EU_DIS1_S2_SHIFT 16
2946#define GEN8_EU_DIS1_S2_MASK (0xffff << GEN8_EU_DIS1_S2_SHIFT)
2947
f0f59a00 2948#define GEN8_EU_DISABLE2 _MMIO(0x913c)
91bedd34
ŁD
2949#define GEN8_EU_DIS2_S2_MASK 0xff
2950
5ee8ee86 2951#define GEN9_EU_DISABLE(slice) _MMIO(0x9134 + (slice) * 0x4)
3873218f 2952
4e9767bc
BW
2953#define GEN10_EU_DISABLE3 _MMIO(0x9140)
2954#define GEN10_EU_DIS_SS_MASK 0xff
2955
26376a7e
OM
2956#define GEN11_GT_VEBOX_VDBOX_DISABLE _MMIO(0x9140)
2957#define GEN11_GT_VDBOX_DISABLE_MASK 0xff
2958#define GEN11_GT_VEBOX_DISABLE_SHIFT 16
547fcf9b 2959#define GEN11_GT_VEBOX_DISABLE_MASK (0x0f << GEN11_GT_VEBOX_DISABLE_SHIFT)
26376a7e 2960
8b5eb5e2
KG
2961#define GEN11_EU_DISABLE _MMIO(0x9134)
2962#define GEN11_EU_DIS_MASK 0xFF
2963
2964#define GEN11_GT_SLICE_ENABLE _MMIO(0x9138)
2965#define GEN11_GT_S_ENA_MASK 0xFF
2966
2967#define GEN11_GT_SUBSLICE_DISABLE _MMIO(0x913C)
2968
f0f59a00 2969#define GEN6_BSD_SLEEP_PSMI_CONTROL _MMIO(0x12050)
12f55818
CW
2970#define GEN6_BSD_SLEEP_MSG_DISABLE (1 << 0)
2971#define GEN6_BSD_SLEEP_FLUSH_DISABLE (1 << 2)
2972#define GEN6_BSD_SLEEP_INDICATOR (1 << 3)
2973#define GEN6_BSD_GO_INDICATOR (1 << 4)
881f47b6 2974
cc609d5d
BW
2975/* On modern GEN architectures interrupt control consists of two sets
2976 * of registers. The first set pertains to the ring generating the
2977 * interrupt. The second control is for the functional block generating the
2978 * interrupt. These are PM, GT, DE, etc.
2979 *
2980 * Luckily *knocks on wood* all the ring interrupt bits match up with the
2981 * GT interrupt bits, so we don't need to duplicate the defines.
2982 *
2983 * These defines should cover us well from SNB->HSW with minor exceptions
2984 * it can also work on ILK.
2985 */
2986#define GT_BLT_FLUSHDW_NOTIFY_INTERRUPT (1 << 26)
2987#define GT_BLT_CS_ERROR_INTERRUPT (1 << 25)
2988#define GT_BLT_USER_INTERRUPT (1 << 22)
2989#define GT_BSD_CS_ERROR_INTERRUPT (1 << 15)
2990#define GT_BSD_USER_INTERRUPT (1 << 12)
35a85ac6 2991#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 (1 << 11) /* hsw+; rsvd on snb, ivb, vlv */
73d477f6 2992#define GT_CONTEXT_SWITCH_INTERRUPT (1 << 8)
cc609d5d
BW
2993#define GT_RENDER_L3_PARITY_ERROR_INTERRUPT (1 << 5) /* !snb */
2994#define GT_RENDER_PIPECTL_NOTIFY_INTERRUPT (1 << 4)
2995#define GT_RENDER_CS_MASTER_ERROR_INTERRUPT (1 << 3)
2996#define GT_RENDER_SYNC_STATUS_INTERRUPT (1 << 2)
2997#define GT_RENDER_DEBUG_INTERRUPT (1 << 1)
2998#define GT_RENDER_USER_INTERRUPT (1 << 0)
2999
12638c57
BW
3000#define PM_VEBOX_CS_ERROR_INTERRUPT (1 << 12) /* hsw+ */
3001#define PM_VEBOX_USER_INTERRUPT (1 << 10) /* hsw+ */
3002
772c2a51 3003#define GT_PARITY_ERROR(dev_priv) \
35a85ac6 3004 (GT_RENDER_L3_PARITY_ERROR_INTERRUPT | \
772c2a51 3005 (IS_HASWELL(dev_priv) ? GT_RENDER_L3_PARITY_ERROR_INTERRUPT_S1 : 0))
35a85ac6 3006
cc609d5d 3007/* These are all the "old" interrupts */
5ee8ee86
PZ
3008#define ILK_BSD_USER_INTERRUPT (1 << 5)
3009
3010#define I915_PM_INTERRUPT (1 << 31)
3011#define I915_ISP_INTERRUPT (1 << 22)
3012#define I915_LPE_PIPE_B_INTERRUPT (1 << 21)
3013#define I915_LPE_PIPE_A_INTERRUPT (1 << 20)
3014#define I915_MIPIC_INTERRUPT (1 << 19)
3015#define I915_MIPIA_INTERRUPT (1 << 18)
3016#define I915_PIPE_CONTROL_NOTIFY_INTERRUPT (1 << 18)
3017#define I915_DISPLAY_PORT_INTERRUPT (1 << 17)
3018#define I915_DISPLAY_PIPE_C_HBLANK_INTERRUPT (1 << 16)
3019#define I915_MASTER_ERROR_INTERRUPT (1 << 15)
5ee8ee86
PZ
3020#define I915_DISPLAY_PIPE_B_HBLANK_INTERRUPT (1 << 14)
3021#define I915_GMCH_THERMAL_SENSOR_EVENT_INTERRUPT (1 << 14) /* p-state */
3022#define I915_DISPLAY_PIPE_A_HBLANK_INTERRUPT (1 << 13)
3023#define I915_HWB_OOM_INTERRUPT (1 << 13)
3024#define I915_LPE_PIPE_C_INTERRUPT (1 << 12)
3025#define I915_SYNC_STATUS_INTERRUPT (1 << 12)
3026#define I915_MISC_INTERRUPT (1 << 11)
3027#define I915_DISPLAY_PLANE_A_FLIP_PENDING_INTERRUPT (1 << 11)
3028#define I915_DISPLAY_PIPE_C_VBLANK_INTERRUPT (1 << 10)
3029#define I915_DISPLAY_PLANE_B_FLIP_PENDING_INTERRUPT (1 << 10)
3030#define I915_DISPLAY_PIPE_C_EVENT_INTERRUPT (1 << 9)
3031#define I915_OVERLAY_PLANE_FLIP_PENDING_INTERRUPT (1 << 9)
3032#define I915_DISPLAY_PIPE_C_DPBM_INTERRUPT (1 << 8)
3033#define I915_DISPLAY_PLANE_C_FLIP_PENDING_INTERRUPT (1 << 8)
3034#define I915_DISPLAY_PIPE_A_VBLANK_INTERRUPT (1 << 7)
3035#define I915_DISPLAY_PIPE_A_EVENT_INTERRUPT (1 << 6)
3036#define I915_DISPLAY_PIPE_B_VBLANK_INTERRUPT (1 << 5)
3037#define I915_DISPLAY_PIPE_B_EVENT_INTERRUPT (1 << 4)
3038#define I915_DISPLAY_PIPE_A_DPBM_INTERRUPT (1 << 3)
3039#define I915_DISPLAY_PIPE_B_DPBM_INTERRUPT (1 << 2)
3040#define I915_DEBUG_INTERRUPT (1 << 2)
3041#define I915_WINVALID_INTERRUPT (1 << 1)
3042#define I915_USER_INTERRUPT (1 << 1)
3043#define I915_ASLE_INTERRUPT (1 << 0)
3044#define I915_BSD_USER_INTERRUPT (1 << 25)
881f47b6 3045
eef57324
JA
3046#define I915_HDMI_LPE_AUDIO_BASE (VLV_DISPLAY_BASE + 0x65000)
3047#define I915_HDMI_LPE_AUDIO_SIZE 0x1000
3048
d5d8c3a1 3049/* DisplayPort Audio w/ LPE */
9db13e5f
TI
3050#define VLV_AUD_CHICKEN_BIT_REG _MMIO(VLV_DISPLAY_BASE + 0x62F38)
3051#define VLV_CHICKEN_BIT_DBG_ENABLE (1 << 0)
3052
d5d8c3a1
PLB
3053#define _VLV_AUD_PORT_EN_B_DBG (VLV_DISPLAY_BASE + 0x62F20)
3054#define _VLV_AUD_PORT_EN_C_DBG (VLV_DISPLAY_BASE + 0x62F30)
3055#define _VLV_AUD_PORT_EN_D_DBG (VLV_DISPLAY_BASE + 0x62F34)
3056#define VLV_AUD_PORT_EN_DBG(port) _MMIO_PORT3((port) - PORT_B, \
3057 _VLV_AUD_PORT_EN_B_DBG, \
3058 _VLV_AUD_PORT_EN_C_DBG, \
3059 _VLV_AUD_PORT_EN_D_DBG)
3060#define VLV_AMP_MUTE (1 << 1)
3061
f0f59a00 3062#define GEN6_BSD_RNCID _MMIO(0x12198)
881f47b6 3063
f0f59a00 3064#define GEN7_FF_THREAD_MODE _MMIO(0x20a0)
a1e969e0 3065#define GEN7_FF_SCHED_MASK 0x0077070
ab57fff1 3066#define GEN8_FF_DS_REF_CNT_FFME (1 << 19)
5ee8ee86
PZ
3067#define GEN7_FF_TS_SCHED_HS1 (0x5 << 16)
3068#define GEN7_FF_TS_SCHED_HS0 (0x3 << 16)
3069#define GEN7_FF_TS_SCHED_LOAD_BALANCE (0x1 << 16)
3070#define GEN7_FF_TS_SCHED_HW (0x0 << 16) /* Default */
41c0b3a8 3071#define GEN7_FF_VS_REF_CNT_FFME (1 << 15)
5ee8ee86
PZ
3072#define GEN7_FF_VS_SCHED_HS1 (0x5 << 12)
3073#define GEN7_FF_VS_SCHED_HS0 (0x3 << 12)
3074#define GEN7_FF_VS_SCHED_LOAD_BALANCE (0x1 << 12) /* Default */
3075#define GEN7_FF_VS_SCHED_HW (0x0 << 12)
3076#define GEN7_FF_DS_SCHED_HS1 (0x5 << 4)
3077#define GEN7_FF_DS_SCHED_HS0 (0x3 << 4)
3078#define GEN7_FF_DS_SCHED_LOAD_BALANCE (0x1 << 4) /* Default */
3079#define GEN7_FF_DS_SCHED_HW (0x0 << 4)
a1e969e0 3080
585fb111
JB
3081/*
3082 * Framebuffer compression (915+ only)
3083 */
3084
f0f59a00
VS
3085#define FBC_CFB_BASE _MMIO(0x3200) /* 4k page aligned */
3086#define FBC_LL_BASE _MMIO(0x3204) /* 4k page aligned */
3087#define FBC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3088#define FBC_CTL_EN (1 << 31)
3089#define FBC_CTL_PERIODIC (1 << 30)
585fb111 3090#define FBC_CTL_INTERVAL_SHIFT (16)
5ee8ee86
PZ
3091#define FBC_CTL_UNCOMPRESSIBLE (1 << 14)
3092#define FBC_CTL_C3_IDLE (1 << 13)
585fb111 3093#define FBC_CTL_STRIDE_SHIFT (5)
82f34496 3094#define FBC_CTL_FENCENO_SHIFT (0)
f0f59a00 3095#define FBC_COMMAND _MMIO(0x320c)
5ee8ee86 3096#define FBC_CMD_COMPRESS (1 << 0)
f0f59a00 3097#define FBC_STATUS _MMIO(0x3210)
5ee8ee86
PZ
3098#define FBC_STAT_COMPRESSING (1 << 31)
3099#define FBC_STAT_COMPRESSED (1 << 30)
3100#define FBC_STAT_MODIFIED (1 << 29)
82f34496 3101#define FBC_STAT_CURRENT_LINE_SHIFT (0)
f0f59a00 3102#define FBC_CONTROL2 _MMIO(0x3214)
5ee8ee86
PZ
3103#define FBC_CTL_FENCE_DBL (0 << 4)
3104#define FBC_CTL_IDLE_IMM (0 << 2)
3105#define FBC_CTL_IDLE_FULL (1 << 2)
3106#define FBC_CTL_IDLE_LINE (2 << 2)
3107#define FBC_CTL_IDLE_DEBUG (3 << 2)
3108#define FBC_CTL_CPU_FENCE (1 << 1)
3109#define FBC_CTL_PLANE(plane) ((plane) << 0)
f0f59a00
VS
3110#define FBC_FENCE_OFF _MMIO(0x3218) /* BSpec typo has 321Bh */
3111#define FBC_TAG(i) _MMIO(0x3300 + (i) * 4)
585fb111
JB
3112
3113#define FBC_LL_SIZE (1536)
3114
44fff99f 3115#define FBC_LLC_READ_CTRL _MMIO(0x9044)
5ee8ee86 3116#define FBC_LLC_FULLY_OPEN (1 << 30)
44fff99f 3117
74dff282 3118/* Framebuffer compression for GM45+ */
f0f59a00
VS
3119#define DPFC_CB_BASE _MMIO(0x3200)
3120#define DPFC_CONTROL _MMIO(0x3208)
5ee8ee86
PZ
3121#define DPFC_CTL_EN (1 << 31)
3122#define DPFC_CTL_PLANE(plane) ((plane) << 30)
3123#define IVB_DPFC_CTL_PLANE(plane) ((plane) << 29)
3124#define DPFC_CTL_FENCE_EN (1 << 29)
3125#define IVB_DPFC_CTL_FENCE_EN (1 << 28)
3126#define DPFC_CTL_PERSISTENT_MODE (1 << 25)
3127#define DPFC_SR_EN (1 << 10)
3128#define DPFC_CTL_LIMIT_1X (0 << 6)
3129#define DPFC_CTL_LIMIT_2X (1 << 6)
3130#define DPFC_CTL_LIMIT_4X (2 << 6)
f0f59a00 3131#define DPFC_RECOMP_CTL _MMIO(0x320c)
5ee8ee86 3132#define DPFC_RECOMP_STALL_EN (1 << 27)
74dff282
JB
3133#define DPFC_RECOMP_STALL_WM_SHIFT (16)
3134#define DPFC_RECOMP_STALL_WM_MASK (0x07ff0000)
3135#define DPFC_RECOMP_TIMER_COUNT_SHIFT (0)
3136#define DPFC_RECOMP_TIMER_COUNT_MASK (0x0000003f)
f0f59a00 3137#define DPFC_STATUS _MMIO(0x3210)
74dff282
JB
3138#define DPFC_INVAL_SEG_SHIFT (16)
3139#define DPFC_INVAL_SEG_MASK (0x07ff0000)
3140#define DPFC_COMP_SEG_SHIFT (0)
3fd5d1ec 3141#define DPFC_COMP_SEG_MASK (0x000007ff)
f0f59a00
VS
3142#define DPFC_STATUS2 _MMIO(0x3214)
3143#define DPFC_FENCE_YOFF _MMIO(0x3218)
3144#define DPFC_CHICKEN _MMIO(0x3224)
5ee8ee86 3145#define DPFC_HT_MODIFY (1 << 31)
74dff282 3146
b52eb4dc 3147/* Framebuffer compression for Ironlake */
f0f59a00
VS
3148#define ILK_DPFC_CB_BASE _MMIO(0x43200)
3149#define ILK_DPFC_CONTROL _MMIO(0x43208)
5ee8ee86 3150#define FBC_CTL_FALSE_COLOR (1 << 10)
b52eb4dc
ZY
3151/* The bit 28-8 is reserved */
3152#define DPFC_RESERVED (0x1FFFFF00)
f0f59a00
VS
3153#define ILK_DPFC_RECOMP_CTL _MMIO(0x4320c)
3154#define ILK_DPFC_STATUS _MMIO(0x43210)
3fd5d1ec
VS
3155#define ILK_DPFC_COMP_SEG_MASK 0x7ff
3156#define IVB_FBC_STATUS2 _MMIO(0x43214)
3157#define IVB_FBC_COMP_SEG_MASK 0x7ff
3158#define BDW_FBC_COMP_SEG_MASK 0xfff
f0f59a00
VS
3159#define ILK_DPFC_FENCE_YOFF _MMIO(0x43218)
3160#define ILK_DPFC_CHICKEN _MMIO(0x43224)
5ee8ee86
PZ
3161#define ILK_DPFC_DISABLE_DUMMY0 (1 << 8)
3162#define ILK_DPFC_NUKE_ON_ANY_MODIFICATION (1 << 23)
f0f59a00 3163#define ILK_FBC_RT_BASE _MMIO(0x2128)
5ee8ee86
PZ
3164#define ILK_FBC_RT_VALID (1 << 0)
3165#define SNB_FBC_FRONT_BUFFER (1 << 1)
b52eb4dc 3166
f0f59a00 3167#define ILK_DISPLAY_CHICKEN1 _MMIO(0x42000)
5ee8ee86
PZ
3168#define ILK_FBCQ_DIS (1 << 22)
3169#define ILK_PABSTRETCH_DIS (1 << 21)
1398261a 3170
b52eb4dc 3171
9c04f015
YL
3172/*
3173 * Framebuffer compression for Sandybridge
3174 *
3175 * The following two registers are of type GTTMMADR
3176 */
f0f59a00 3177#define SNB_DPFC_CTL_SA _MMIO(0x100100)
5ee8ee86 3178#define SNB_CPU_FENCE_ENABLE (1 << 29)
f0f59a00 3179#define DPFC_CPU_FENCE_OFFSET _MMIO(0x100104)
9c04f015 3180
abe959c7 3181/* Framebuffer compression for Ivybridge */
f0f59a00 3182#define IVB_FBC_RT_BASE _MMIO(0x7020)
abe959c7 3183
f0f59a00 3184#define IPS_CTL _MMIO(0x43408)
42db64ef 3185#define IPS_ENABLE (1 << 31)
9c04f015 3186
f0f59a00 3187#define MSG_FBC_REND_STATE _MMIO(0x50380)
5ee8ee86
PZ
3188#define FBC_REND_NUKE (1 << 2)
3189#define FBC_REND_CACHE_CLEAN (1 << 1)
fd3da6c9 3190
585fb111
JB
3191/*
3192 * GPIO regs
3193 */
dce88879
LDM
3194#define GPIO(gpio) _MMIO(dev_priv->gpio_mmio_base + 0x5010 + \
3195 4 * (gpio))
3196
585fb111
JB
3197# define GPIO_CLOCK_DIR_MASK (1 << 0)
3198# define GPIO_CLOCK_DIR_IN (0 << 1)
3199# define GPIO_CLOCK_DIR_OUT (1 << 1)
3200# define GPIO_CLOCK_VAL_MASK (1 << 2)
3201# define GPIO_CLOCK_VAL_OUT (1 << 3)
3202# define GPIO_CLOCK_VAL_IN (1 << 4)
3203# define GPIO_CLOCK_PULLUP_DISABLE (1 << 5)
3204# define GPIO_DATA_DIR_MASK (1 << 8)
3205# define GPIO_DATA_DIR_IN (0 << 9)
3206# define GPIO_DATA_DIR_OUT (1 << 9)
3207# define GPIO_DATA_VAL_MASK (1 << 10)
3208# define GPIO_DATA_VAL_OUT (1 << 11)
3209# define GPIO_DATA_VAL_IN (1 << 12)
3210# define GPIO_DATA_PULLUP_DISABLE (1 << 13)
3211
f0f59a00 3212#define GMBUS0 _MMIO(dev_priv->gpio_mmio_base + 0x5100) /* clock/port select */
5ee8ee86
PZ
3213#define GMBUS_AKSV_SELECT (1 << 11)
3214#define GMBUS_RATE_100KHZ (0 << 8)
3215#define GMBUS_RATE_50KHZ (1 << 8)
3216#define GMBUS_RATE_400KHZ (2 << 8) /* reserved on Pineview */
3217#define GMBUS_RATE_1MHZ (3 << 8) /* reserved on Pineview */
3218#define GMBUS_HOLD_EXT (1 << 7) /* 300ns hold time, rsvd on Pineview */
d5dc0f43 3219#define GMBUS_BYTE_CNT_OVERRIDE (1 << 6)
988c7015
JN
3220#define GMBUS_PIN_DISABLED 0
3221#define GMBUS_PIN_SSC 1
3222#define GMBUS_PIN_VGADDC 2
3223#define GMBUS_PIN_PANEL 3
3224#define GMBUS_PIN_DPD_CHV 3 /* HDMID_CHV */
3225#define GMBUS_PIN_DPC 4 /* HDMIC */
3226#define GMBUS_PIN_DPB 5 /* SDVO, HDMIB */
3227#define GMBUS_PIN_DPD 6 /* HDMID */
3228#define GMBUS_PIN_RESERVED 7 /* 7 reserved */
3d02352c 3229#define GMBUS_PIN_1_BXT 1 /* BXT+ (atom) and CNP+ (big core) */
4c272834
JN
3230#define GMBUS_PIN_2_BXT 2
3231#define GMBUS_PIN_3_BXT 3
3d02352c 3232#define GMBUS_PIN_4_CNP 4
5c749c52
AS
3233#define GMBUS_PIN_9_TC1_ICP 9
3234#define GMBUS_PIN_10_TC2_ICP 10
3235#define GMBUS_PIN_11_TC3_ICP 11
3236#define GMBUS_PIN_12_TC4_ICP 12
3237
3238#define GMBUS_NUM_PINS 13 /* including 0 */
f0f59a00 3239#define GMBUS1 _MMIO(dev_priv->gpio_mmio_base + 0x5104) /* command/status */
5ee8ee86
PZ
3240#define GMBUS_SW_CLR_INT (1 << 31)
3241#define GMBUS_SW_RDY (1 << 30)
3242#define GMBUS_ENT (1 << 29) /* enable timeout */
3243#define GMBUS_CYCLE_NONE (0 << 25)
3244#define GMBUS_CYCLE_WAIT (1 << 25)
3245#define GMBUS_CYCLE_INDEX (2 << 25)
3246#define GMBUS_CYCLE_STOP (4 << 25)
f899fc64 3247#define GMBUS_BYTE_COUNT_SHIFT 16
9535c475 3248#define GMBUS_BYTE_COUNT_MAX 256U
73675cf6 3249#define GEN9_GMBUS_BYTE_COUNT_MAX 511U
f899fc64
CW
3250#define GMBUS_SLAVE_INDEX_SHIFT 8
3251#define GMBUS_SLAVE_ADDR_SHIFT 1
5ee8ee86
PZ
3252#define GMBUS_SLAVE_READ (1 << 0)
3253#define GMBUS_SLAVE_WRITE (0 << 0)
f0f59a00 3254#define GMBUS2 _MMIO(dev_priv->gpio_mmio_base + 0x5108) /* status */
5ee8ee86
PZ
3255#define GMBUS_INUSE (1 << 15)
3256#define GMBUS_HW_WAIT_PHASE (1 << 14)
3257#define GMBUS_STALL_TIMEOUT (1 << 13)
3258#define GMBUS_INT (1 << 12)
3259#define GMBUS_HW_RDY (1 << 11)
3260#define GMBUS_SATOER (1 << 10)
3261#define GMBUS_ACTIVE (1 << 9)
f0f59a00
VS
3262#define GMBUS3 _MMIO(dev_priv->gpio_mmio_base + 0x510c) /* data buffer bytes 3-0 */
3263#define GMBUS4 _MMIO(dev_priv->gpio_mmio_base + 0x5110) /* interrupt mask (Pineview+) */
5ee8ee86
PZ
3264#define GMBUS_SLAVE_TIMEOUT_EN (1 << 4)
3265#define GMBUS_NAK_EN (1 << 3)
3266#define GMBUS_IDLE_EN (1 << 2)
3267#define GMBUS_HW_WAIT_EN (1 << 1)
3268#define GMBUS_HW_RDY_EN (1 << 0)
f0f59a00 3269#define GMBUS5 _MMIO(dev_priv->gpio_mmio_base + 0x5120) /* byte index */
5ee8ee86 3270#define GMBUS_2BYTE_INDEX_EN (1 << 31)
f0217c42 3271
585fb111
JB
3272/*
3273 * Clock control & power management
3274 */
ed5eb1b7
JN
3275#define _DPLL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x6014)
3276#define _DPLL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x6018)
3277#define _CHV_DPLL_C (DISPLAY_MMIO_BASE(dev_priv) + 0x6030)
f0f59a00 3278#define DPLL(pipe) _MMIO_PIPE3((pipe), _DPLL_A, _DPLL_B, _CHV_DPLL_C)
585fb111 3279
f0f59a00
VS
3280#define VGA0 _MMIO(0x6000)
3281#define VGA1 _MMIO(0x6004)
3282#define VGA_PD _MMIO(0x6010)
585fb111
JB
3283#define VGA0_PD_P2_DIV_4 (1 << 7)
3284#define VGA0_PD_P1_DIV_2 (1 << 5)
3285#define VGA0_PD_P1_SHIFT 0
3286#define VGA0_PD_P1_MASK (0x1f << 0)
3287#define VGA1_PD_P2_DIV_4 (1 << 15)
3288#define VGA1_PD_P1_DIV_2 (1 << 13)
3289#define VGA1_PD_P1_SHIFT 8
3290#define VGA1_PD_P1_MASK (0x1f << 8)
585fb111 3291#define DPLL_VCO_ENABLE (1 << 31)
4a33e48d
DV
3292#define DPLL_SDVO_HIGH_SPEED (1 << 30)
3293#define DPLL_DVO_2X_MODE (1 << 30)
25eb05fc 3294#define DPLL_EXT_BUFFER_ENABLE_VLV (1 << 30)
585fb111 3295#define DPLL_SYNCLOCK_ENABLE (1 << 29)
60bfe44f 3296#define DPLL_REF_CLK_ENABLE_VLV (1 << 29)
585fb111
JB
3297#define DPLL_VGA_MODE_DIS (1 << 28)
3298#define DPLLB_MODE_DAC_SERIAL (1 << 26) /* i915 */
3299#define DPLLB_MODE_LVDS (2 << 26) /* i915 */
3300#define DPLL_MODE_MASK (3 << 26)
3301#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_10 (0 << 24) /* i915 */
3302#define DPLL_DAC_SERIAL_P2_CLOCK_DIV_5 (1 << 24) /* i915 */
3303#define DPLLB_LVDS_P2_CLOCK_DIV_14 (0 << 24) /* i915 */
3304#define DPLLB_LVDS_P2_CLOCK_DIV_7 (1 << 24) /* i915 */
3305#define DPLL_P2_CLOCK_DIV_MASK 0x03000000 /* i915 */
3306#define DPLL_FPA01_P1_POST_DIV_MASK 0x00ff0000 /* i915 */
f2b115e6 3307#define DPLL_FPA01_P1_POST_DIV_MASK_PINEVIEW 0x00ff8000 /* Pineview */
5ee8ee86
PZ
3308#define DPLL_LOCK_VLV (1 << 15)
3309#define DPLL_INTEGRATED_CRI_CLK_VLV (1 << 14)
3310#define DPLL_INTEGRATED_REF_CLK_VLV (1 << 13)
3311#define DPLL_SSC_REF_CLK_CHV (1 << 13)
598fac6b
DV
3312#define DPLL_PORTC_READY_MASK (0xf << 4)
3313#define DPLL_PORTB_READY_MASK (0xf)
585fb111 3314
585fb111 3315#define DPLL_FPA01_P1_POST_DIV_MASK_I830 0x001f0000
00fc31b7
CML
3316
3317/* Additional CHV pll/phy registers */
f0f59a00 3318#define DPIO_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x6240)
00fc31b7 3319#define DPLL_PORTD_READY_MASK (0xf)
f0f59a00 3320#define DISPLAY_PHY_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x60100)
5ee8ee86 3321#define PHY_CH_POWER_DOWN_OVRD_EN(phy, ch) (1 << (2 * (phy) + (ch) + 27))
bc284542
VS
3322#define PHY_LDO_DELAY_0NS 0x0
3323#define PHY_LDO_DELAY_200NS 0x1
3324#define PHY_LDO_DELAY_600NS 0x2
5ee8ee86
PZ
3325#define PHY_LDO_SEQ_DELAY(delay, phy) ((delay) << (2 * (phy) + 23))
3326#define PHY_CH_POWER_DOWN_OVRD(mask, phy, ch) ((mask) << (8 * (phy) + 4 * (ch) + 11))
70722468
VS
3327#define PHY_CH_SU_PSR 0x1
3328#define PHY_CH_DEEP_PSR 0x7
5ee8ee86 3329#define PHY_CH_POWER_MODE(mode, phy, ch) ((mode) << (6 * (phy) + 3 * (ch) + 2))
70722468 3330#define PHY_COM_LANE_RESET_DEASSERT(phy) (1 << (phy))
f0f59a00 3331#define DISPLAY_PHY_STATUS _MMIO(VLV_DISPLAY_BASE + 0x60104)
5ee8ee86
PZ
3332#define PHY_POWERGOOD(phy) (((phy) == DPIO_PHY0) ? (1 << 31) : (1 << 30))
3333#define PHY_STATUS_CMN_LDO(phy, ch) (1 << (6 - (6 * (phy) + 3 * (ch))))
3334#define PHY_STATUS_SPLINE_LDO(phy, ch, spline) (1 << (8 - (6 * (phy) + 3 * (ch) + (spline))))
076ed3b2 3335
585fb111
JB
3336/*
3337 * The i830 generation, in LVDS mode, defines P1 as the bit number set within
3338 * this field (only one bit may be set).
3339 */
3340#define DPLL_FPA01_P1_POST_DIV_MASK_I830_LVDS 0x003f0000
3341#define DPLL_FPA01_P1_POST_DIV_SHIFT 16
f2b115e6 3342#define DPLL_FPA01_P1_POST_DIV_SHIFT_PINEVIEW 15
585fb111
JB
3343/* i830, required in DVO non-gang */
3344#define PLL_P2_DIVIDE_BY_4 (1 << 23)
3345#define PLL_P1_DIVIDE_BY_TWO (1 << 21) /* i830 */
3346#define PLL_REF_INPUT_DREFCLK (0 << 13)
3347#define PLL_REF_INPUT_TVCLKINA (1 << 13) /* i830 */
3348#define PLL_REF_INPUT_TVCLKINBC (2 << 13) /* SDVO TVCLKIN */
3349#define PLLB_REF_INPUT_SPREADSPECTRUMIN (3 << 13)
3350#define PLL_REF_INPUT_MASK (3 << 13)
3351#define PLL_LOAD_PULSE_PHASE_SHIFT 9
f2b115e6 3352/* Ironlake */
b9055052
ZW
3353# define PLL_REF_SDVO_HDMI_MULTIPLIER_SHIFT 9
3354# define PLL_REF_SDVO_HDMI_MULTIPLIER_MASK (7 << 9)
5ee8ee86 3355# define PLL_REF_SDVO_HDMI_MULTIPLIER(x) (((x) - 1) << 9)
b9055052
ZW
3356# define DPLL_FPA1_P1_POST_DIV_SHIFT 0
3357# define DPLL_FPA1_P1_POST_DIV_MASK 0xff
3358
585fb111
JB
3359/*
3360 * Parallel to Serial Load Pulse phase selection.
3361 * Selects the phase for the 10X DPLL clock for the PCIe
3362 * digital display port. The range is 4 to 13; 10 or more
3363 * is just a flip delay. The default is 6
3364 */
3365#define PLL_LOAD_PULSE_PHASE_MASK (0xf << PLL_LOAD_PULSE_PHASE_SHIFT)
3366#define DISPLAY_RATE_SELECT_FPA1 (1 << 8)
3367/*
3368 * SDVO multiplier for 945G/GM. Not used on 965.
3369 */
3370#define SDVO_MULTIPLIER_MASK 0x000000ff
3371#define SDVO_MULTIPLIER_SHIFT_HIRES 4
3372#define SDVO_MULTIPLIER_SHIFT_VGA 0
a57c774a 3373
ed5eb1b7
JN
3374#define _DPLL_A_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x601c)
3375#define _DPLL_B_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x6020)
3376#define _CHV_DPLL_C_MD (DISPLAY_MMIO_BASE(dev_priv) + 0x603c)
f0f59a00 3377#define DPLL_MD(pipe) _MMIO_PIPE3((pipe), _DPLL_A_MD, _DPLL_B_MD, _CHV_DPLL_C_MD)
a57c774a 3378
585fb111
JB
3379/*
3380 * UDI pixel divider, controlling how many pixels are stuffed into a packet.
3381 *
3382 * Value is pixels minus 1. Must be set to 1 pixel for SDVO.
3383 */
3384#define DPLL_MD_UDI_DIVIDER_MASK 0x3f000000
3385#define DPLL_MD_UDI_DIVIDER_SHIFT 24
3386/* UDI pixel divider for VGA, same as DPLL_MD_UDI_DIVIDER_MASK. */
3387#define DPLL_MD_VGA_UDI_DIVIDER_MASK 0x003f0000
3388#define DPLL_MD_VGA_UDI_DIVIDER_SHIFT 16
3389/*
3390 * SDVO/UDI pixel multiplier.
3391 *
3392 * SDVO requires that the bus clock rate be between 1 and 2 Ghz, and the bus
3393 * clock rate is 10 times the DPLL clock. At low resolution/refresh rate
3394 * modes, the bus rate would be below the limits, so SDVO allows for stuffing
3395 * dummy bytes in the datastream at an increased clock rate, with both sides of
3396 * the link knowing how many bytes are fill.
3397 *
3398 * So, for a mode with a dotclock of 65Mhz, we would want to double the clock
3399 * rate to 130Mhz to get a bus rate of 1.30Ghz. The DPLL clock rate would be
3400 * set to 130Mhz, and the SDVO multiplier set to 2x in this register and
3401 * through an SDVO command.
3402 *
3403 * This register field has values of multiplication factor minus 1, with
3404 * a maximum multiplier of 5 for SDVO.
3405 */
3406#define DPLL_MD_UDI_MULTIPLIER_MASK 0x00003f00
3407#define DPLL_MD_UDI_MULTIPLIER_SHIFT 8
3408/*
3409 * SDVO/UDI pixel multiplier for VGA, same as DPLL_MD_UDI_MULTIPLIER_MASK.
3410 * This best be set to the default value (3) or the CRT won't work. No,
3411 * I don't entirely understand what this does...
3412 */
3413#define DPLL_MD_VGA_UDI_MULTIPLIER_MASK 0x0000003f
3414#define DPLL_MD_VGA_UDI_MULTIPLIER_SHIFT 0
25eb05fc 3415
19ab4ed3
VS
3416#define RAWCLK_FREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6024)
3417
f0f59a00
VS
3418#define _FPA0 0x6040
3419#define _FPA1 0x6044
3420#define _FPB0 0x6048
3421#define _FPB1 0x604c
3422#define FP0(pipe) _MMIO_PIPE(pipe, _FPA0, _FPB0)
3423#define FP1(pipe) _MMIO_PIPE(pipe, _FPA1, _FPB1)
585fb111 3424#define FP_N_DIV_MASK 0x003f0000
f2b115e6 3425#define FP_N_PINEVIEW_DIV_MASK 0x00ff0000
585fb111
JB
3426#define FP_N_DIV_SHIFT 16
3427#define FP_M1_DIV_MASK 0x00003f00
3428#define FP_M1_DIV_SHIFT 8
3429#define FP_M2_DIV_MASK 0x0000003f
f2b115e6 3430#define FP_M2_PINEVIEW_DIV_MASK 0x000000ff
585fb111 3431#define FP_M2_DIV_SHIFT 0
f0f59a00 3432#define DPLL_TEST _MMIO(0x606c)
585fb111
JB
3433#define DPLLB_TEST_SDVO_DIV_1 (0 << 22)
3434#define DPLLB_TEST_SDVO_DIV_2 (1 << 22)
3435#define DPLLB_TEST_SDVO_DIV_4 (2 << 22)
3436#define DPLLB_TEST_SDVO_DIV_MASK (3 << 22)
3437#define DPLLB_TEST_N_BYPASS (1 << 19)
3438#define DPLLB_TEST_M_BYPASS (1 << 18)
3439#define DPLLB_INPUT_BUFFER_ENABLE (1 << 16)
3440#define DPLLA_TEST_N_BYPASS (1 << 3)
3441#define DPLLA_TEST_M_BYPASS (1 << 2)
3442#define DPLLA_INPUT_BUFFER_ENABLE (1 << 0)
f0f59a00 3443#define D_STATE _MMIO(0x6104)
5ee8ee86
PZ
3444#define DSTATE_GFX_RESET_I830 (1 << 6)
3445#define DSTATE_PLL_D3_OFF (1 << 3)
3446#define DSTATE_GFX_CLOCK_GATING (1 << 1)
3447#define DSTATE_DOT_CLOCK_GATING (1 << 0)
ed5eb1b7 3448#define DSPCLK_GATE_D _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x6200)
652c393a
JB
3449# define DPUNIT_B_CLOCK_GATE_DISABLE (1 << 30) /* 965 */
3450# define VSUNIT_CLOCK_GATE_DISABLE (1 << 29) /* 965 */
3451# define VRHUNIT_CLOCK_GATE_DISABLE (1 << 28) /* 965 */
3452# define VRDUNIT_CLOCK_GATE_DISABLE (1 << 27) /* 965 */
3453# define AUDUNIT_CLOCK_GATE_DISABLE (1 << 26) /* 965 */
3454# define DPUNIT_A_CLOCK_GATE_DISABLE (1 << 25) /* 965 */
3455# define DPCUNIT_CLOCK_GATE_DISABLE (1 << 24) /* 965 */
ad8059cf 3456# define PNV_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 24) /* pnv */
652c393a
JB
3457# define TVRUNIT_CLOCK_GATE_DISABLE (1 << 23) /* 915-945 */
3458# define TVCUNIT_CLOCK_GATE_DISABLE (1 << 22) /* 915-945 */
3459# define TVFUNIT_CLOCK_GATE_DISABLE (1 << 21) /* 915-945 */
3460# define TVEUNIT_CLOCK_GATE_DISABLE (1 << 20) /* 915-945 */
3461# define DVSUNIT_CLOCK_GATE_DISABLE (1 << 19) /* 915-945 */
3462# define DSSUNIT_CLOCK_GATE_DISABLE (1 << 18) /* 915-945 */
3463# define DDBUNIT_CLOCK_GATE_DISABLE (1 << 17) /* 915-945 */
3464# define DPRUNIT_CLOCK_GATE_DISABLE (1 << 16) /* 915-945 */
3465# define DPFUNIT_CLOCK_GATE_DISABLE (1 << 15) /* 915-945 */
3466# define DPBMUNIT_CLOCK_GATE_DISABLE (1 << 14) /* 915-945 */
3467# define DPLSUNIT_CLOCK_GATE_DISABLE (1 << 13) /* 915-945 */
3468# define DPLUNIT_CLOCK_GATE_DISABLE (1 << 12) /* 915-945 */
3469# define DPOUNIT_CLOCK_GATE_DISABLE (1 << 11)
3470# define DPBUNIT_CLOCK_GATE_DISABLE (1 << 10)
3471# define DCUNIT_CLOCK_GATE_DISABLE (1 << 9)
3472# define DPUNIT_CLOCK_GATE_DISABLE (1 << 8)
3473# define VRUNIT_CLOCK_GATE_DISABLE (1 << 7) /* 915+: reserved */
3474# define OVHUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 830-865 */
3475# define DPIOUNIT_CLOCK_GATE_DISABLE (1 << 6) /* 915-945 */
3476# define OVFUNIT_CLOCK_GATE_DISABLE (1 << 5)
3477# define OVBUNIT_CLOCK_GATE_DISABLE (1 << 4)
646b4269 3478/*
652c393a
JB
3479 * This bit must be set on the 830 to prevent hangs when turning off the
3480 * overlay scaler.
3481 */
3482# define OVRUNIT_CLOCK_GATE_DISABLE (1 << 3)
3483# define OVCUNIT_CLOCK_GATE_DISABLE (1 << 2)
3484# define OVUUNIT_CLOCK_GATE_DISABLE (1 << 1)
3485# define ZVUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 830 */
3486# define OVLUNIT_CLOCK_GATE_DISABLE (1 << 0) /* 845,865 */
3487
f0f59a00 3488#define RENCLK_GATE_D1 _MMIO(0x6204)
652c393a
JB
3489# define BLITTER_CLOCK_GATE_DISABLE (1 << 13) /* 945GM only */
3490# define MPEG_CLOCK_GATE_DISABLE (1 << 12) /* 945GM only */
3491# define PC_FE_CLOCK_GATE_DISABLE (1 << 11)
3492# define PC_BE_CLOCK_GATE_DISABLE (1 << 10)
3493# define WINDOWER_CLOCK_GATE_DISABLE (1 << 9)
3494# define INTERPOLATOR_CLOCK_GATE_DISABLE (1 << 8)
3495# define COLOR_CALCULATOR_CLOCK_GATE_DISABLE (1 << 7)
3496# define MOTION_COMP_CLOCK_GATE_DISABLE (1 << 6)
3497# define MAG_CLOCK_GATE_DISABLE (1 << 5)
646b4269 3498/* This bit must be unset on 855,865 */
652c393a
JB
3499# define MECI_CLOCK_GATE_DISABLE (1 << 4)
3500# define DCMP_CLOCK_GATE_DISABLE (1 << 3)
3501# define MEC_CLOCK_GATE_DISABLE (1 << 2)
3502# define MECO_CLOCK_GATE_DISABLE (1 << 1)
646b4269 3503/* This bit must be set on 855,865. */
652c393a
JB
3504# define SV_CLOCK_GATE_DISABLE (1 << 0)
3505# define I915_MPEG_CLOCK_GATE_DISABLE (1 << 16)
3506# define I915_VLD_IP_PR_CLOCK_GATE_DISABLE (1 << 15)
3507# define I915_MOTION_COMP_CLOCK_GATE_DISABLE (1 << 14)
3508# define I915_BD_BF_CLOCK_GATE_DISABLE (1 << 13)
3509# define I915_SF_SE_CLOCK_GATE_DISABLE (1 << 12)
3510# define I915_WM_CLOCK_GATE_DISABLE (1 << 11)
3511# define I915_IZ_CLOCK_GATE_DISABLE (1 << 10)
3512# define I915_PI_CLOCK_GATE_DISABLE (1 << 9)
3513# define I915_DI_CLOCK_GATE_DISABLE (1 << 8)
3514# define I915_SH_SV_CLOCK_GATE_DISABLE (1 << 7)
3515# define I915_PL_DG_QC_FT_CLOCK_GATE_DISABLE (1 << 6)
3516# define I915_SC_CLOCK_GATE_DISABLE (1 << 5)
3517# define I915_FL_CLOCK_GATE_DISABLE (1 << 4)
3518# define I915_DM_CLOCK_GATE_DISABLE (1 << 3)
3519# define I915_PS_CLOCK_GATE_DISABLE (1 << 2)
3520# define I915_CC_CLOCK_GATE_DISABLE (1 << 1)
3521# define I915_BY_CLOCK_GATE_DISABLE (1 << 0)
3522
3523# define I965_RCZ_CLOCK_GATE_DISABLE (1 << 30)
646b4269 3524/* This bit must always be set on 965G/965GM */
652c393a
JB
3525# define I965_RCC_CLOCK_GATE_DISABLE (1 << 29)
3526# define I965_RCPB_CLOCK_GATE_DISABLE (1 << 28)
3527# define I965_DAP_CLOCK_GATE_DISABLE (1 << 27)
3528# define I965_ROC_CLOCK_GATE_DISABLE (1 << 26)
3529# define I965_GW_CLOCK_GATE_DISABLE (1 << 25)
3530# define I965_TD_CLOCK_GATE_DISABLE (1 << 24)
646b4269 3531/* This bit must always be set on 965G */
652c393a
JB
3532# define I965_ISC_CLOCK_GATE_DISABLE (1 << 23)
3533# define I965_IC_CLOCK_GATE_DISABLE (1 << 22)
3534# define I965_EU_CLOCK_GATE_DISABLE (1 << 21)
3535# define I965_IF_CLOCK_GATE_DISABLE (1 << 20)
3536# define I965_TC_CLOCK_GATE_DISABLE (1 << 19)
3537# define I965_SO_CLOCK_GATE_DISABLE (1 << 17)
3538# define I965_FBC_CLOCK_GATE_DISABLE (1 << 16)
3539# define I965_MARI_CLOCK_GATE_DISABLE (1 << 15)
3540# define I965_MASF_CLOCK_GATE_DISABLE (1 << 14)
3541# define I965_MAWB_CLOCK_GATE_DISABLE (1 << 13)
3542# define I965_EM_CLOCK_GATE_DISABLE (1 << 12)
3543# define I965_UC_CLOCK_GATE_DISABLE (1 << 11)
3544# define I965_SI_CLOCK_GATE_DISABLE (1 << 6)
3545# define I965_MT_CLOCK_GATE_DISABLE (1 << 5)
3546# define I965_PL_CLOCK_GATE_DISABLE (1 << 4)
3547# define I965_DG_CLOCK_GATE_DISABLE (1 << 3)
3548# define I965_QC_CLOCK_GATE_DISABLE (1 << 2)
3549# define I965_FT_CLOCK_GATE_DISABLE (1 << 1)
3550# define I965_DM_CLOCK_GATE_DISABLE (1 << 0)
3551
f0f59a00 3552#define RENCLK_GATE_D2 _MMIO(0x6208)
652c393a
JB
3553#define VF_UNIT_CLOCK_GATE_DISABLE (1 << 9)
3554#define GS_UNIT_CLOCK_GATE_DISABLE (1 << 7)
3555#define CL_UNIT_CLOCK_GATE_DISABLE (1 << 6)
fa4f53c4 3556
f0f59a00 3557#define VDECCLK_GATE_D _MMIO(0x620C) /* g4x only */
fa4f53c4
VS
3558#define VCP_UNIT_CLOCK_GATE_DISABLE (1 << 4)
3559
f0f59a00
VS
3560#define RAMCLK_GATE_D _MMIO(0x6210) /* CRL only */
3561#define DEUC _MMIO(0x6214) /* CRL only */
585fb111 3562
f0f59a00 3563#define FW_BLC_SELF_VLV _MMIO(VLV_DISPLAY_BASE + 0x6500)
5ee8ee86 3564#define FW_CSPWRDWNEN (1 << 15)
ceb04246 3565
f0f59a00 3566#define MI_ARB_VLV _MMIO(VLV_DISPLAY_BASE + 0x6504)
e0d8d59b 3567
f0f59a00 3568#define CZCLK_CDCLK_FREQ_RATIO _MMIO(VLV_DISPLAY_BASE + 0x6508)
24eb2d59
CML
3569#define CDCLK_FREQ_SHIFT 4
3570#define CDCLK_FREQ_MASK (0x1f << CDCLK_FREQ_SHIFT)
3571#define CZCLK_FREQ_MASK 0xf
1e69cd74 3572
f0f59a00 3573#define GCI_CONTROL _MMIO(VLV_DISPLAY_BASE + 0x650C)
1e69cd74
VS
3574#define PFI_CREDIT_63 (9 << 28) /* chv only */
3575#define PFI_CREDIT_31 (8 << 28) /* chv only */
3576#define PFI_CREDIT(x) (((x) - 8) << 28) /* 8-15 */
3577#define PFI_CREDIT_RESEND (1 << 27)
3578#define VGA_FAST_MODE_DISABLE (1 << 14)
3579
f0f59a00 3580#define GMBUSFREQ_VLV _MMIO(VLV_DISPLAY_BASE + 0x6510)
24eb2d59 3581
585fb111
JB
3582/*
3583 * Palette regs
3584 */
74c1e826
JN
3585#define _PALETTE_A 0xa000
3586#define _PALETTE_B 0xa800
3587#define _CHV_PALETTE_C 0xc000
ed5eb1b7 3588#define PALETTE(pipe, i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + \
74c1e826
JN
3589 _PICK((pipe), _PALETTE_A, \
3590 _PALETTE_B, _CHV_PALETTE_C) + \
3591 (i) * 4)
585fb111 3592
673a394b
EA
3593/* MCH MMIO space */
3594
3595/*
3596 * MCHBAR mirror.
3597 *
3598 * This mirrors the MCHBAR MMIO space whose location is determined by
3599 * device 0 function 0's pci config register 0x44 or 0x48 and matches it in
3600 * every way. It is not accessible from the CP register read instructions.
3601 *
515b2392
PZ
3602 * Starting from Haswell, you can't write registers using the MCHBAR mirror,
3603 * just read.
673a394b
EA
3604 */
3605#define MCHBAR_MIRROR_BASE 0x10000
3606
1398261a
YL
3607#define MCHBAR_MIRROR_BASE_SNB 0x140000
3608
f0f59a00
VS
3609#define CTG_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x34)
3610#define ELK_STOLEN_RESERVED _MMIO(MCHBAR_MIRROR_BASE + 0x48)
7d316aec
VS
3611#define G4X_STOLEN_RESERVED_ADDR1_MASK (0xFFFF << 16)
3612#define G4X_STOLEN_RESERVED_ADDR2_MASK (0xFFF << 4)
db7fb605 3613#define G4X_STOLEN_RESERVED_ENABLE (1 << 0)
7d316aec 3614
3ebecd07 3615/* Memory controller frequency in MCHBAR for Haswell (possible SNB+) */
f0f59a00 3616#define DCLK _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5e04)
3ebecd07 3617
646b4269 3618/* 915-945 and GM965 MCH register controlling DRAM channel access */
f0f59a00 3619#define DCC _MMIO(MCHBAR_MIRROR_BASE + 0x200)
673a394b
EA
3620#define DCC_ADDRESSING_MODE_SINGLE_CHANNEL (0 << 0)
3621#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_ASYMMETRIC (1 << 0)
3622#define DCC_ADDRESSING_MODE_DUAL_CHANNEL_INTERLEAVED (2 << 0)
3623#define DCC_ADDRESSING_MODE_MASK (3 << 0)
3624#define DCC_CHANNEL_XOR_DISABLE (1 << 10)
a7f014f2 3625#define DCC_CHANNEL_XOR_BIT_17 (1 << 9)
f0f59a00 3626#define DCC2 _MMIO(MCHBAR_MIRROR_BASE + 0x204)
656bfa3a 3627#define DCC2_MODIFIED_ENHANCED_DISABLE (1 << 20)
673a394b 3628
646b4269 3629/* Pineview MCH register contains DDR3 setting */
f0f59a00 3630#define CSHRDDR3CTL _MMIO(MCHBAR_MIRROR_BASE + 0x1a8)
95534263
LP
3631#define CSHRDDR3CTL_DDR3 (1 << 2)
3632
646b4269 3633/* 965 MCH register controlling DRAM channel configuration */
f0f59a00
VS
3634#define C0DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x206)
3635#define C1DRB3 _MMIO(MCHBAR_MIRROR_BASE + 0x606)
673a394b 3636
646b4269 3637/* snb MCH registers for reading the DRAM channel configuration */
f0f59a00
VS
3638#define MAD_DIMM_C0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5004)
3639#define MAD_DIMM_C1 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5008)
3640#define MAD_DIMM_C2 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
f691e2f4
DV
3641#define MAD_DIMM_ECC_MASK (0x3 << 24)
3642#define MAD_DIMM_ECC_OFF (0x0 << 24)
3643#define MAD_DIMM_ECC_IO_ON_LOGIC_OFF (0x1 << 24)
3644#define MAD_DIMM_ECC_IO_OFF_LOGIC_ON (0x2 << 24)
3645#define MAD_DIMM_ECC_ON (0x3 << 24)
3646#define MAD_DIMM_ENH_INTERLEAVE (0x1 << 22)
3647#define MAD_DIMM_RANK_INTERLEAVE (0x1 << 21)
3648#define MAD_DIMM_B_WIDTH_X16 (0x1 << 20) /* X8 chips if unset */
3649#define MAD_DIMM_A_WIDTH_X16 (0x1 << 19) /* X8 chips if unset */
3650#define MAD_DIMM_B_DUAL_RANK (0x1 << 18)
3651#define MAD_DIMM_A_DUAL_RANK (0x1 << 17)
3652#define MAD_DIMM_A_SELECT (0x1 << 16)
3653/* DIMM sizes are in multiples of 256mb. */
3654#define MAD_DIMM_B_SIZE_SHIFT 8
3655#define MAD_DIMM_B_SIZE_MASK (0xff << MAD_DIMM_B_SIZE_SHIFT)
3656#define MAD_DIMM_A_SIZE_SHIFT 0
3657#define MAD_DIMM_A_SIZE_MASK (0xff << MAD_DIMM_A_SIZE_SHIFT)
3658
646b4269 3659/* snb MCH registers for priority tuning */
f0f59a00 3660#define MCH_SSKPD _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5d10)
1d7aaa0c
DV
3661#define MCH_SSKPD_WM0_MASK 0x3f
3662#define MCH_SSKPD_WM0_VAL 0xc
f691e2f4 3663
f0f59a00 3664#define MCH_SECP_NRG_STTS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x592c)
ec013e7f 3665
b11248df 3666/* Clocking configuration register */
f0f59a00 3667#define CLKCFG _MMIO(MCHBAR_MIRROR_BASE + 0xc00)
7662c8bd 3668#define CLKCFG_FSB_400 (5 << 0) /* hrawclk 100 */
b11248df
KP
3669#define CLKCFG_FSB_533 (1 << 0) /* hrawclk 133 */
3670#define CLKCFG_FSB_667 (3 << 0) /* hrawclk 166 */
3671#define CLKCFG_FSB_800 (2 << 0) /* hrawclk 200 */
3672#define CLKCFG_FSB_1067 (6 << 0) /* hrawclk 266 */
6f38123e 3673#define CLKCFG_FSB_1067_ALT (0 << 0) /* hrawclk 266 */
b11248df 3674#define CLKCFG_FSB_1333 (7 << 0) /* hrawclk 333 */
6f38123e
VS
3675/*
3676 * Note that on at least on ELK the below value is reported for both
3677 * 333 and 400 MHz BIOS FSB setting, but given that the gmch datasheet
3678 * lists only 200/266/333 MHz FSB as supported let's decode it as 333 MHz.
3679 */
3680#define CLKCFG_FSB_1333_ALT (4 << 0) /* hrawclk 333 */
b11248df 3681#define CLKCFG_FSB_MASK (7 << 0)
7662c8bd
SL
3682#define CLKCFG_MEM_533 (1 << 4)
3683#define CLKCFG_MEM_667 (2 << 4)
3684#define CLKCFG_MEM_800 (3 << 4)
3685#define CLKCFG_MEM_MASK (7 << 4)
3686
f0f59a00
VS
3687#define HPLLVCO _MMIO(MCHBAR_MIRROR_BASE + 0xc38)
3688#define HPLLVCO_MOBILE _MMIO(MCHBAR_MIRROR_BASE + 0xc0f)
34edce2f 3689
f0f59a00 3690#define TSC1 _MMIO(0x11001)
5ee8ee86 3691#define TSE (1 << 0)
f0f59a00
VS
3692#define TR1 _MMIO(0x11006)
3693#define TSFS _MMIO(0x11020)
7648fa99
JB
3694#define TSFS_SLOPE_MASK 0x0000ff00
3695#define TSFS_SLOPE_SHIFT 8
3696#define TSFS_INTR_MASK 0x000000ff
3697
f0f59a00
VS
3698#define CRSTANDVID _MMIO(0x11100)
3699#define PXVFREQ(fstart) _MMIO(0x11110 + (fstart) * 4) /* P[0-15]VIDFREQ (0x1114c) (Ironlake) */
f97108d1
JB
3700#define PXVFREQ_PX_MASK 0x7f000000
3701#define PXVFREQ_PX_SHIFT 24
f0f59a00
VS
3702#define VIDFREQ_BASE _MMIO(0x11110)
3703#define VIDFREQ1 _MMIO(0x11110) /* VIDFREQ1-4 (0x1111c) (Cantiga) */
3704#define VIDFREQ2 _MMIO(0x11114)
3705#define VIDFREQ3 _MMIO(0x11118)
3706#define VIDFREQ4 _MMIO(0x1111c)
f97108d1
JB
3707#define VIDFREQ_P0_MASK 0x1f000000
3708#define VIDFREQ_P0_SHIFT 24
3709#define VIDFREQ_P0_CSCLK_MASK 0x00f00000
3710#define VIDFREQ_P0_CSCLK_SHIFT 20
3711#define VIDFREQ_P0_CRCLK_MASK 0x000f0000
3712#define VIDFREQ_P0_CRCLK_SHIFT 16
3713#define VIDFREQ_P1_MASK 0x00001f00
3714#define VIDFREQ_P1_SHIFT 8
3715#define VIDFREQ_P1_CSCLK_MASK 0x000000f0
3716#define VIDFREQ_P1_CSCLK_SHIFT 4
3717#define VIDFREQ_P1_CRCLK_MASK 0x0000000f
f0f59a00
VS
3718#define INTTOEXT_BASE_ILK _MMIO(0x11300)
3719#define INTTOEXT_BASE _MMIO(0x11120) /* INTTOEXT1-8 (0x1113c) */
f97108d1
JB
3720#define INTTOEXT_MAP3_SHIFT 24
3721#define INTTOEXT_MAP3_MASK (0x1f << INTTOEXT_MAP3_SHIFT)
3722#define INTTOEXT_MAP2_SHIFT 16
3723#define INTTOEXT_MAP2_MASK (0x1f << INTTOEXT_MAP2_SHIFT)
3724#define INTTOEXT_MAP1_SHIFT 8
3725#define INTTOEXT_MAP1_MASK (0x1f << INTTOEXT_MAP1_SHIFT)
3726#define INTTOEXT_MAP0_SHIFT 0
3727#define INTTOEXT_MAP0_MASK (0x1f << INTTOEXT_MAP0_SHIFT)
f0f59a00 3728#define MEMSWCTL _MMIO(0x11170) /* Ironlake only */
f97108d1
JB
3729#define MEMCTL_CMD_MASK 0xe000
3730#define MEMCTL_CMD_SHIFT 13
3731#define MEMCTL_CMD_RCLK_OFF 0
3732#define MEMCTL_CMD_RCLK_ON 1
3733#define MEMCTL_CMD_CHFREQ 2
3734#define MEMCTL_CMD_CHVID 3
3735#define MEMCTL_CMD_VMMOFF 4
3736#define MEMCTL_CMD_VMMON 5
5ee8ee86 3737#define MEMCTL_CMD_STS (1 << 12) /* write 1 triggers command, clears
f97108d1
JB
3738 when command complete */
3739#define MEMCTL_FREQ_MASK 0x0f00 /* jitter, from 0-15 */
3740#define MEMCTL_FREQ_SHIFT 8
5ee8ee86 3741#define MEMCTL_SFCAVM (1 << 7)
f97108d1 3742#define MEMCTL_TGT_VID_MASK 0x007f
f0f59a00
VS
3743#define MEMIHYST _MMIO(0x1117c)
3744#define MEMINTREN _MMIO(0x11180) /* 16 bits */
5ee8ee86
PZ
3745#define MEMINT_RSEXIT_EN (1 << 8)
3746#define MEMINT_CX_SUPR_EN (1 << 7)
3747#define MEMINT_CONT_BUSY_EN (1 << 6)
3748#define MEMINT_AVG_BUSY_EN (1 << 5)
3749#define MEMINT_EVAL_CHG_EN (1 << 4)
3750#define MEMINT_MON_IDLE_EN (1 << 3)
3751#define MEMINT_UP_EVAL_EN (1 << 2)
3752#define MEMINT_DOWN_EVAL_EN (1 << 1)
3753#define MEMINT_SW_CMD_EN (1 << 0)
f0f59a00 3754#define MEMINTRSTR _MMIO(0x11182) /* 16 bits */
f97108d1
JB
3755#define MEM_RSEXIT_MASK 0xc000
3756#define MEM_RSEXIT_SHIFT 14
3757#define MEM_CONT_BUSY_MASK 0x3000
3758#define MEM_CONT_BUSY_SHIFT 12
3759#define MEM_AVG_BUSY_MASK 0x0c00
3760#define MEM_AVG_BUSY_SHIFT 10
3761#define MEM_EVAL_CHG_MASK 0x0300
3762#define MEM_EVAL_BUSY_SHIFT 8
3763#define MEM_MON_IDLE_MASK 0x00c0
3764#define MEM_MON_IDLE_SHIFT 6
3765#define MEM_UP_EVAL_MASK 0x0030
3766#define MEM_UP_EVAL_SHIFT 4
3767#define MEM_DOWN_EVAL_MASK 0x000c
3768#define MEM_DOWN_EVAL_SHIFT 2
3769#define MEM_SW_CMD_MASK 0x0003
3770#define MEM_INT_STEER_GFX 0
3771#define MEM_INT_STEER_CMR 1
3772#define MEM_INT_STEER_SMI 2
3773#define MEM_INT_STEER_SCI 3
f0f59a00 3774#define MEMINTRSTS _MMIO(0x11184)
5ee8ee86
PZ
3775#define MEMINT_RSEXIT (1 << 7)
3776#define MEMINT_CONT_BUSY (1 << 6)
3777#define MEMINT_AVG_BUSY (1 << 5)
3778#define MEMINT_EVAL_CHG (1 << 4)
3779#define MEMINT_MON_IDLE (1 << 3)
3780#define MEMINT_UP_EVAL (1 << 2)
3781#define MEMINT_DOWN_EVAL (1 << 1)
3782#define MEMINT_SW_CMD (1 << 0)
f0f59a00 3783#define MEMMODECTL _MMIO(0x11190)
5ee8ee86 3784#define MEMMODE_BOOST_EN (1 << 31)
f97108d1
JB
3785#define MEMMODE_BOOST_FREQ_MASK 0x0f000000 /* jitter for boost, 0-15 */
3786#define MEMMODE_BOOST_FREQ_SHIFT 24
3787#define MEMMODE_IDLE_MODE_MASK 0x00030000
3788#define MEMMODE_IDLE_MODE_SHIFT 16
3789#define MEMMODE_IDLE_MODE_EVAL 0
3790#define MEMMODE_IDLE_MODE_CONT 1
5ee8ee86
PZ
3791#define MEMMODE_HWIDLE_EN (1 << 15)
3792#define MEMMODE_SWMODE_EN (1 << 14)
3793#define MEMMODE_RCLK_GATE (1 << 13)
3794#define MEMMODE_HW_UPDATE (1 << 12)
f97108d1
JB
3795#define MEMMODE_FSTART_MASK 0x00000f00 /* starting jitter, 0-15 */
3796#define MEMMODE_FSTART_SHIFT 8
3797#define MEMMODE_FMAX_MASK 0x000000f0 /* max jitter, 0-15 */
3798#define MEMMODE_FMAX_SHIFT 4
3799#define MEMMODE_FMIN_MASK 0x0000000f /* min jitter, 0-15 */
f0f59a00
VS
3800#define RCBMAXAVG _MMIO(0x1119c)
3801#define MEMSWCTL2 _MMIO(0x1119e) /* Cantiga only */
f97108d1
JB
3802#define SWMEMCMD_RENDER_OFF (0 << 13)
3803#define SWMEMCMD_RENDER_ON (1 << 13)
3804#define SWMEMCMD_SWFREQ (2 << 13)
3805#define SWMEMCMD_TARVID (3 << 13)
3806#define SWMEMCMD_VRM_OFF (4 << 13)
3807#define SWMEMCMD_VRM_ON (5 << 13)
5ee8ee86
PZ
3808#define CMDSTS (1 << 12)
3809#define SFCAVM (1 << 11)
f97108d1
JB
3810#define SWFREQ_MASK 0x0380 /* P0-7 */
3811#define SWFREQ_SHIFT 7
3812#define TARVID_MASK 0x001f
f0f59a00
VS
3813#define MEMSTAT_CTG _MMIO(0x111a0)
3814#define RCBMINAVG _MMIO(0x111a0)
3815#define RCUPEI _MMIO(0x111b0)
3816#define RCDNEI _MMIO(0x111b4)
3817#define RSTDBYCTL _MMIO(0x111b8)
5ee8ee86
PZ
3818#define RS1EN (1 << 31)
3819#define RS2EN (1 << 30)
3820#define RS3EN (1 << 29)
3821#define D3RS3EN (1 << 28) /* Display D3 imlies RS3 */
3822#define SWPROMORSX (1 << 27) /* RSx promotion timers ignored */
3823#define RCWAKERW (1 << 26) /* Resetwarn from PCH causes wakeup */
3824#define DPRSLPVREN (1 << 25) /* Fast voltage ramp enable */
3825#define GFXTGHYST (1 << 24) /* Hysteresis to allow trunk gating */
3826#define RCX_SW_EXIT (1 << 23) /* Leave RSx and prevent re-entry */
3827#define RSX_STATUS_MASK (7 << 20)
3828#define RSX_STATUS_ON (0 << 20)
3829#define RSX_STATUS_RC1 (1 << 20)
3830#define RSX_STATUS_RC1E (2 << 20)
3831#define RSX_STATUS_RS1 (3 << 20)
3832#define RSX_STATUS_RS2 (4 << 20) /* aka rc6 */
3833#define RSX_STATUS_RSVD (5 << 20) /* deep rc6 unsupported on ilk */
3834#define RSX_STATUS_RS3 (6 << 20) /* rs3 unsupported on ilk */
3835#define RSX_STATUS_RSVD2 (7 << 20)
3836#define UWRCRSXE (1 << 19) /* wake counter limit prevents rsx */
3837#define RSCRP (1 << 18) /* rs requests control on rs1/2 reqs */
3838#define JRSC (1 << 17) /* rsx coupled to cpu c-state */
3839#define RS2INC0 (1 << 16) /* allow rs2 in cpu c0 */
3840#define RS1CONTSAV_MASK (3 << 14)
3841#define RS1CONTSAV_NO_RS1 (0 << 14) /* rs1 doesn't save/restore context */
3842#define RS1CONTSAV_RSVD (1 << 14)
3843#define RS1CONTSAV_SAVE_RS1 (2 << 14) /* rs1 saves context */
3844#define RS1CONTSAV_FULL_RS1 (3 << 14) /* rs1 saves and restores context */
3845#define NORMSLEXLAT_MASK (3 << 12)
3846#define SLOW_RS123 (0 << 12)
3847#define SLOW_RS23 (1 << 12)
3848#define SLOW_RS3 (2 << 12)
3849#define NORMAL_RS123 (3 << 12)
3850#define RCMODE_TIMEOUT (1 << 11) /* 0 is eval interval method */
3851#define IMPROMOEN (1 << 10) /* promo is immediate or delayed until next idle interval (only for timeout method above) */
3852#define RCENTSYNC (1 << 9) /* rs coupled to cpu c-state (3/6/7) */
3853#define STATELOCK (1 << 7) /* locked to rs_cstate if 0 */
3854#define RS_CSTATE_MASK (3 << 4)
3855#define RS_CSTATE_C367_RS1 (0 << 4)
3856#define RS_CSTATE_C36_RS1_C7_RS2 (1 << 4)
3857#define RS_CSTATE_RSVD (2 << 4)
3858#define RS_CSTATE_C367_RS2 (3 << 4)
3859#define REDSAVES (1 << 3) /* no context save if was idle during rs0 */
3860#define REDRESTORES (1 << 2) /* no restore if was idle during rs0 */
f0f59a00
VS
3861#define VIDCTL _MMIO(0x111c0)
3862#define VIDSTS _MMIO(0x111c8)
3863#define VIDSTART _MMIO(0x111cc) /* 8 bits */
3864#define MEMSTAT_ILK _MMIO(0x111f8)
f97108d1
JB
3865#define MEMSTAT_VID_MASK 0x7f00
3866#define MEMSTAT_VID_SHIFT 8
3867#define MEMSTAT_PSTATE_MASK 0x00f8
3868#define MEMSTAT_PSTATE_SHIFT 3
5ee8ee86 3869#define MEMSTAT_MON_ACTV (1 << 2)
f97108d1
JB
3870#define MEMSTAT_SRC_CTL_MASK 0x0003
3871#define MEMSTAT_SRC_CTL_CORE 0
3872#define MEMSTAT_SRC_CTL_TRB 1
3873#define MEMSTAT_SRC_CTL_THM 2
3874#define MEMSTAT_SRC_CTL_STDBY 3
f0f59a00
VS
3875#define RCPREVBSYTUPAVG _MMIO(0x113b8)
3876#define RCPREVBSYTDNAVG _MMIO(0x113bc)
3877#define PMMISC _MMIO(0x11214)
5ee8ee86 3878#define MCPPCE_EN (1 << 0) /* enable PM_MSG from PCH->MPC */
f0f59a00
VS
3879#define SDEW _MMIO(0x1124c)
3880#define CSIEW0 _MMIO(0x11250)
3881#define CSIEW1 _MMIO(0x11254)
3882#define CSIEW2 _MMIO(0x11258)
3883#define PEW(i) _MMIO(0x1125c + (i) * 4) /* 5 registers */
3884#define DEW(i) _MMIO(0x11270 + (i) * 4) /* 3 registers */
3885#define MCHAFE _MMIO(0x112c0)
3886#define CSIEC _MMIO(0x112e0)
3887#define DMIEC _MMIO(0x112e4)
3888#define DDREC _MMIO(0x112e8)
3889#define PEG0EC _MMIO(0x112ec)
3890#define PEG1EC _MMIO(0x112f0)
3891#define GFXEC _MMIO(0x112f4)
3892#define RPPREVBSYTUPAVG _MMIO(0x113b8)
3893#define RPPREVBSYTDNAVG _MMIO(0x113bc)
3894#define ECR _MMIO(0x11600)
5ee8ee86
PZ
3895#define ECR_GPFE (1 << 31)
3896#define ECR_IMONE (1 << 30)
7648fa99 3897#define ECR_CAP_MASK 0x0000001f /* Event range, 0-31 */
f0f59a00
VS
3898#define OGW0 _MMIO(0x11608)
3899#define OGW1 _MMIO(0x1160c)
3900#define EG0 _MMIO(0x11610)
3901#define EG1 _MMIO(0x11614)
3902#define EG2 _MMIO(0x11618)
3903#define EG3 _MMIO(0x1161c)
3904#define EG4 _MMIO(0x11620)
3905#define EG5 _MMIO(0x11624)
3906#define EG6 _MMIO(0x11628)
3907#define EG7 _MMIO(0x1162c)
3908#define PXW(i) _MMIO(0x11664 + (i) * 4) /* 4 registers */
3909#define PXWL(i) _MMIO(0x11680 + (i) * 8) /* 8 registers */
3910#define LCFUSE02 _MMIO(0x116c0)
7648fa99 3911#define LCFUSE_HIV_MASK 0x000000ff
f0f59a00
VS
3912#define CSIPLL0 _MMIO(0x12c10)
3913#define DDRMPLL1 _MMIO(0X12c20)
3914#define PEG_BAND_GAP_DATA _MMIO(0x14d68)
7d57382e 3915
f0f59a00 3916#define GEN6_GT_THREAD_STATUS_REG _MMIO(0x13805c)
c4de7b0f 3917#define GEN6_GT_THREAD_STATUS_CORE_MASK 0x7
c4de7b0f 3918
f0f59a00
VS
3919#define GEN6_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5948)
3920#define BXT_GT_PERF_STATUS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7070)
3921#define GEN6_RP_STATE_LIMITS _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5994)
3922#define GEN6_RP_STATE_CAP _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5998)
3923#define BXT_RP_STATE_CAP _MMIO(0x138170)
3b8d8d91 3924
8a292d01
VS
3925/*
3926 * Make these a multiple of magic 25 to avoid SNB (eg. Dell XPS
3927 * 8300) freezing up around GPU hangs. Looks as if even
3928 * scheduling/timer interrupts start misbehaving if the RPS
3929 * EI/thresholds are "bad", leading to a very sluggish or even
3930 * frozen machine.
3931 */
3932#define INTERVAL_1_28_US(us) roundup(((us) * 100) >> 7, 25)
de43ae9d 3933#define INTERVAL_1_33_US(us) (((us) * 3) >> 2)
26148bd3 3934#define INTERVAL_0_833_US(us) (((us) * 6) / 5)
35ceabf3 3935#define GT_INTERVAL_FROM_US(dev_priv, us) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3936 (IS_GEN9_LP(dev_priv) ? \
26148bd3
AG
3937 INTERVAL_0_833_US(us) : \
3938 INTERVAL_1_33_US(us)) : \
de43ae9d
AG
3939 INTERVAL_1_28_US(us))
3940
52530cba
AG
3941#define INTERVAL_1_28_TO_US(interval) (((interval) << 7) / 100)
3942#define INTERVAL_1_33_TO_US(interval) (((interval) << 2) / 3)
3943#define INTERVAL_0_833_TO_US(interval) (((interval) * 5) / 6)
35ceabf3 3944#define GT_PM_INTERVAL_TO_US(dev_priv, interval) (INTEL_GEN(dev_priv) >= 9 ? \
cc3f90f0 3945 (IS_GEN9_LP(dev_priv) ? \
52530cba
AG
3946 INTERVAL_0_833_TO_US(interval) : \
3947 INTERVAL_1_33_TO_US(interval)) : \
3948 INTERVAL_1_28_TO_US(interval))
3949
aa40d6bb
ZN
3950/*
3951 * Logical Context regs
3952 */
baba6e57 3953#define CCID(base) _MMIO((base) + 0x180)
ec62ed3e
CW
3954#define CCID_EN BIT(0)
3955#define CCID_EXTENDED_STATE_RESTORE BIT(2)
3956#define CCID_EXTENDED_STATE_SAVE BIT(3)
e8016055
VS
3957/*
3958 * Notes on SNB/IVB/VLV context size:
3959 * - Power context is saved elsewhere (LLC or stolen)
3960 * - Ring/execlist context is saved on SNB, not on IVB
3961 * - Extended context size already includes render context size
3962 * - We always need to follow the extended context size.
3963 * SNB BSpec has comments indicating that we should use the
3964 * render context size instead if execlists are disabled, but
3965 * based on empirical testing that's just nonsense.
3966 * - Pipelined/VF state is saved on SNB/IVB respectively
3967 * - GT1 size just indicates how much of render context
3968 * doesn't need saving on GT1
3969 */
f0f59a00 3970#define CXT_SIZE _MMIO(0x21a0)
68d97538
VS
3971#define GEN6_CXT_POWER_SIZE(cxt_reg) (((cxt_reg) >> 24) & 0x3f)
3972#define GEN6_CXT_RING_SIZE(cxt_reg) (((cxt_reg) >> 18) & 0x3f)
3973#define GEN6_CXT_RENDER_SIZE(cxt_reg) (((cxt_reg) >> 12) & 0x3f)
3974#define GEN6_CXT_EXTENDED_SIZE(cxt_reg) (((cxt_reg) >> 6) & 0x3f)
3975#define GEN6_CXT_PIPELINE_SIZE(cxt_reg) (((cxt_reg) >> 0) & 0x3f)
e8016055 3976#define GEN6_CXT_TOTAL_SIZE(cxt_reg) (GEN6_CXT_RING_SIZE(cxt_reg) + \
fe1cc68f
BW
3977 GEN6_CXT_EXTENDED_SIZE(cxt_reg) + \
3978 GEN6_CXT_PIPELINE_SIZE(cxt_reg))
f0f59a00 3979#define GEN7_CXT_SIZE _MMIO(0x21a8)
68d97538
VS
3980#define GEN7_CXT_POWER_SIZE(ctx_reg) (((ctx_reg) >> 25) & 0x7f)
3981#define GEN7_CXT_RING_SIZE(ctx_reg) (((ctx_reg) >> 22) & 0x7)
3982#define GEN7_CXT_RENDER_SIZE(ctx_reg) (((ctx_reg) >> 16) & 0x3f)
3983#define GEN7_CXT_EXTENDED_SIZE(ctx_reg) (((ctx_reg) >> 9) & 0x7f)
3984#define GEN7_CXT_GT1_SIZE(ctx_reg) (((ctx_reg) >> 6) & 0x7)
3985#define GEN7_CXT_VFSTATE_SIZE(ctx_reg) (((ctx_reg) >> 0) & 0x3f)
e8016055 3986#define GEN7_CXT_TOTAL_SIZE(ctx_reg) (GEN7_CXT_EXTENDED_SIZE(ctx_reg) + \
4f91dd6f 3987 GEN7_CXT_VFSTATE_SIZE(ctx_reg))
8897644a 3988
c01fc532
ZW
3989enum {
3990 INTEL_ADVANCED_CONTEXT = 0,
3991 INTEL_LEGACY_32B_CONTEXT,
3992 INTEL_ADVANCED_AD_CONTEXT,
3993 INTEL_LEGACY_64B_CONTEXT
3994};
3995
2355cf08
MK
3996enum {
3997 FAULT_AND_HANG = 0,
3998 FAULT_AND_HALT, /* Debug only */
3999 FAULT_AND_STREAM,
4000 FAULT_AND_CONTINUE /* Unsupported */
4001};
4002
5ee8ee86
PZ
4003#define GEN8_CTX_VALID (1 << 0)
4004#define GEN8_CTX_FORCE_PD_RESTORE (1 << 1)
4005#define GEN8_CTX_FORCE_RESTORE (1 << 2)
4006#define GEN8_CTX_L3LLC_COHERENT (1 << 5)
4007#define GEN8_CTX_PRIVILEGE (1 << 8)
c01fc532 4008#define GEN8_CTX_ADDRESSING_MODE_SHIFT 3
c01fc532 4009
2355cf08
MK
4010#define GEN8_CTX_ID_SHIFT 32
4011#define GEN8_CTX_ID_WIDTH 21
ac52da6a
DCS
4012#define GEN11_SW_CTX_ID_SHIFT 37
4013#define GEN11_SW_CTX_ID_WIDTH 11
4014#define GEN11_ENGINE_CLASS_SHIFT 61
4015#define GEN11_ENGINE_CLASS_WIDTH 3
4016#define GEN11_ENGINE_INSTANCE_SHIFT 48
4017#define GEN11_ENGINE_INSTANCE_WIDTH 6
c01fc532 4018
f0f59a00
VS
4019#define CHV_CLK_CTL1 _MMIO(0x101100)
4020#define VLV_CLK_CTL2 _MMIO(0x101104)
e454a05d
JB
4021#define CLK_CTL2_CZCOUNT_30NS_SHIFT 28
4022
585fb111
JB
4023/*
4024 * Overlay regs
4025 */
4026
f0f59a00
VS
4027#define OVADD _MMIO(0x30000)
4028#define DOVSTA _MMIO(0x30008)
5ee8ee86 4029#define OC_BUF (0x3 << 20)
f0f59a00
VS
4030#define OGAMC5 _MMIO(0x30010)
4031#define OGAMC4 _MMIO(0x30014)
4032#define OGAMC3 _MMIO(0x30018)
4033#define OGAMC2 _MMIO(0x3001c)
4034#define OGAMC1 _MMIO(0x30020)
4035#define OGAMC0 _MMIO(0x30024)
585fb111 4036
d965e7ac
ID
4037/*
4038 * GEN9 clock gating regs
4039 */
4040#define GEN9_CLKGATE_DIS_0 _MMIO(0x46530)
df49ec82 4041#define DARBF_GATING_DIS (1 << 27)
d965e7ac
ID
4042#define PWM2_GATING_DIS (1 << 14)
4043#define PWM1_GATING_DIS (1 << 13)
4044
6481d5ed
VS
4045#define GEN9_CLKGATE_DIS_4 _MMIO(0x4653C)
4046#define BXT_GMBUS_GATING_DIS (1 << 14)
4047
ed69cd40
ID
4048#define _CLKGATE_DIS_PSL_A 0x46520
4049#define _CLKGATE_DIS_PSL_B 0x46524
4050#define _CLKGATE_DIS_PSL_C 0x46528
c4a4efa9
VS
4051#define DUPS1_GATING_DIS (1 << 15)
4052#define DUPS2_GATING_DIS (1 << 19)
4053#define DUPS3_GATING_DIS (1 << 23)
ed69cd40
ID
4054#define DPF_GATING_DIS (1 << 10)
4055#define DPF_RAM_GATING_DIS (1 << 9)
4056#define DPFR_GATING_DIS (1 << 8)
4057
4058#define CLKGATE_DIS_PSL(pipe) \
4059 _MMIO_PIPE(pipe, _CLKGATE_DIS_PSL_A, _CLKGATE_DIS_PSL_B)
4060
90007bca
RV
4061/*
4062 * GEN10 clock gating regs
4063 */
4064#define SLICE_UNIT_LEVEL_CLKGATE _MMIO(0x94d4)
4065#define SARBUNIT_CLKGATE_DIS (1 << 5)
0a60797a 4066#define RCCUNIT_CLKGATE_DIS (1 << 7)
0a437d49 4067#define MSCUNIT_CLKGATE_DIS (1 << 10)
90007bca 4068
a4713c5a
RV
4069#define SUBSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9524)
4070#define GWUNIT_CLKGATE_DIS (1 << 16)
4071
01ab0f92
RA
4072#define UNSLICE_UNIT_LEVEL_CLKGATE _MMIO(0x9434)
4073#define VFUNIT_CLKGATE_DIS (1 << 20)
4074
5ba700c7
OM
4075#define INF_UNIT_LEVEL_CLKGATE _MMIO(0x9560)
4076#define CGPSF_CLKGATE_DIS (1 << 3)
4077
585fb111
JB
4078/*
4079 * Display engine regs
4080 */
4081
8bf1e9f1 4082/* Pipe A CRC regs */
a57c774a 4083#define _PIPE_CRC_CTL_A 0x60050
8bf1e9f1 4084#define PIPE_CRC_ENABLE (1 << 31)
207a815d
VS
4085/* skl+ source selection */
4086#define PIPE_CRC_SOURCE_PLANE_1_SKL (0 << 28)
4087#define PIPE_CRC_SOURCE_PLANE_2_SKL (2 << 28)
4088#define PIPE_CRC_SOURCE_DMUX_SKL (4 << 28)
4089#define PIPE_CRC_SOURCE_PLANE_3_SKL (6 << 28)
4090#define PIPE_CRC_SOURCE_PLANE_4_SKL (7 << 28)
4091#define PIPE_CRC_SOURCE_PLANE_5_SKL (5 << 28)
4092#define PIPE_CRC_SOURCE_PLANE_6_SKL (3 << 28)
4093#define PIPE_CRC_SOURCE_PLANE_7_SKL (1 << 28)
b4437a41 4094/* ivb+ source selection */
8bf1e9f1
SH
4095#define PIPE_CRC_SOURCE_PRIMARY_IVB (0 << 29)
4096#define PIPE_CRC_SOURCE_SPRITE_IVB (1 << 29)
4097#define PIPE_CRC_SOURCE_PF_IVB (2 << 29)
b4437a41 4098/* ilk+ source selection */
5a6b5c84
DV
4099#define PIPE_CRC_SOURCE_PRIMARY_ILK (0 << 28)
4100#define PIPE_CRC_SOURCE_SPRITE_ILK (1 << 28)
4101#define PIPE_CRC_SOURCE_PIPE_ILK (2 << 28)
4102/* embedded DP port on the north display block, reserved on ivb */
4103#define PIPE_CRC_SOURCE_PORT_A_ILK (4 << 28)
4104#define PIPE_CRC_SOURCE_FDI_ILK (5 << 28) /* reserved on ivb */
b4437a41
DV
4105/* vlv source selection */
4106#define PIPE_CRC_SOURCE_PIPE_VLV (0 << 27)
4107#define PIPE_CRC_SOURCE_HDMIB_VLV (1 << 27)
4108#define PIPE_CRC_SOURCE_HDMIC_VLV (2 << 27)
4109/* with DP port the pipe source is invalid */
4110#define PIPE_CRC_SOURCE_DP_D_VLV (3 << 27)
4111#define PIPE_CRC_SOURCE_DP_B_VLV (6 << 27)
4112#define PIPE_CRC_SOURCE_DP_C_VLV (7 << 27)
4113/* gen3+ source selection */
4114#define PIPE_CRC_SOURCE_PIPE_I9XX (0 << 28)
4115#define PIPE_CRC_SOURCE_SDVOB_I9XX (1 << 28)
4116#define PIPE_CRC_SOURCE_SDVOC_I9XX (2 << 28)
4117/* with DP/TV port the pipe source is invalid */
4118#define PIPE_CRC_SOURCE_DP_D_G4X (3 << 28)
4119#define PIPE_CRC_SOURCE_TV_PRE (4 << 28)
4120#define PIPE_CRC_SOURCE_TV_POST (5 << 28)
4121#define PIPE_CRC_SOURCE_DP_B_G4X (6 << 28)
4122#define PIPE_CRC_SOURCE_DP_C_G4X (7 << 28)
4123/* gen2 doesn't have source selection bits */
52f843f6 4124#define PIPE_CRC_INCLUDE_BORDER_I8XX (1 << 30)
b4437a41 4125
5a6b5c84
DV
4126#define _PIPE_CRC_RES_1_A_IVB 0x60064
4127#define _PIPE_CRC_RES_2_A_IVB 0x60068
4128#define _PIPE_CRC_RES_3_A_IVB 0x6006c
4129#define _PIPE_CRC_RES_4_A_IVB 0x60070
4130#define _PIPE_CRC_RES_5_A_IVB 0x60074
4131
a57c774a
AK
4132#define _PIPE_CRC_RES_RED_A 0x60060
4133#define _PIPE_CRC_RES_GREEN_A 0x60064
4134#define _PIPE_CRC_RES_BLUE_A 0x60068
4135#define _PIPE_CRC_RES_RES1_A_I915 0x6006c
4136#define _PIPE_CRC_RES_RES2_A_G4X 0x60080
8bf1e9f1
SH
4137
4138/* Pipe B CRC regs */
5a6b5c84
DV
4139#define _PIPE_CRC_RES_1_B_IVB 0x61064
4140#define _PIPE_CRC_RES_2_B_IVB 0x61068
4141#define _PIPE_CRC_RES_3_B_IVB 0x6106c
4142#define _PIPE_CRC_RES_4_B_IVB 0x61070
4143#define _PIPE_CRC_RES_5_B_IVB 0x61074
8bf1e9f1 4144
f0f59a00
VS
4145#define PIPE_CRC_CTL(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_CTL_A)
4146#define PIPE_CRC_RES_1_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_1_A_IVB)
4147#define PIPE_CRC_RES_2_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_2_A_IVB)
4148#define PIPE_CRC_RES_3_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_3_A_IVB)
4149#define PIPE_CRC_RES_4_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_4_A_IVB)
4150#define PIPE_CRC_RES_5_IVB(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_5_A_IVB)
4151
4152#define PIPE_CRC_RES_RED(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RED_A)
4153#define PIPE_CRC_RES_GREEN(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_GREEN_A)
4154#define PIPE_CRC_RES_BLUE(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_BLUE_A)
4155#define PIPE_CRC_RES_RES1_I915(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES1_A_I915)
4156#define PIPE_CRC_RES_RES2_G4X(pipe) _MMIO_TRANS2(pipe, _PIPE_CRC_RES_RES2_A_G4X)
5a6b5c84 4157
585fb111 4158/* Pipe A timing regs */
a57c774a
AK
4159#define _HTOTAL_A 0x60000
4160#define _HBLANK_A 0x60004
4161#define _HSYNC_A 0x60008
4162#define _VTOTAL_A 0x6000c
4163#define _VBLANK_A 0x60010
4164#define _VSYNC_A 0x60014
4165#define _PIPEASRC 0x6001c
4166#define _BCLRPAT_A 0x60020
4167#define _VSYNCSHIFT_A 0x60028
ebb69c95 4168#define _PIPE_MULT_A 0x6002c
585fb111
JB
4169
4170/* Pipe B timing regs */
a57c774a
AK
4171#define _HTOTAL_B 0x61000
4172#define _HBLANK_B 0x61004
4173#define _HSYNC_B 0x61008
4174#define _VTOTAL_B 0x6100c
4175#define _VBLANK_B 0x61010
4176#define _VSYNC_B 0x61014
4177#define _PIPEBSRC 0x6101c
4178#define _BCLRPAT_B 0x61020
4179#define _VSYNCSHIFT_B 0x61028
ebb69c95 4180#define _PIPE_MULT_B 0x6102c
a57c774a 4181
7b56caf3
MC
4182/* DSI 0 timing regs */
4183#define _HTOTAL_DSI0 0x6b000
4184#define _HSYNC_DSI0 0x6b008
4185#define _VTOTAL_DSI0 0x6b00c
4186#define _VSYNC_DSI0 0x6b014
4187#define _VSYNCSHIFT_DSI0 0x6b028
4188
4189/* DSI 1 timing regs */
4190#define _HTOTAL_DSI1 0x6b800
4191#define _HSYNC_DSI1 0x6b808
4192#define _VTOTAL_DSI1 0x6b80c
4193#define _VSYNC_DSI1 0x6b814
4194#define _VSYNCSHIFT_DSI1 0x6b828
4195
a57c774a
AK
4196#define TRANSCODER_A_OFFSET 0x60000
4197#define TRANSCODER_B_OFFSET 0x61000
4198#define TRANSCODER_C_OFFSET 0x62000
84fd4f4e 4199#define CHV_TRANSCODER_C_OFFSET 0x63000
a57c774a 4200#define TRANSCODER_EDP_OFFSET 0x6f000
49edbd49
MC
4201#define TRANSCODER_DSI0_OFFSET 0x6b000
4202#define TRANSCODER_DSI1_OFFSET 0x6b800
a57c774a 4203
f0f59a00
VS
4204#define HTOTAL(trans) _MMIO_TRANS2(trans, _HTOTAL_A)
4205#define HBLANK(trans) _MMIO_TRANS2(trans, _HBLANK_A)
4206#define HSYNC(trans) _MMIO_TRANS2(trans, _HSYNC_A)
4207#define VTOTAL(trans) _MMIO_TRANS2(trans, _VTOTAL_A)
4208#define VBLANK(trans) _MMIO_TRANS2(trans, _VBLANK_A)
4209#define VSYNC(trans) _MMIO_TRANS2(trans, _VSYNC_A)
4210#define BCLRPAT(trans) _MMIO_TRANS2(trans, _BCLRPAT_A)
4211#define VSYNCSHIFT(trans) _MMIO_TRANS2(trans, _VSYNCSHIFT_A)
4212#define PIPESRC(trans) _MMIO_TRANS2(trans, _PIPEASRC)
4213#define PIPE_MULT(trans) _MMIO_TRANS2(trans, _PIPE_MULT_A)
5eddb70b 4214
ed8546ac 4215/* HSW+ eDP PSR registers */
443a389f
VS
4216#define HSW_EDP_PSR_BASE 0x64800
4217#define BDW_EDP_PSR_BASE 0x6f800
f0f59a00 4218#define EDP_PSR_CTL _MMIO(dev_priv->psr_mmio_base + 0)
5ee8ee86
PZ
4219#define EDP_PSR_ENABLE (1 << 31)
4220#define BDW_PSR_SINGLE_FRAME (1 << 30)
4221#define EDP_PSR_RESTORE_PSR_ACTIVE_CTX_MASK (1 << 29) /* SW can't modify */
4222#define EDP_PSR_LINK_STANDBY (1 << 27)
4223#define EDP_PSR_MIN_LINK_ENTRY_TIME_MASK (3 << 25)
4224#define EDP_PSR_MIN_LINK_ENTRY_TIME_8_LINES (0 << 25)
4225#define EDP_PSR_MIN_LINK_ENTRY_TIME_4_LINES (1 << 25)
4226#define EDP_PSR_MIN_LINK_ENTRY_TIME_2_LINES (2 << 25)
4227#define EDP_PSR_MIN_LINK_ENTRY_TIME_0_LINES (3 << 25)
2b28bb1b 4228#define EDP_PSR_MAX_SLEEP_TIME_SHIFT 20
5ee8ee86
PZ
4229#define EDP_PSR_SKIP_AUX_EXIT (1 << 12)
4230#define EDP_PSR_TP1_TP2_SEL (0 << 11)
4231#define EDP_PSR_TP1_TP3_SEL (1 << 11)
00c8f194 4232#define EDP_PSR_CRC_ENABLE (1 << 10) /* BDW+ */
5ee8ee86
PZ
4233#define EDP_PSR_TP2_TP3_TIME_500us (0 << 8)
4234#define EDP_PSR_TP2_TP3_TIME_100us (1 << 8)
4235#define EDP_PSR_TP2_TP3_TIME_2500us (2 << 8)
4236#define EDP_PSR_TP2_TP3_TIME_0us (3 << 8)
8a9a5608 4237#define EDP_PSR_TP4_TIME_0US (3 << 6) /* ICL+ */
5ee8ee86
PZ
4238#define EDP_PSR_TP1_TIME_500us (0 << 4)
4239#define EDP_PSR_TP1_TIME_100us (1 << 4)
4240#define EDP_PSR_TP1_TIME_2500us (2 << 4)
4241#define EDP_PSR_TP1_TIME_0us (3 << 4)
2b28bb1b
RV
4242#define EDP_PSR_IDLE_FRAME_SHIFT 0
4243
fc340442
DV
4244/* Bspec claims those aren't shifted but stay at 0x64800 */
4245#define EDP_PSR_IMR _MMIO(0x64834)
4246#define EDP_PSR_IIR _MMIO(0x64838)
c0871805
ID
4247#define EDP_PSR_ERROR(shift) (1 << ((shift) + 2))
4248#define EDP_PSR_POST_EXIT(shift) (1 << ((shift) + 1))
4249#define EDP_PSR_PRE_ENTRY(shift) (1 << (shift))
4250#define EDP_PSR_TRANSCODER_C_SHIFT 24
4251#define EDP_PSR_TRANSCODER_B_SHIFT 16
4252#define EDP_PSR_TRANSCODER_A_SHIFT 8
4253#define EDP_PSR_TRANSCODER_EDP_SHIFT 0
fc340442 4254
f0f59a00 4255#define EDP_PSR_AUX_CTL _MMIO(dev_priv->psr_mmio_base + 0x10)
d544e918
DP
4256#define EDP_PSR_AUX_CTL_TIME_OUT_MASK (3 << 26)
4257#define EDP_PSR_AUX_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
4258#define EDP_PSR_AUX_CTL_PRECHARGE_2US_MASK (0xf << 16)
4259#define EDP_PSR_AUX_CTL_ERROR_INTERRUPT (1 << 11)
4260#define EDP_PSR_AUX_CTL_BIT_CLOCK_2X_MASK (0x7ff)
4261
f0f59a00 4262#define EDP_PSR_AUX_DATA(i) _MMIO(dev_priv->psr_mmio_base + 0x14 + (i) * 4) /* 5 registers */
2b28bb1b 4263
861023e0 4264#define EDP_PSR_STATUS _MMIO(dev_priv->psr_mmio_base + 0x40)
5ee8ee86 4265#define EDP_PSR_STATUS_STATE_MASK (7 << 29)
00b06296 4266#define EDP_PSR_STATUS_STATE_SHIFT 29
5ee8ee86
PZ
4267#define EDP_PSR_STATUS_STATE_IDLE (0 << 29)
4268#define EDP_PSR_STATUS_STATE_SRDONACK (1 << 29)
4269#define EDP_PSR_STATUS_STATE_SRDENT (2 << 29)
4270#define EDP_PSR_STATUS_STATE_BUFOFF (3 << 29)
4271#define EDP_PSR_STATUS_STATE_BUFON (4 << 29)
4272#define EDP_PSR_STATUS_STATE_AUXACK (5 << 29)
4273#define EDP_PSR_STATUS_STATE_SRDOFFACK (6 << 29)
4274#define EDP_PSR_STATUS_LINK_MASK (3 << 26)
4275#define EDP_PSR_STATUS_LINK_FULL_OFF (0 << 26)
4276#define EDP_PSR_STATUS_LINK_FULL_ON (1 << 26)
4277#define EDP_PSR_STATUS_LINK_STANDBY (2 << 26)
e91fd8c6
RV
4278#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_SHIFT 20
4279#define EDP_PSR_STATUS_MAX_SLEEP_TIMER_MASK 0x1f
4280#define EDP_PSR_STATUS_COUNT_SHIFT 16
4281#define EDP_PSR_STATUS_COUNT_MASK 0xf
5ee8ee86
PZ
4282#define EDP_PSR_STATUS_AUX_ERROR (1 << 15)
4283#define EDP_PSR_STATUS_AUX_SENDING (1 << 12)
4284#define EDP_PSR_STATUS_SENDING_IDLE (1 << 9)
4285#define EDP_PSR_STATUS_SENDING_TP2_TP3 (1 << 8)
4286#define EDP_PSR_STATUS_SENDING_TP1 (1 << 4)
e91fd8c6
RV
4287#define EDP_PSR_STATUS_IDLE_MASK 0xf
4288
f0f59a00 4289#define EDP_PSR_PERF_CNT _MMIO(dev_priv->psr_mmio_base + 0x44)
e91fd8c6 4290#define EDP_PSR_PERF_CNT_MASK 0xffffff
2b28bb1b 4291
62801bf6 4292#define EDP_PSR_DEBUG _MMIO(dev_priv->psr_mmio_base + 0x60) /* PSR_MASK on SKL+ */
5ee8ee86
PZ
4293#define EDP_PSR_DEBUG_MASK_MAX_SLEEP (1 << 28)
4294#define EDP_PSR_DEBUG_MASK_LPSP (1 << 27)
4295#define EDP_PSR_DEBUG_MASK_MEMUP (1 << 26)
4296#define EDP_PSR_DEBUG_MASK_HPD (1 << 25)
fc6ff9dc 4297#define EDP_PSR_DEBUG_MASK_DISP_REG_WRITE (1 << 16) /* Reserved in ICL+ */
5ee8ee86 4298#define EDP_PSR_DEBUG_EXIT_ON_PIXEL_UNDERRUN (1 << 15) /* SKL+ */
2b28bb1b 4299
f0f59a00 4300#define EDP_PSR2_CTL _MMIO(0x6f900)
5ee8ee86
PZ
4301#define EDP_PSR2_ENABLE (1 << 31)
4302#define EDP_SU_TRACK_ENABLE (1 << 30)
4303#define EDP_Y_COORDINATE_VALID (1 << 26) /* GLK and CNL+ */
4304#define EDP_Y_COORDINATE_ENABLE (1 << 25) /* GLK and CNL+ */
4305#define EDP_MAX_SU_DISABLE_TIME(t) ((t) << 20)
4306#define EDP_MAX_SU_DISABLE_TIME_MASK (0x1f << 20)
4307#define EDP_PSR2_TP2_TIME_500us (0 << 8)
4308#define EDP_PSR2_TP2_TIME_100us (1 << 8)
4309#define EDP_PSR2_TP2_TIME_2500us (2 << 8)
4310#define EDP_PSR2_TP2_TIME_50us (3 << 8)
4311#define EDP_PSR2_TP2_TIME_MASK (3 << 8)
474d1ec4 4312#define EDP_PSR2_FRAME_BEFORE_SU_SHIFT 4
5ee8ee86
PZ
4313#define EDP_PSR2_FRAME_BEFORE_SU_MASK (0xf << 4)
4314#define EDP_PSR2_FRAME_BEFORE_SU(a) ((a) << 4)
fe36181b
JRS
4315#define EDP_PSR2_IDLE_FRAME_MASK 0xf
4316#define EDP_PSR2_IDLE_FRAME_SHIFT 0
474d1ec4 4317
bc18b4df
JRS
4318#define _PSR_EVENT_TRANS_A 0x60848
4319#define _PSR_EVENT_TRANS_B 0x61848
4320#define _PSR_EVENT_TRANS_C 0x62848
4321#define _PSR_EVENT_TRANS_D 0x63848
4322#define _PSR_EVENT_TRANS_EDP 0x6F848
4323#define PSR_EVENT(trans) _MMIO_TRANS2(trans, _PSR_EVENT_TRANS_A)
4324#define PSR_EVENT_PSR2_WD_TIMER_EXPIRE (1 << 17)
4325#define PSR_EVENT_PSR2_DISABLED (1 << 16)
4326#define PSR_EVENT_SU_DIRTY_FIFO_UNDERRUN (1 << 15)
4327#define PSR_EVENT_SU_CRC_FIFO_UNDERRUN (1 << 14)
4328#define PSR_EVENT_GRAPHICS_RESET (1 << 12)
4329#define PSR_EVENT_PCH_INTERRUPT (1 << 11)
4330#define PSR_EVENT_MEMORY_UP (1 << 10)
4331#define PSR_EVENT_FRONT_BUFFER_MODIFY (1 << 9)
4332#define PSR_EVENT_WD_TIMER_EXPIRE (1 << 8)
4333#define PSR_EVENT_PIPE_REGISTERS_UPDATE (1 << 6)
fc6ff9dc 4334#define PSR_EVENT_REGISTER_UPDATE (1 << 5) /* Reserved in ICL+ */
bc18b4df
JRS
4335#define PSR_EVENT_HDCP_ENABLE (1 << 4)
4336#define PSR_EVENT_KVMR_SESSION_ENABLE (1 << 3)
4337#define PSR_EVENT_VBI_ENABLE (1 << 2)
4338#define PSR_EVENT_LPSP_MODE_EXIT (1 << 1)
4339#define PSR_EVENT_PSR_DISABLE (1 << 0)
4340
861023e0 4341#define EDP_PSR2_STATUS _MMIO(0x6f940)
5ee8ee86 4342#define EDP_PSR2_STATUS_STATE_MASK (0xf << 28)
6ba1f9e1 4343#define EDP_PSR2_STATUS_STATE_SHIFT 28
474d1ec4 4344
cc8853f5
JRS
4345#define _PSR2_SU_STATUS_0 0x6F914
4346#define _PSR2_SU_STATUS_1 0x6F918
4347#define _PSR2_SU_STATUS_2 0x6F91C
4348#define _PSR2_SU_STATUS(index) _MMIO(_PICK_EVEN((index), _PSR2_SU_STATUS_0, _PSR2_SU_STATUS_1))
4349#define PSR2_SU_STATUS(frame) (_PSR2_SU_STATUS((frame) / 3))
4350#define PSR2_SU_STATUS_SHIFT(frame) (((frame) % 3) * 10)
4351#define PSR2_SU_STATUS_MASK(frame) (0x3ff << PSR2_SU_STATUS_SHIFT(frame))
4352#define PSR2_SU_STATUS_FRAMES 8
4353
585fb111 4354/* VGA port control */
f0f59a00
VS
4355#define ADPA _MMIO(0x61100)
4356#define PCH_ADPA _MMIO(0xe1100)
4357#define VLV_ADPA _MMIO(VLV_DISPLAY_BASE + 0x61100)
ebc0fd88 4358
5ee8ee86 4359#define ADPA_DAC_ENABLE (1 << 31)
585fb111 4360#define ADPA_DAC_DISABLE 0
6102a8ee 4361#define ADPA_PIPE_SEL_SHIFT 30
5ee8ee86 4362#define ADPA_PIPE_SEL_MASK (1 << 30)
6102a8ee
VS
4363#define ADPA_PIPE_SEL(pipe) ((pipe) << 30)
4364#define ADPA_PIPE_SEL_SHIFT_CPT 29
5ee8ee86 4365#define ADPA_PIPE_SEL_MASK_CPT (3 << 29)
6102a8ee 4366#define ADPA_PIPE_SEL_CPT(pipe) ((pipe) << 29)
ebc0fd88 4367#define ADPA_CRT_HOTPLUG_MASK 0x03ff0000 /* bit 25-16 */
5ee8ee86
PZ
4368#define ADPA_CRT_HOTPLUG_MONITOR_NONE (0 << 24)
4369#define ADPA_CRT_HOTPLUG_MONITOR_MASK (3 << 24)
4370#define ADPA_CRT_HOTPLUG_MONITOR_COLOR (3 << 24)
4371#define ADPA_CRT_HOTPLUG_MONITOR_MONO (2 << 24)
4372#define ADPA_CRT_HOTPLUG_ENABLE (1 << 23)
4373#define ADPA_CRT_HOTPLUG_PERIOD_64 (0 << 22)
4374#define ADPA_CRT_HOTPLUG_PERIOD_128 (1 << 22)
4375#define ADPA_CRT_HOTPLUG_WARMUP_5MS (0 << 21)
4376#define ADPA_CRT_HOTPLUG_WARMUP_10MS (1 << 21)
4377#define ADPA_CRT_HOTPLUG_SAMPLE_2S (0 << 20)
4378#define ADPA_CRT_HOTPLUG_SAMPLE_4S (1 << 20)
4379#define ADPA_CRT_HOTPLUG_VOLTAGE_40 (0 << 18)
4380#define ADPA_CRT_HOTPLUG_VOLTAGE_50 (1 << 18)
4381#define ADPA_CRT_HOTPLUG_VOLTAGE_60 (2 << 18)
4382#define ADPA_CRT_HOTPLUG_VOLTAGE_70 (3 << 18)
4383#define ADPA_CRT_HOTPLUG_VOLREF_325MV (0 << 17)
4384#define ADPA_CRT_HOTPLUG_VOLREF_475MV (1 << 17)
4385#define ADPA_CRT_HOTPLUG_FORCE_TRIGGER (1 << 16)
4386#define ADPA_USE_VGA_HVPOLARITY (1 << 15)
585fb111 4387#define ADPA_SETS_HVPOLARITY 0
5ee8ee86 4388#define ADPA_VSYNC_CNTL_DISABLE (1 << 10)
585fb111 4389#define ADPA_VSYNC_CNTL_ENABLE 0
5ee8ee86 4390#define ADPA_HSYNC_CNTL_DISABLE (1 << 11)
585fb111 4391#define ADPA_HSYNC_CNTL_ENABLE 0
5ee8ee86 4392#define ADPA_VSYNC_ACTIVE_HIGH (1 << 4)
585fb111 4393#define ADPA_VSYNC_ACTIVE_LOW 0
5ee8ee86 4394#define ADPA_HSYNC_ACTIVE_HIGH (1 << 3)
585fb111 4395#define ADPA_HSYNC_ACTIVE_LOW 0
5ee8ee86
PZ
4396#define ADPA_DPMS_MASK (~(3 << 10))
4397#define ADPA_DPMS_ON (0 << 10)
4398#define ADPA_DPMS_SUSPEND (1 << 10)
4399#define ADPA_DPMS_STANDBY (2 << 10)
4400#define ADPA_DPMS_OFF (3 << 10)
585fb111 4401
939fe4d7 4402
585fb111 4403/* Hotplug control (945+ only) */
ed5eb1b7 4404#define PORT_HOTPLUG_EN _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61110)
26739f12
DV
4405#define PORTB_HOTPLUG_INT_EN (1 << 29)
4406#define PORTC_HOTPLUG_INT_EN (1 << 28)
4407#define PORTD_HOTPLUG_INT_EN (1 << 27)
585fb111
JB
4408#define SDVOB_HOTPLUG_INT_EN (1 << 26)
4409#define SDVOC_HOTPLUG_INT_EN (1 << 25)
4410#define TV_HOTPLUG_INT_EN (1 << 18)
4411#define CRT_HOTPLUG_INT_EN (1 << 9)
e5868a31
EE
4412#define HOTPLUG_INT_EN_MASK (PORTB_HOTPLUG_INT_EN | \
4413 PORTC_HOTPLUG_INT_EN | \
4414 PORTD_HOTPLUG_INT_EN | \
4415 SDVOC_HOTPLUG_INT_EN | \
4416 SDVOB_HOTPLUG_INT_EN | \
4417 CRT_HOTPLUG_INT_EN)
585fb111 4418#define CRT_HOTPLUG_FORCE_DETECT (1 << 3)
771cb081
ZY
4419#define CRT_HOTPLUG_ACTIVATION_PERIOD_32 (0 << 8)
4420/* must use period 64 on GM45 according to docs */
4421#define CRT_HOTPLUG_ACTIVATION_PERIOD_64 (1 << 8)
4422#define CRT_HOTPLUG_DAC_ON_TIME_2M (0 << 7)
4423#define CRT_HOTPLUG_DAC_ON_TIME_4M (1 << 7)
4424#define CRT_HOTPLUG_VOLTAGE_COMPARE_40 (0 << 5)
4425#define CRT_HOTPLUG_VOLTAGE_COMPARE_50 (1 << 5)
4426#define CRT_HOTPLUG_VOLTAGE_COMPARE_60 (2 << 5)
4427#define CRT_HOTPLUG_VOLTAGE_COMPARE_70 (3 << 5)
4428#define CRT_HOTPLUG_VOLTAGE_COMPARE_MASK (3 << 5)
4429#define CRT_HOTPLUG_DETECT_DELAY_1G (0 << 4)
4430#define CRT_HOTPLUG_DETECT_DELAY_2G (1 << 4)
4431#define CRT_HOTPLUG_DETECT_VOLTAGE_325MV (0 << 2)
4432#define CRT_HOTPLUG_DETECT_VOLTAGE_475MV (1 << 2)
585fb111 4433
ed5eb1b7 4434#define PORT_HOTPLUG_STAT _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61114)
0ce99f74 4435/*
0780cd36 4436 * HDMI/DP bits are g4x+
0ce99f74
DV
4437 *
4438 * WARNING: Bspec for hpd status bits on gen4 seems to be completely confused.
4439 * Please check the detailed lore in the commit message for for experimental
4440 * evidence.
4441 */
0780cd36
VS
4442/* Bspec says GM45 should match G4X/VLV/CHV, but reality disagrees */
4443#define PORTD_HOTPLUG_LIVE_STATUS_GM45 (1 << 29)
4444#define PORTC_HOTPLUG_LIVE_STATUS_GM45 (1 << 28)
4445#define PORTB_HOTPLUG_LIVE_STATUS_GM45 (1 << 27)
4446/* G4X/VLV/CHV DP/HDMI bits again match Bspec */
4447#define PORTD_HOTPLUG_LIVE_STATUS_G4X (1 << 27)
232a6ee9 4448#define PORTC_HOTPLUG_LIVE_STATUS_G4X (1 << 28)
0780cd36 4449#define PORTB_HOTPLUG_LIVE_STATUS_G4X (1 << 29)
26739f12 4450#define PORTD_HOTPLUG_INT_STATUS (3 << 21)
a211b497
DV
4451#define PORTD_HOTPLUG_INT_LONG_PULSE (2 << 21)
4452#define PORTD_HOTPLUG_INT_SHORT_PULSE (1 << 21)
26739f12 4453#define PORTC_HOTPLUG_INT_STATUS (3 << 19)
a211b497
DV
4454#define PORTC_HOTPLUG_INT_LONG_PULSE (2 << 19)
4455#define PORTC_HOTPLUG_INT_SHORT_PULSE (1 << 19)
26739f12 4456#define PORTB_HOTPLUG_INT_STATUS (3 << 17)
a211b497
DV
4457#define PORTB_HOTPLUG_INT_LONG_PULSE (2 << 17)
4458#define PORTB_HOTPLUG_INT_SHORT_PLUSE (1 << 17)
084b612e 4459/* CRT/TV common between gen3+ */
585fb111
JB
4460#define CRT_HOTPLUG_INT_STATUS (1 << 11)
4461#define TV_HOTPLUG_INT_STATUS (1 << 10)
4462#define CRT_HOTPLUG_MONITOR_MASK (3 << 8)
4463#define CRT_HOTPLUG_MONITOR_COLOR (3 << 8)
4464#define CRT_HOTPLUG_MONITOR_MONO (2 << 8)
4465#define CRT_HOTPLUG_MONITOR_NONE (0 << 8)
4aeebd74
DV
4466#define DP_AUX_CHANNEL_D_INT_STATUS_G4X (1 << 6)
4467#define DP_AUX_CHANNEL_C_INT_STATUS_G4X (1 << 5)
4468#define DP_AUX_CHANNEL_B_INT_STATUS_G4X (1 << 4)
bfbdb420
ID
4469#define DP_AUX_CHANNEL_MASK_INT_STATUS_G4X (7 << 4)
4470
084b612e
CW
4471/* SDVO is different across gen3/4 */
4472#define SDVOC_HOTPLUG_INT_STATUS_G4X (1 << 3)
4473#define SDVOB_HOTPLUG_INT_STATUS_G4X (1 << 2)
4f7fd709
DV
4474/*
4475 * Bspec seems to be seriously misleaded about the SDVO hpd bits on i965g/gm,
4476 * since reality corrobates that they're the same as on gen3. But keep these
4477 * bits here (and the comment!) to help any other lost wanderers back onto the
4478 * right tracks.
4479 */
084b612e
CW
4480#define SDVOC_HOTPLUG_INT_STATUS_I965 (3 << 4)
4481#define SDVOB_HOTPLUG_INT_STATUS_I965 (3 << 2)
4482#define SDVOC_HOTPLUG_INT_STATUS_I915 (1 << 7)
4483#define SDVOB_HOTPLUG_INT_STATUS_I915 (1 << 6)
e5868a31
EE
4484#define HOTPLUG_INT_STATUS_G4X (CRT_HOTPLUG_INT_STATUS | \
4485 SDVOB_HOTPLUG_INT_STATUS_G4X | \
4486 SDVOC_HOTPLUG_INT_STATUS_G4X | \
4487 PORTB_HOTPLUG_INT_STATUS | \
4488 PORTC_HOTPLUG_INT_STATUS | \
4489 PORTD_HOTPLUG_INT_STATUS)
e5868a31
EE
4490
4491#define HOTPLUG_INT_STATUS_I915 (CRT_HOTPLUG_INT_STATUS | \
4492 SDVOB_HOTPLUG_INT_STATUS_I915 | \
4493 SDVOC_HOTPLUG_INT_STATUS_I915 | \
4494 PORTB_HOTPLUG_INT_STATUS | \
4495 PORTC_HOTPLUG_INT_STATUS | \
4496 PORTD_HOTPLUG_INT_STATUS)
585fb111 4497
c20cd312
PZ
4498/* SDVO and HDMI port control.
4499 * The same register may be used for SDVO or HDMI */
f0f59a00
VS
4500#define _GEN3_SDVOB 0x61140
4501#define _GEN3_SDVOC 0x61160
4502#define GEN3_SDVOB _MMIO(_GEN3_SDVOB)
4503#define GEN3_SDVOC _MMIO(_GEN3_SDVOC)
c20cd312
PZ
4504#define GEN4_HDMIB GEN3_SDVOB
4505#define GEN4_HDMIC GEN3_SDVOC
f0f59a00
VS
4506#define VLV_HDMIB _MMIO(VLV_DISPLAY_BASE + 0x61140)
4507#define VLV_HDMIC _MMIO(VLV_DISPLAY_BASE + 0x61160)
4508#define CHV_HDMID _MMIO(VLV_DISPLAY_BASE + 0x6116C)
4509#define PCH_SDVOB _MMIO(0xe1140)
c20cd312 4510#define PCH_HDMIB PCH_SDVOB
f0f59a00
VS
4511#define PCH_HDMIC _MMIO(0xe1150)
4512#define PCH_HDMID _MMIO(0xe1160)
c20cd312 4513
f0f59a00 4514#define PORT_DFT_I9XX _MMIO(0x61150)
84093603 4515#define DC_BALANCE_RESET (1 << 25)
ed5eb1b7 4516#define PORT_DFT2_G4X _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61154)
84093603 4517#define DC_BALANCE_RESET_VLV (1 << 31)
eb736679
VS
4518#define PIPE_SCRAMBLE_RESET_MASK ((1 << 14) | (0x3 << 0))
4519#define PIPE_C_SCRAMBLE_RESET (1 << 14) /* chv */
84093603
DV
4520#define PIPE_B_SCRAMBLE_RESET (1 << 1)
4521#define PIPE_A_SCRAMBLE_RESET (1 << 0)
4522
c20cd312
PZ
4523/* Gen 3 SDVO bits: */
4524#define SDVO_ENABLE (1 << 31)
76203467 4525#define SDVO_PIPE_SEL_SHIFT 30
dc0fa718 4526#define SDVO_PIPE_SEL_MASK (1 << 30)
76203467 4527#define SDVO_PIPE_SEL(pipe) ((pipe) << 30)
c20cd312
PZ
4528#define SDVO_STALL_SELECT (1 << 29)
4529#define SDVO_INTERRUPT_ENABLE (1 << 26)
646b4269 4530/*
585fb111 4531 * 915G/GM SDVO pixel multiplier.
585fb111 4532 * Programmed value is multiplier - 1, up to 5x.
585fb111
JB
4533 * \sa DPLL_MD_UDI_MULTIPLIER_MASK
4534 */
c20cd312 4535#define SDVO_PORT_MULTIPLY_MASK (7 << 23)
585fb111 4536#define SDVO_PORT_MULTIPLY_SHIFT 23
c20cd312
PZ
4537#define SDVO_PHASE_SELECT_MASK (15 << 19)
4538#define SDVO_PHASE_SELECT_DEFAULT (6 << 19)
4539#define SDVO_CLOCK_OUTPUT_INVERT (1 << 18)
4540#define SDVOC_GANG_MODE (1 << 16) /* Port C only */
4541#define SDVO_BORDER_ENABLE (1 << 7) /* SDVO only */
4542#define SDVOB_PCIE_CONCURRENCY (1 << 3) /* Port B only */
4543#define SDVO_DETECTED (1 << 2)
585fb111 4544/* Bits to be preserved when writing */
c20cd312
PZ
4545#define SDVOB_PRESERVE_MASK ((1 << 17) | (1 << 16) | (1 << 14) | \
4546 SDVO_INTERRUPT_ENABLE)
4547#define SDVOC_PRESERVE_MASK ((1 << 17) | SDVO_INTERRUPT_ENABLE)
4548
4549/* Gen 4 SDVO/HDMI bits: */
4f3a8bc7 4550#define SDVO_COLOR_FORMAT_8bpc (0 << 26)
18442d08 4551#define SDVO_COLOR_FORMAT_MASK (7 << 26)
c20cd312
PZ
4552#define SDVO_ENCODING_SDVO (0 << 10)
4553#define SDVO_ENCODING_HDMI (2 << 10)
dc0fa718
PZ
4554#define HDMI_MODE_SELECT_HDMI (1 << 9) /* HDMI only */
4555#define HDMI_MODE_SELECT_DVI (0 << 9) /* HDMI only */
4f3a8bc7 4556#define HDMI_COLOR_RANGE_16_235 (1 << 8) /* HDMI only */
c20cd312
PZ
4557#define SDVO_AUDIO_ENABLE (1 << 6)
4558/* VSYNC/HSYNC bits new with 965, default is to be set */
4559#define SDVO_VSYNC_ACTIVE_HIGH (1 << 4)
4560#define SDVO_HSYNC_ACTIVE_HIGH (1 << 3)
4561
4562/* Gen 5 (IBX) SDVO/HDMI bits: */
4f3a8bc7 4563#define HDMI_COLOR_FORMAT_12bpc (3 << 26) /* HDMI only */
c20cd312
PZ
4564#define SDVOB_HOTPLUG_ENABLE (1 << 23) /* SDVO only */
4565
4566/* Gen 6 (CPT) SDVO/HDMI bits: */
76203467 4567#define SDVO_PIPE_SEL_SHIFT_CPT 29
dc0fa718 4568#define SDVO_PIPE_SEL_MASK_CPT (3 << 29)
76203467 4569#define SDVO_PIPE_SEL_CPT(pipe) ((pipe) << 29)
c20cd312 4570
44f37d1f 4571/* CHV SDVO/HDMI bits: */
76203467 4572#define SDVO_PIPE_SEL_SHIFT_CHV 24
44f37d1f 4573#define SDVO_PIPE_SEL_MASK_CHV (3 << 24)
76203467 4574#define SDVO_PIPE_SEL_CHV(pipe) ((pipe) << 24)
44f37d1f 4575
585fb111
JB
4576
4577/* DVO port control */
f0f59a00
VS
4578#define _DVOA 0x61120
4579#define DVOA _MMIO(_DVOA)
4580#define _DVOB 0x61140
4581#define DVOB _MMIO(_DVOB)
4582#define _DVOC 0x61160
4583#define DVOC _MMIO(_DVOC)
585fb111 4584#define DVO_ENABLE (1 << 31)
b45a2588
VS
4585#define DVO_PIPE_SEL_SHIFT 30
4586#define DVO_PIPE_SEL_MASK (1 << 30)
4587#define DVO_PIPE_SEL(pipe) ((pipe) << 30)
585fb111
JB
4588#define DVO_PIPE_STALL_UNUSED (0 << 28)
4589#define DVO_PIPE_STALL (1 << 28)
4590#define DVO_PIPE_STALL_TV (2 << 28)
4591#define DVO_PIPE_STALL_MASK (3 << 28)
4592#define DVO_USE_VGA_SYNC (1 << 15)
4593#define DVO_DATA_ORDER_I740 (0 << 14)
4594#define DVO_DATA_ORDER_FP (1 << 14)
4595#define DVO_VSYNC_DISABLE (1 << 11)
4596#define DVO_HSYNC_DISABLE (1 << 10)
4597#define DVO_VSYNC_TRISTATE (1 << 9)
4598#define DVO_HSYNC_TRISTATE (1 << 8)
4599#define DVO_BORDER_ENABLE (1 << 7)
4600#define DVO_DATA_ORDER_GBRG (1 << 6)
4601#define DVO_DATA_ORDER_RGGB (0 << 6)
4602#define DVO_DATA_ORDER_GBRG_ERRATA (0 << 6)
4603#define DVO_DATA_ORDER_RGGB_ERRATA (1 << 6)
4604#define DVO_VSYNC_ACTIVE_HIGH (1 << 4)
4605#define DVO_HSYNC_ACTIVE_HIGH (1 << 3)
4606#define DVO_BLANK_ACTIVE_HIGH (1 << 2)
4607#define DVO_OUTPUT_CSTATE_PIXELS (1 << 1) /* SDG only */
4608#define DVO_OUTPUT_SOURCE_SIZE_PIXELS (1 << 0) /* SDG only */
5ee8ee86 4609#define DVO_PRESERVE_MASK (0x7 << 24)
f0f59a00
VS
4610#define DVOA_SRCDIM _MMIO(0x61124)
4611#define DVOB_SRCDIM _MMIO(0x61144)
4612#define DVOC_SRCDIM _MMIO(0x61164)
585fb111
JB
4613#define DVO_SRCDIM_HORIZONTAL_SHIFT 12
4614#define DVO_SRCDIM_VERTICAL_SHIFT 0
4615
4616/* LVDS port control */
f0f59a00 4617#define LVDS _MMIO(0x61180)
585fb111
JB
4618/*
4619 * Enables the LVDS port. This bit must be set before DPLLs are enabled, as
4620 * the DPLL semantics change when the LVDS is assigned to that pipe.
4621 */
4622#define LVDS_PORT_EN (1 << 31)
4623/* Selects pipe B for LVDS data. Must be set on pre-965. */
a44628b9
VS
4624#define LVDS_PIPE_SEL_SHIFT 30
4625#define LVDS_PIPE_SEL_MASK (1 << 30)
4626#define LVDS_PIPE_SEL(pipe) ((pipe) << 30)
4627#define LVDS_PIPE_SEL_SHIFT_CPT 29
4628#define LVDS_PIPE_SEL_MASK_CPT (3 << 29)
4629#define LVDS_PIPE_SEL_CPT(pipe) ((pipe) << 29)
898822ce
ZY
4630/* LVDS dithering flag on 965/g4x platform */
4631#define LVDS_ENABLE_DITHER (1 << 25)
aa9b500d
BF
4632/* LVDS sync polarity flags. Set to invert (i.e. negative) */
4633#define LVDS_VSYNC_POLARITY (1 << 21)
4634#define LVDS_HSYNC_POLARITY (1 << 20)
4635
a3e17eb8
ZY
4636/* Enable border for unscaled (or aspect-scaled) display */
4637#define LVDS_BORDER_ENABLE (1 << 15)
585fb111
JB
4638/*
4639 * Enables the A0-A2 data pairs and CLKA, containing 18 bits of color data per
4640 * pixel.
4641 */
4642#define LVDS_A0A2_CLKA_POWER_MASK (3 << 8)
4643#define LVDS_A0A2_CLKA_POWER_DOWN (0 << 8)
4644#define LVDS_A0A2_CLKA_POWER_UP (3 << 8)
4645/*
4646 * Controls the A3 data pair, which contains the additional LSBs for 24 bit
4647 * mode. Only enabled if LVDS_A0A2_CLKA_POWER_UP also indicates it should be
4648 * on.
4649 */
4650#define LVDS_A3_POWER_MASK (3 << 6)
4651#define LVDS_A3_POWER_DOWN (0 << 6)
4652#define LVDS_A3_POWER_UP (3 << 6)
4653/*
4654 * Controls the CLKB pair. This should only be set when LVDS_B0B3_POWER_UP
4655 * is set.
4656 */
4657#define LVDS_CLKB_POWER_MASK (3 << 4)
4658#define LVDS_CLKB_POWER_DOWN (0 << 4)
4659#define LVDS_CLKB_POWER_UP (3 << 4)
4660/*
4661 * Controls the B0-B3 data pairs. This must be set to match the DPLL p2
4662 * setting for whether we are in dual-channel mode. The B3 pair will
4663 * additionally only be powered up when LVDS_A3_POWER_UP is set.
4664 */
4665#define LVDS_B0B3_POWER_MASK (3 << 2)
4666#define LVDS_B0B3_POWER_DOWN (0 << 2)
4667#define LVDS_B0B3_POWER_UP (3 << 2)
4668
3c17fe4b 4669/* Video Data Island Packet control */
f0f59a00 4670#define VIDEO_DIP_DATA _MMIO(0x61178)
fd0753cf 4671/* Read the description of VIDEO_DIP_DATA (before Haswell) or VIDEO_DIP_ECC
adf00b26
PZ
4672 * (Haswell and newer) to see which VIDEO_DIP_DATA byte corresponds to each byte
4673 * of the infoframe structure specified by CEA-861. */
4674#define VIDEO_DIP_DATA_SIZE 32
2b28bb1b 4675#define VIDEO_DIP_VSC_DATA_SIZE 36
4c614831 4676#define VIDEO_DIP_PPS_DATA_SIZE 132
f0f59a00 4677#define VIDEO_DIP_CTL _MMIO(0x61170)
2da8af54 4678/* Pre HSW: */
3c17fe4b 4679#define VIDEO_DIP_ENABLE (1 << 31)
822cdc52 4680#define VIDEO_DIP_PORT(port) ((port) << 29)
3e6e6395 4681#define VIDEO_DIP_PORT_MASK (3 << 29)
5cb3c1a1 4682#define VIDEO_DIP_ENABLE_GCP (1 << 25) /* ilk+ */
3c17fe4b
DH
4683#define VIDEO_DIP_ENABLE_AVI (1 << 21)
4684#define VIDEO_DIP_ENABLE_VENDOR (2 << 21)
5cb3c1a1 4685#define VIDEO_DIP_ENABLE_GAMUT (4 << 21) /* ilk+ */
3c17fe4b
DH
4686#define VIDEO_DIP_ENABLE_SPD (8 << 21)
4687#define VIDEO_DIP_SELECT_AVI (0 << 19)
4688#define VIDEO_DIP_SELECT_VENDOR (1 << 19)
5cb3c1a1 4689#define VIDEO_DIP_SELECT_GAMUT (2 << 19)
3c17fe4b 4690#define VIDEO_DIP_SELECT_SPD (3 << 19)
45187ace 4691#define VIDEO_DIP_SELECT_MASK (3 << 19)
3c17fe4b
DH
4692#define VIDEO_DIP_FREQ_ONCE (0 << 16)
4693#define VIDEO_DIP_FREQ_VSYNC (1 << 16)
4694#define VIDEO_DIP_FREQ_2VSYNC (2 << 16)
60c5ea2d 4695#define VIDEO_DIP_FREQ_MASK (3 << 16)
2da8af54 4696/* HSW and later: */
a670be33
DP
4697#define DRM_DIP_ENABLE (1 << 28)
4698#define PSR_VSC_BIT_7_SET (1 << 27)
4699#define VSC_SELECT_MASK (0x3 << 25)
4700#define VSC_SELECT_SHIFT 25
4701#define VSC_DIP_HW_HEA_DATA (0 << 25)
4702#define VSC_DIP_HW_HEA_SW_DATA (1 << 25)
4703#define VSC_DIP_HW_DATA_SW_HEA (2 << 25)
4704#define VSC_DIP_SW_HEA_DATA (3 << 25)
4705#define VDIP_ENABLE_PPS (1 << 24)
0dd87d20
PZ
4706#define VIDEO_DIP_ENABLE_VSC_HSW (1 << 20)
4707#define VIDEO_DIP_ENABLE_GCP_HSW (1 << 16)
2da8af54 4708#define VIDEO_DIP_ENABLE_AVI_HSW (1 << 12)
0dd87d20
PZ
4709#define VIDEO_DIP_ENABLE_VS_HSW (1 << 8)
4710#define VIDEO_DIP_ENABLE_GMP_HSW (1 << 4)
2da8af54 4711#define VIDEO_DIP_ENABLE_SPD_HSW (1 << 0)
3c17fe4b 4712
585fb111 4713/* Panel power sequencing */
44cb734c
ID
4714#define PPS_BASE 0x61200
4715#define VLV_PPS_BASE (VLV_DISPLAY_BASE + PPS_BASE)
4716#define PCH_PPS_BASE 0xC7200
4717
4718#define _MMIO_PPS(pps_idx, reg) _MMIO(dev_priv->pps_mmio_base - \
4719 PPS_BASE + (reg) + \
4720 (pps_idx) * 0x100)
4721
4722#define _PP_STATUS 0x61200
4723#define PP_STATUS(pps_idx) _MMIO_PPS(pps_idx, _PP_STATUS)
09b434d4 4724#define PP_ON REG_BIT(31)
f4ff2120
MC
4725
4726#define _PP_CONTROL_1 0xc7204
4727#define _PP_CONTROL_2 0xc7304
4728#define ICP_PP_CONTROL(x) _MMIO(((x) == 1) ? _PP_CONTROL_1 : \
4729 _PP_CONTROL_2)
09b434d4 4730#define POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4731#define VDD_OVERRIDE_FORCE REG_BIT(3)
4732#define BACKLIGHT_ENABLE REG_BIT(2)
4733#define PWR_DOWN_ON_RESET REG_BIT(1)
4734#define PWR_STATE_TARGET REG_BIT(0)
585fb111
JB
4735/*
4736 * Indicates that all dependencies of the panel are on:
4737 *
4738 * - PLL enabled
4739 * - pipe enabled
4740 * - LVDS/DVOB/DVOC on
4741 */
09b434d4
JN
4742#define PP_READY REG_BIT(30)
4743#define PP_SEQUENCE_MASK REG_GENMASK(29, 28)
baa09e7d
JN
4744#define PP_SEQUENCE_NONE REG_FIELD_PREP(PP_SEQUENCE_MASK, 0)
4745#define PP_SEQUENCE_POWER_UP REG_FIELD_PREP(PP_SEQUENCE_MASK, 1)
4746#define PP_SEQUENCE_POWER_DOWN REG_FIELD_PREP(PP_SEQUENCE_MASK, 2)
09b434d4
JN
4747#define PP_CYCLE_DELAY_ACTIVE REG_BIT(27)
4748#define PP_SEQUENCE_STATE_MASK REG_GENMASK(3, 0)
baa09e7d
JN
4749#define PP_SEQUENCE_STATE_OFF_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x0)
4750#define PP_SEQUENCE_STATE_OFF_S0_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x1)
4751#define PP_SEQUENCE_STATE_OFF_S0_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x2)
4752#define PP_SEQUENCE_STATE_OFF_S0_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x3)
4753#define PP_SEQUENCE_STATE_ON_IDLE REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x8)
4754#define PP_SEQUENCE_STATE_ON_S1_1 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0x9)
4755#define PP_SEQUENCE_STATE_ON_S1_2 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xa)
4756#define PP_SEQUENCE_STATE_ON_S1_3 REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xb)
4757#define PP_SEQUENCE_STATE_RESET REG_FIELD_PREP(PP_SEQUENCE_STATE_MASK, 0xf)
44cb734c
ID
4758
4759#define _PP_CONTROL 0x61204
4760#define PP_CONTROL(pps_idx) _MMIO_PPS(pps_idx, _PP_CONTROL)
09b434d4 4761#define PANEL_UNLOCK_MASK REG_GENMASK(31, 16)
baa09e7d 4762#define PANEL_UNLOCK_REGS REG_FIELD_PREP(PANEL_UNLOCK_MASK, 0xabcd)
09b434d4 4763#define BXT_POWER_CYCLE_DELAY_MASK REG_GENMASK(8, 4)
09b434d4
JN
4764#define EDP_FORCE_VDD REG_BIT(3)
4765#define EDP_BLC_ENABLE REG_BIT(2)
4766#define PANEL_POWER_RESET REG_BIT(1)
4767#define PANEL_POWER_ON REG_BIT(0)
44cb734c
ID
4768
4769#define _PP_ON_DELAYS 0x61208
4770#define PP_ON_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_ON_DELAYS)
09b434d4 4771#define PANEL_PORT_SELECT_MASK REG_GENMASK(31, 30)
baa09e7d
JN
4772#define PANEL_PORT_SELECT_LVDS REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 0)
4773#define PANEL_PORT_SELECT_DPA REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 1)
4774#define PANEL_PORT_SELECT_DPC REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 2)
4775#define PANEL_PORT_SELECT_DPD REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, 3)
4776#define PANEL_PORT_SELECT_VLV(port) REG_FIELD_PREP(PANEL_PORT_SELECT_MASK, port)
09b434d4 4777#define PANEL_POWER_UP_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4778#define PANEL_LIGHT_ON_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4779
4780#define _PP_OFF_DELAYS 0x6120C
4781#define PP_OFF_DELAYS(pps_idx) _MMIO_PPS(pps_idx, _PP_OFF_DELAYS)
09b434d4 4782#define PANEL_POWER_DOWN_DELAY_MASK REG_GENMASK(28, 16)
09b434d4 4783#define PANEL_LIGHT_OFF_DELAY_MASK REG_GENMASK(12, 0)
44cb734c
ID
4784
4785#define _PP_DIVISOR 0x61210
4786#define PP_DIVISOR(pps_idx) _MMIO_PPS(pps_idx, _PP_DIVISOR)
09b434d4 4787#define PP_REFERENCE_DIVIDER_MASK REG_GENMASK(31, 8)
09b434d4 4788#define PANEL_POWER_CYCLE_DELAY_MASK REG_GENMASK(4, 0)
585fb111
JB
4789
4790/* Panel fitting */
ed5eb1b7 4791#define PFIT_CONTROL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61230)
585fb111
JB
4792#define PFIT_ENABLE (1 << 31)
4793#define PFIT_PIPE_MASK (3 << 29)
4794#define PFIT_PIPE_SHIFT 29
4795#define VERT_INTERP_DISABLE (0 << 10)
4796#define VERT_INTERP_BILINEAR (1 << 10)
4797#define VERT_INTERP_MASK (3 << 10)
4798#define VERT_AUTO_SCALE (1 << 9)
4799#define HORIZ_INTERP_DISABLE (0 << 6)
4800#define HORIZ_INTERP_BILINEAR (1 << 6)
4801#define HORIZ_INTERP_MASK (3 << 6)
4802#define HORIZ_AUTO_SCALE (1 << 5)
4803#define PANEL_8TO6_DITHER_ENABLE (1 << 3)
3fbe18d6
ZY
4804#define PFIT_FILTER_FUZZY (0 << 24)
4805#define PFIT_SCALING_AUTO (0 << 26)
4806#define PFIT_SCALING_PROGRAMMED (1 << 26)
4807#define PFIT_SCALING_PILLAR (2 << 26)
4808#define PFIT_SCALING_LETTER (3 << 26)
ed5eb1b7 4809#define PFIT_PGM_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61234)
3fbe18d6
ZY
4810/* Pre-965 */
4811#define PFIT_VERT_SCALE_SHIFT 20
4812#define PFIT_VERT_SCALE_MASK 0xfff00000
4813#define PFIT_HORIZ_SCALE_SHIFT 4
4814#define PFIT_HORIZ_SCALE_MASK 0x0000fff0
4815/* 965+ */
4816#define PFIT_VERT_SCALE_SHIFT_965 16
4817#define PFIT_VERT_SCALE_MASK_965 0x1fff0000
4818#define PFIT_HORIZ_SCALE_SHIFT_965 0
4819#define PFIT_HORIZ_SCALE_MASK_965 0x00001fff
4820
ed5eb1b7 4821#define PFIT_AUTO_RATIOS _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61238)
585fb111 4822
ed5eb1b7
JN
4823#define _VLV_BLC_PWM_CTL2_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61250)
4824#define _VLV_BLC_PWM_CTL2_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61350)
f0f59a00
VS
4825#define VLV_BLC_PWM_CTL2(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL2_A, \
4826 _VLV_BLC_PWM_CTL2_B)
07bf139b 4827
ed5eb1b7
JN
4828#define _VLV_BLC_PWM_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
4829#define _VLV_BLC_PWM_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61354)
f0f59a00
VS
4830#define VLV_BLC_PWM_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_PWM_CTL_A, \
4831 _VLV_BLC_PWM_CTL_B)
07bf139b 4832
ed5eb1b7
JN
4833#define _VLV_BLC_HIST_CTL_A (DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
4834#define _VLV_BLC_HIST_CTL_B (DISPLAY_MMIO_BASE(dev_priv) + 0x61360)
f0f59a00
VS
4835#define VLV_BLC_HIST_CTL(pipe) _MMIO_PIPE(pipe, _VLV_BLC_HIST_CTL_A, \
4836 _VLV_BLC_HIST_CTL_B)
07bf139b 4837
585fb111 4838/* Backlight control */
ed5eb1b7 4839#define BLC_PWM_CTL2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61250) /* 965+ only */
7cf41601
DV
4840#define BLM_PWM_ENABLE (1 << 31)
4841#define BLM_COMBINATION_MODE (1 << 30) /* gen4 only */
4842#define BLM_PIPE_SELECT (1 << 29)
4843#define BLM_PIPE_SELECT_IVB (3 << 29)
4844#define BLM_PIPE_A (0 << 29)
4845#define BLM_PIPE_B (1 << 29)
4846#define BLM_PIPE_C (2 << 29) /* ivb + */
35ffda48
JN
4847#define BLM_TRANSCODER_A BLM_PIPE_A /* hsw */
4848#define BLM_TRANSCODER_B BLM_PIPE_B
4849#define BLM_TRANSCODER_C BLM_PIPE_C
4850#define BLM_TRANSCODER_EDP (3 << 29)
7cf41601
DV
4851#define BLM_PIPE(pipe) ((pipe) << 29)
4852#define BLM_POLARITY_I965 (1 << 28) /* gen4 only */
4853#define BLM_PHASE_IN_INTERUPT_STATUS (1 << 26)
4854#define BLM_PHASE_IN_ENABLE (1 << 25)
4855#define BLM_PHASE_IN_INTERUPT_ENABL (1 << 24)
4856#define BLM_PHASE_IN_TIME_BASE_SHIFT (16)
4857#define BLM_PHASE_IN_TIME_BASE_MASK (0xff << 16)
4858#define BLM_PHASE_IN_COUNT_SHIFT (8)
4859#define BLM_PHASE_IN_COUNT_MASK (0xff << 8)
4860#define BLM_PHASE_IN_INCR_SHIFT (0)
4861#define BLM_PHASE_IN_INCR_MASK (0xff << 0)
ed5eb1b7 4862#define BLC_PWM_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61254)
ba3820ad
TI
4863/*
4864 * This is the most significant 15 bits of the number of backlight cycles in a
4865 * complete cycle of the modulated backlight control.
4866 *
4867 * The actual value is this field multiplied by two.
4868 */
7cf41601
DV
4869#define BACKLIGHT_MODULATION_FREQ_SHIFT (17)
4870#define BACKLIGHT_MODULATION_FREQ_MASK (0x7fff << 17)
4871#define BLM_LEGACY_MODE (1 << 16) /* gen2 only */
585fb111
JB
4872/*
4873 * This is the number of cycles out of the backlight modulation cycle for which
4874 * the backlight is on.
4875 *
4876 * This field must be no greater than the number of cycles in the complete
4877 * backlight modulation cycle.
4878 */
4879#define BACKLIGHT_DUTY_CYCLE_SHIFT (0)
4880#define BACKLIGHT_DUTY_CYCLE_MASK (0xffff)
534b5a53
DV
4881#define BACKLIGHT_DUTY_CYCLE_MASK_PNV (0xfffe)
4882#define BLM_POLARITY_PNV (1 << 0) /* pnv only */
585fb111 4883
ed5eb1b7 4884#define BLC_HIST_CTL _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x61260)
2059ac3b 4885#define BLM_HISTOGRAM_ENABLE (1 << 31)
0eb96d6e 4886
7cf41601
DV
4887/* New registers for PCH-split platforms. Safe where new bits show up, the
4888 * register layout machtes with gen4 BLC_PWM_CTL[12]. */
f0f59a00
VS
4889#define BLC_PWM_CPU_CTL2 _MMIO(0x48250)
4890#define BLC_PWM_CPU_CTL _MMIO(0x48254)
7cf41601 4891
f0f59a00 4892#define HSW_BLC_PWM2_CTL _MMIO(0x48350)
be256dc7 4893
7cf41601
DV
4894/* PCH CTL1 is totally different, all but the below bits are reserved. CTL2 is
4895 * like the normal CTL from gen4 and earlier. Hooray for confusing naming. */
f0f59a00 4896#define BLC_PWM_PCH_CTL1 _MMIO(0xc8250)
4b4147c3 4897#define BLM_PCH_PWM_ENABLE (1 << 31)
7cf41601
DV
4898#define BLM_PCH_OVERRIDE_ENABLE (1 << 30)
4899#define BLM_PCH_POLARITY (1 << 29)
f0f59a00 4900#define BLC_PWM_PCH_CTL2 _MMIO(0xc8254)
7cf41601 4901
f0f59a00 4902#define UTIL_PIN_CTL _MMIO(0x48400)
be256dc7
PZ
4903#define UTIL_PIN_ENABLE (1 << 31)
4904
022e4e52
SK
4905#define UTIL_PIN_PIPE(x) ((x) << 29)
4906#define UTIL_PIN_PIPE_MASK (3 << 29)
4907#define UTIL_PIN_MODE_PWM (1 << 24)
4908#define UTIL_PIN_MODE_MASK (0xf << 24)
4909#define UTIL_PIN_POLARITY (1 << 22)
4910
0fb890c0 4911/* BXT backlight register definition. */
022e4e52 4912#define _BXT_BLC_PWM_CTL1 0xC8250
0fb890c0
VK
4913#define BXT_BLC_PWM_ENABLE (1 << 31)
4914#define BXT_BLC_PWM_POLARITY (1 << 29)
022e4e52
SK
4915#define _BXT_BLC_PWM_FREQ1 0xC8254
4916#define _BXT_BLC_PWM_DUTY1 0xC8258
4917
4918#define _BXT_BLC_PWM_CTL2 0xC8350
4919#define _BXT_BLC_PWM_FREQ2 0xC8354
4920#define _BXT_BLC_PWM_DUTY2 0xC8358
4921
f0f59a00 4922#define BXT_BLC_PWM_CTL(controller) _MMIO_PIPE(controller, \
022e4e52 4923 _BXT_BLC_PWM_CTL1, _BXT_BLC_PWM_CTL2)
f0f59a00 4924#define BXT_BLC_PWM_FREQ(controller) _MMIO_PIPE(controller, \
022e4e52 4925 _BXT_BLC_PWM_FREQ1, _BXT_BLC_PWM_FREQ2)
f0f59a00 4926#define BXT_BLC_PWM_DUTY(controller) _MMIO_PIPE(controller, \
022e4e52 4927 _BXT_BLC_PWM_DUTY1, _BXT_BLC_PWM_DUTY2)
0fb890c0 4928
f0f59a00 4929#define PCH_GTC_CTL _MMIO(0xe7000)
be256dc7
PZ
4930#define PCH_GTC_ENABLE (1 << 31)
4931
585fb111 4932/* TV port control */
f0f59a00 4933#define TV_CTL _MMIO(0x68000)
646b4269 4934/* Enables the TV encoder */
585fb111 4935# define TV_ENC_ENABLE (1 << 31)
646b4269 4936/* Sources the TV encoder input from pipe B instead of A. */
4add0f6b
VS
4937# define TV_ENC_PIPE_SEL_SHIFT 30
4938# define TV_ENC_PIPE_SEL_MASK (1 << 30)
4939# define TV_ENC_PIPE_SEL(pipe) ((pipe) << 30)
646b4269 4940/* Outputs composite video (DAC A only) */
585fb111 4941# define TV_ENC_OUTPUT_COMPOSITE (0 << 28)
646b4269 4942/* Outputs SVideo video (DAC B/C) */
585fb111 4943# define TV_ENC_OUTPUT_SVIDEO (1 << 28)
646b4269 4944/* Outputs Component video (DAC A/B/C) */
585fb111 4945# define TV_ENC_OUTPUT_COMPONENT (2 << 28)
646b4269 4946/* Outputs Composite and SVideo (DAC A/B/C) */
585fb111
JB
4947# define TV_ENC_OUTPUT_SVIDEO_COMPOSITE (3 << 28)
4948# define TV_TRILEVEL_SYNC (1 << 21)
646b4269 4949/* Enables slow sync generation (945GM only) */
585fb111 4950# define TV_SLOW_SYNC (1 << 20)
646b4269 4951/* Selects 4x oversampling for 480i and 576p */
585fb111 4952# define TV_OVERSAMPLE_4X (0 << 18)
646b4269 4953/* Selects 2x oversampling for 720p and 1080i */
585fb111 4954# define TV_OVERSAMPLE_2X (1 << 18)
646b4269 4955/* Selects no oversampling for 1080p */
585fb111 4956# define TV_OVERSAMPLE_NONE (2 << 18)
646b4269 4957/* Selects 8x oversampling */
585fb111 4958# define TV_OVERSAMPLE_8X (3 << 18)
e3bb355c 4959# define TV_OVERSAMPLE_MASK (3 << 18)
646b4269 4960/* Selects progressive mode rather than interlaced */
585fb111 4961# define TV_PROGRESSIVE (1 << 17)
646b4269 4962/* Sets the colorburst to PAL mode. Required for non-M PAL modes. */
585fb111 4963# define TV_PAL_BURST (1 << 16)
646b4269 4964/* Field for setting delay of Y compared to C */
585fb111 4965# define TV_YC_SKEW_MASK (7 << 12)
646b4269 4966/* Enables a fix for 480p/576p standard definition modes on the 915GM only */
585fb111 4967# define TV_ENC_SDP_FIX (1 << 11)
646b4269 4968/*
585fb111
JB
4969 * Enables a fix for the 915GM only.
4970 *
4971 * Not sure what it does.
4972 */
4973# define TV_ENC_C0_FIX (1 << 10)
646b4269 4974/* Bits that must be preserved by software */
d2d9f232 4975# define TV_CTL_SAVE ((1 << 11) | (3 << 9) | (7 << 6) | 0xf)
585fb111 4976# define TV_FUSE_STATE_MASK (3 << 4)
646b4269 4977/* Read-only state that reports all features enabled */
585fb111 4978# define TV_FUSE_STATE_ENABLED (0 << 4)
646b4269 4979/* Read-only state that reports that Macrovision is disabled in hardware*/
585fb111 4980# define TV_FUSE_STATE_NO_MACROVISION (1 << 4)
646b4269 4981/* Read-only state that reports that TV-out is disabled in hardware. */
585fb111 4982# define TV_FUSE_STATE_DISABLED (2 << 4)
646b4269 4983/* Normal operation */
585fb111 4984# define TV_TEST_MODE_NORMAL (0 << 0)
646b4269 4985/* Encoder test pattern 1 - combo pattern */
585fb111 4986# define TV_TEST_MODE_PATTERN_1 (1 << 0)
646b4269 4987/* Encoder test pattern 2 - full screen vertical 75% color bars */
585fb111 4988# define TV_TEST_MODE_PATTERN_2 (2 << 0)
646b4269 4989/* Encoder test pattern 3 - full screen horizontal 75% color bars */
585fb111 4990# define TV_TEST_MODE_PATTERN_3 (3 << 0)
646b4269 4991/* Encoder test pattern 4 - random noise */
585fb111 4992# define TV_TEST_MODE_PATTERN_4 (4 << 0)
646b4269 4993/* Encoder test pattern 5 - linear color ramps */
585fb111 4994# define TV_TEST_MODE_PATTERN_5 (5 << 0)
646b4269 4995/*
585fb111
JB
4996 * This test mode forces the DACs to 50% of full output.
4997 *
4998 * This is used for load detection in combination with TVDAC_SENSE_MASK
4999 */
5000# define TV_TEST_MODE_MONITOR_DETECT (7 << 0)
5001# define TV_TEST_MODE_MASK (7 << 0)
5002
f0f59a00 5003#define TV_DAC _MMIO(0x68004)
b8ed2a4f 5004# define TV_DAC_SAVE 0x00ffff00
646b4269 5005/*
585fb111
JB
5006 * Reports that DAC state change logic has reported change (RO).
5007 *
5008 * This gets cleared when TV_DAC_STATE_EN is cleared
5009*/
5010# define TVDAC_STATE_CHG (1 << 31)
5011# define TVDAC_SENSE_MASK (7 << 28)
646b4269 5012/* Reports that DAC A voltage is above the detect threshold */
585fb111 5013# define TVDAC_A_SENSE (1 << 30)
646b4269 5014/* Reports that DAC B voltage is above the detect threshold */
585fb111 5015# define TVDAC_B_SENSE (1 << 29)
646b4269 5016/* Reports that DAC C voltage is above the detect threshold */
585fb111 5017# define TVDAC_C_SENSE (1 << 28)
646b4269 5018/*
585fb111
JB
5019 * Enables DAC state detection logic, for load-based TV detection.
5020 *
5021 * The PLL of the chosen pipe (in TV_CTL) must be running, and the encoder set
5022 * to off, for load detection to work.
5023 */
5024# define TVDAC_STATE_CHG_EN (1 << 27)
646b4269 5025/* Sets the DAC A sense value to high */
585fb111 5026# define TVDAC_A_SENSE_CTL (1 << 26)
646b4269 5027/* Sets the DAC B sense value to high */
585fb111 5028# define TVDAC_B_SENSE_CTL (1 << 25)
646b4269 5029/* Sets the DAC C sense value to high */
585fb111 5030# define TVDAC_C_SENSE_CTL (1 << 24)
646b4269 5031/* Overrides the ENC_ENABLE and DAC voltage levels */
585fb111 5032# define DAC_CTL_OVERRIDE (1 << 7)
646b4269 5033/* Sets the slew rate. Must be preserved in software */
585fb111
JB
5034# define ENC_TVDAC_SLEW_FAST (1 << 6)
5035# define DAC_A_1_3_V (0 << 4)
5036# define DAC_A_1_1_V (1 << 4)
5037# define DAC_A_0_7_V (2 << 4)
cb66c692 5038# define DAC_A_MASK (3 << 4)
585fb111
JB
5039# define DAC_B_1_3_V (0 << 2)
5040# define DAC_B_1_1_V (1 << 2)
5041# define DAC_B_0_7_V (2 << 2)
cb66c692 5042# define DAC_B_MASK (3 << 2)
585fb111
JB
5043# define DAC_C_1_3_V (0 << 0)
5044# define DAC_C_1_1_V (1 << 0)
5045# define DAC_C_0_7_V (2 << 0)
cb66c692 5046# define DAC_C_MASK (3 << 0)
585fb111 5047
646b4269 5048/*
585fb111
JB
5049 * CSC coefficients are stored in a floating point format with 9 bits of
5050 * mantissa and 2 or 3 bits of exponent. The exponent is represented as 2**-n,
5051 * where 2-bit exponents are unsigned n, and 3-bit exponents are signed n with
5052 * -1 (0x3) being the only legal negative value.
5053 */
f0f59a00 5054#define TV_CSC_Y _MMIO(0x68010)
585fb111
JB
5055# define TV_RY_MASK 0x07ff0000
5056# define TV_RY_SHIFT 16
5057# define TV_GY_MASK 0x00000fff
5058# define TV_GY_SHIFT 0
5059
f0f59a00 5060#define TV_CSC_Y2 _MMIO(0x68014)
585fb111
JB
5061# define TV_BY_MASK 0x07ff0000
5062# define TV_BY_SHIFT 16
646b4269 5063/*
585fb111
JB
5064 * Y attenuation for component video.
5065 *
5066 * Stored in 1.9 fixed point.
5067 */
5068# define TV_AY_MASK 0x000003ff
5069# define TV_AY_SHIFT 0
5070
f0f59a00 5071#define TV_CSC_U _MMIO(0x68018)
585fb111
JB
5072# define TV_RU_MASK 0x07ff0000
5073# define TV_RU_SHIFT 16
5074# define TV_GU_MASK 0x000007ff
5075# define TV_GU_SHIFT 0
5076
f0f59a00 5077#define TV_CSC_U2 _MMIO(0x6801c)
585fb111
JB
5078# define TV_BU_MASK 0x07ff0000
5079# define TV_BU_SHIFT 16
646b4269 5080/*
585fb111
JB
5081 * U attenuation for component video.
5082 *
5083 * Stored in 1.9 fixed point.
5084 */
5085# define TV_AU_MASK 0x000003ff
5086# define TV_AU_SHIFT 0
5087
f0f59a00 5088#define TV_CSC_V _MMIO(0x68020)
585fb111
JB
5089# define TV_RV_MASK 0x0fff0000
5090# define TV_RV_SHIFT 16
5091# define TV_GV_MASK 0x000007ff
5092# define TV_GV_SHIFT 0
5093
f0f59a00 5094#define TV_CSC_V2 _MMIO(0x68024)
585fb111
JB
5095# define TV_BV_MASK 0x07ff0000
5096# define TV_BV_SHIFT 16
646b4269 5097/*
585fb111
JB
5098 * V attenuation for component video.
5099 *
5100 * Stored in 1.9 fixed point.
5101 */
5102# define TV_AV_MASK 0x000007ff
5103# define TV_AV_SHIFT 0
5104
f0f59a00 5105#define TV_CLR_KNOBS _MMIO(0x68028)
646b4269 5106/* 2s-complement brightness adjustment */
585fb111
JB
5107# define TV_BRIGHTNESS_MASK 0xff000000
5108# define TV_BRIGHTNESS_SHIFT 24
646b4269 5109/* Contrast adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5110# define TV_CONTRAST_MASK 0x00ff0000
5111# define TV_CONTRAST_SHIFT 16
646b4269 5112/* Saturation adjustment, as a 2.6 unsigned floating point number */
585fb111
JB
5113# define TV_SATURATION_MASK 0x0000ff00
5114# define TV_SATURATION_SHIFT 8
646b4269 5115/* Hue adjustment, as an integer phase angle in degrees */
585fb111
JB
5116# define TV_HUE_MASK 0x000000ff
5117# define TV_HUE_SHIFT 0
5118
f0f59a00 5119#define TV_CLR_LEVEL _MMIO(0x6802c)
646b4269 5120/* Controls the DAC level for black */
585fb111
JB
5121# define TV_BLACK_LEVEL_MASK 0x01ff0000
5122# define TV_BLACK_LEVEL_SHIFT 16
646b4269 5123/* Controls the DAC level for blanking */
585fb111
JB
5124# define TV_BLANK_LEVEL_MASK 0x000001ff
5125# define TV_BLANK_LEVEL_SHIFT 0
5126
f0f59a00 5127#define TV_H_CTL_1 _MMIO(0x68030)
646b4269 5128/* Number of pixels in the hsync. */
585fb111
JB
5129# define TV_HSYNC_END_MASK 0x1fff0000
5130# define TV_HSYNC_END_SHIFT 16
646b4269 5131/* Total number of pixels minus one in the line (display and blanking). */
585fb111
JB
5132# define TV_HTOTAL_MASK 0x00001fff
5133# define TV_HTOTAL_SHIFT 0
5134
f0f59a00 5135#define TV_H_CTL_2 _MMIO(0x68034)
646b4269 5136/* Enables the colorburst (needed for non-component color) */
585fb111 5137# define TV_BURST_ENA (1 << 31)
646b4269 5138/* Offset of the colorburst from the start of hsync, in pixels minus one. */
585fb111
JB
5139# define TV_HBURST_START_SHIFT 16
5140# define TV_HBURST_START_MASK 0x1fff0000
646b4269 5141/* Length of the colorburst */
585fb111
JB
5142# define TV_HBURST_LEN_SHIFT 0
5143# define TV_HBURST_LEN_MASK 0x0001fff
5144
f0f59a00 5145#define TV_H_CTL_3 _MMIO(0x68038)
646b4269 5146/* End of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5147# define TV_HBLANK_END_SHIFT 16
5148# define TV_HBLANK_END_MASK 0x1fff0000
646b4269 5149/* Start of hblank, measured in pixels minus one from start of hsync */
585fb111
JB
5150# define TV_HBLANK_START_SHIFT 0
5151# define TV_HBLANK_START_MASK 0x0001fff
5152
f0f59a00 5153#define TV_V_CTL_1 _MMIO(0x6803c)
646b4269 5154/* XXX */
585fb111
JB
5155# define TV_NBR_END_SHIFT 16
5156# define TV_NBR_END_MASK 0x07ff0000
646b4269 5157/* XXX */
585fb111
JB
5158# define TV_VI_END_F1_SHIFT 8
5159# define TV_VI_END_F1_MASK 0x00003f00
646b4269 5160/* XXX */
585fb111
JB
5161# define TV_VI_END_F2_SHIFT 0
5162# define TV_VI_END_F2_MASK 0x0000003f
5163
f0f59a00 5164#define TV_V_CTL_2 _MMIO(0x68040)
646b4269 5165/* Length of vsync, in half lines */
585fb111
JB
5166# define TV_VSYNC_LEN_MASK 0x07ff0000
5167# define TV_VSYNC_LEN_SHIFT 16
646b4269 5168/* Offset of the start of vsync in field 1, measured in one less than the
585fb111
JB
5169 * number of half lines.
5170 */
5171# define TV_VSYNC_START_F1_MASK 0x00007f00
5172# define TV_VSYNC_START_F1_SHIFT 8
646b4269 5173/*
585fb111
JB
5174 * Offset of the start of vsync in field 2, measured in one less than the
5175 * number of half lines.
5176 */
5177# define TV_VSYNC_START_F2_MASK 0x0000007f
5178# define TV_VSYNC_START_F2_SHIFT 0
5179
f0f59a00 5180#define TV_V_CTL_3 _MMIO(0x68044)
646b4269 5181/* Enables generation of the equalization signal */
585fb111 5182# define TV_EQUAL_ENA (1 << 31)
646b4269 5183/* Length of vsync, in half lines */
585fb111
JB
5184# define TV_VEQ_LEN_MASK 0x007f0000
5185# define TV_VEQ_LEN_SHIFT 16
646b4269 5186/* Offset of the start of equalization in field 1, measured in one less than
585fb111
JB
5187 * the number of half lines.
5188 */
5189# define TV_VEQ_START_F1_MASK 0x0007f00
5190# define TV_VEQ_START_F1_SHIFT 8
646b4269 5191/*
585fb111
JB
5192 * Offset of the start of equalization in field 2, measured in one less than
5193 * the number of half lines.
5194 */
5195# define TV_VEQ_START_F2_MASK 0x000007f
5196# define TV_VEQ_START_F2_SHIFT 0
5197
f0f59a00 5198#define TV_V_CTL_4 _MMIO(0x68048)
646b4269 5199/*
585fb111
JB
5200 * Offset to start of vertical colorburst, measured in one less than the
5201 * number of lines from vertical start.
5202 */
5203# define TV_VBURST_START_F1_MASK 0x003f0000
5204# define TV_VBURST_START_F1_SHIFT 16
646b4269 5205/*
585fb111
JB
5206 * Offset to the end of vertical colorburst, measured in one less than the
5207 * number of lines from the start of NBR.
5208 */
5209# define TV_VBURST_END_F1_MASK 0x000000ff
5210# define TV_VBURST_END_F1_SHIFT 0
5211
f0f59a00 5212#define TV_V_CTL_5 _MMIO(0x6804c)
646b4269 5213/*
585fb111
JB
5214 * Offset to start of vertical colorburst, measured in one less than the
5215 * number of lines from vertical start.
5216 */
5217# define TV_VBURST_START_F2_MASK 0x003f0000
5218# define TV_VBURST_START_F2_SHIFT 16
646b4269 5219/*
585fb111
JB
5220 * Offset to the end of vertical colorburst, measured in one less than the
5221 * number of lines from the start of NBR.
5222 */
5223# define TV_VBURST_END_F2_MASK 0x000000ff
5224# define TV_VBURST_END_F2_SHIFT 0
5225
f0f59a00 5226#define TV_V_CTL_6 _MMIO(0x68050)
646b4269 5227/*
585fb111
JB
5228 * Offset to start of vertical colorburst, measured in one less than the
5229 * number of lines from vertical start.
5230 */
5231# define TV_VBURST_START_F3_MASK 0x003f0000
5232# define TV_VBURST_START_F3_SHIFT 16
646b4269 5233/*
585fb111
JB
5234 * Offset to the end of vertical colorburst, measured in one less than the
5235 * number of lines from the start of NBR.
5236 */
5237# define TV_VBURST_END_F3_MASK 0x000000ff
5238# define TV_VBURST_END_F3_SHIFT 0
5239
f0f59a00 5240#define TV_V_CTL_7 _MMIO(0x68054)
646b4269 5241/*
585fb111
JB
5242 * Offset to start of vertical colorburst, measured in one less than the
5243 * number of lines from vertical start.
5244 */
5245# define TV_VBURST_START_F4_MASK 0x003f0000
5246# define TV_VBURST_START_F4_SHIFT 16
646b4269 5247/*
585fb111
JB
5248 * Offset to the end of vertical colorburst, measured in one less than the
5249 * number of lines from the start of NBR.
5250 */
5251# define TV_VBURST_END_F4_MASK 0x000000ff
5252# define TV_VBURST_END_F4_SHIFT 0
5253
f0f59a00 5254#define TV_SC_CTL_1 _MMIO(0x68060)
646b4269 5255/* Turns on the first subcarrier phase generation DDA */
585fb111 5256# define TV_SC_DDA1_EN (1 << 31)
646b4269 5257/* Turns on the first subcarrier phase generation DDA */
585fb111 5258# define TV_SC_DDA2_EN (1 << 30)
646b4269 5259/* Turns on the first subcarrier phase generation DDA */
585fb111 5260# define TV_SC_DDA3_EN (1 << 29)
646b4269 5261/* Sets the subcarrier DDA to reset frequency every other field */
585fb111 5262# define TV_SC_RESET_EVERY_2 (0 << 24)
646b4269 5263/* Sets the subcarrier DDA to reset frequency every fourth field */
585fb111 5264# define TV_SC_RESET_EVERY_4 (1 << 24)
646b4269 5265/* Sets the subcarrier DDA to reset frequency every eighth field */
585fb111 5266# define TV_SC_RESET_EVERY_8 (2 << 24)
646b4269 5267/* Sets the subcarrier DDA to never reset the frequency */
585fb111 5268# define TV_SC_RESET_NEVER (3 << 24)
646b4269 5269/* Sets the peak amplitude of the colorburst.*/
585fb111
JB
5270# define TV_BURST_LEVEL_MASK 0x00ff0000
5271# define TV_BURST_LEVEL_SHIFT 16
646b4269 5272/* Sets the increment of the first subcarrier phase generation DDA */
585fb111
JB
5273# define TV_SCDDA1_INC_MASK 0x00000fff
5274# define TV_SCDDA1_INC_SHIFT 0
5275
f0f59a00 5276#define TV_SC_CTL_2 _MMIO(0x68064)
646b4269 5277/* Sets the rollover for the second subcarrier phase generation DDA */
585fb111
JB
5278# define TV_SCDDA2_SIZE_MASK 0x7fff0000
5279# define TV_SCDDA2_SIZE_SHIFT 16
646b4269 5280/* Sets the increent of the second subcarrier phase generation DDA */
585fb111
JB
5281# define TV_SCDDA2_INC_MASK 0x00007fff
5282# define TV_SCDDA2_INC_SHIFT 0
5283
f0f59a00 5284#define TV_SC_CTL_3 _MMIO(0x68068)
646b4269 5285/* Sets the rollover for the third subcarrier phase generation DDA */
585fb111
JB
5286# define TV_SCDDA3_SIZE_MASK 0x7fff0000
5287# define TV_SCDDA3_SIZE_SHIFT 16
646b4269 5288/* Sets the increent of the third subcarrier phase generation DDA */
585fb111
JB
5289# define TV_SCDDA3_INC_MASK 0x00007fff
5290# define TV_SCDDA3_INC_SHIFT 0
5291
f0f59a00 5292#define TV_WIN_POS _MMIO(0x68070)
646b4269 5293/* X coordinate of the display from the start of horizontal active */
585fb111
JB
5294# define TV_XPOS_MASK 0x1fff0000
5295# define TV_XPOS_SHIFT 16
646b4269 5296/* Y coordinate of the display from the start of vertical active (NBR) */
585fb111
JB
5297# define TV_YPOS_MASK 0x00000fff
5298# define TV_YPOS_SHIFT 0
5299
f0f59a00 5300#define TV_WIN_SIZE _MMIO(0x68074)
646b4269 5301/* Horizontal size of the display window, measured in pixels*/
585fb111
JB
5302# define TV_XSIZE_MASK 0x1fff0000
5303# define TV_XSIZE_SHIFT 16
646b4269 5304/*
585fb111
JB
5305 * Vertical size of the display window, measured in pixels.
5306 *
5307 * Must be even for interlaced modes.
5308 */
5309# define TV_YSIZE_MASK 0x00000fff
5310# define TV_YSIZE_SHIFT 0
5311
f0f59a00 5312#define TV_FILTER_CTL_1 _MMIO(0x68080)
646b4269 5313/*
585fb111
JB
5314 * Enables automatic scaling calculation.
5315 *
5316 * If set, the rest of the registers are ignored, and the calculated values can
5317 * be read back from the register.
5318 */
5319# define TV_AUTO_SCALE (1 << 31)
646b4269 5320/*
585fb111
JB
5321 * Disables the vertical filter.
5322 *
5323 * This is required on modes more than 1024 pixels wide */
5324# define TV_V_FILTER_BYPASS (1 << 29)
646b4269 5325/* Enables adaptive vertical filtering */
585fb111
JB
5326# define TV_VADAPT (1 << 28)
5327# define TV_VADAPT_MODE_MASK (3 << 26)
646b4269 5328/* Selects the least adaptive vertical filtering mode */
585fb111 5329# define TV_VADAPT_MODE_LEAST (0 << 26)
646b4269 5330/* Selects the moderately adaptive vertical filtering mode */
585fb111 5331# define TV_VADAPT_MODE_MODERATE (1 << 26)
646b4269 5332/* Selects the most adaptive vertical filtering mode */
585fb111 5333# define TV_VADAPT_MODE_MOST (3 << 26)
646b4269 5334/*
585fb111
JB
5335 * Sets the horizontal scaling factor.
5336 *
5337 * This should be the fractional part of the horizontal scaling factor divided
5338 * by the oversampling rate. TV_HSCALE should be less than 1, and set to:
5339 *
5340 * (src width - 1) / ((oversample * dest width) - 1)
5341 */
5342# define TV_HSCALE_FRAC_MASK 0x00003fff
5343# define TV_HSCALE_FRAC_SHIFT 0
5344
f0f59a00 5345#define TV_FILTER_CTL_2 _MMIO(0x68084)
646b4269 5346/*
585fb111
JB
5347 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5348 *
5349 * TV_VSCALE should be (src height - 1) / ((interlace * dest height) - 1)
5350 */
5351# define TV_VSCALE_INT_MASK 0x00038000
5352# define TV_VSCALE_INT_SHIFT 15
646b4269 5353/*
585fb111
JB
5354 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5355 *
5356 * \sa TV_VSCALE_INT_MASK
5357 */
5358# define TV_VSCALE_FRAC_MASK 0x00007fff
5359# define TV_VSCALE_FRAC_SHIFT 0
5360
f0f59a00 5361#define TV_FILTER_CTL_3 _MMIO(0x68088)
646b4269 5362/*
585fb111
JB
5363 * Sets the integer part of the 3.15 fixed-point vertical scaling factor.
5364 *
5365 * TV_VSCALE should be (src height - 1) / (1/4 * (dest height - 1))
5366 *
5367 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5368 */
5369# define TV_VSCALE_IP_INT_MASK 0x00038000
5370# define TV_VSCALE_IP_INT_SHIFT 15
646b4269 5371/*
585fb111
JB
5372 * Sets the fractional part of the 3.15 fixed-point vertical scaling factor.
5373 *
5374 * For progressive modes, TV_VSCALE_IP_INT should be set to zeroes.
5375 *
5376 * \sa TV_VSCALE_IP_INT_MASK
5377 */
5378# define TV_VSCALE_IP_FRAC_MASK 0x00007fff
5379# define TV_VSCALE_IP_FRAC_SHIFT 0
5380
f0f59a00 5381#define TV_CC_CONTROL _MMIO(0x68090)
585fb111 5382# define TV_CC_ENABLE (1 << 31)
646b4269 5383/*
585fb111
JB
5384 * Specifies which field to send the CC data in.
5385 *
5386 * CC data is usually sent in field 0.
5387 */
5388# define TV_CC_FID_MASK (1 << 27)
5389# define TV_CC_FID_SHIFT 27
646b4269 5390/* Sets the horizontal position of the CC data. Usually 135. */
585fb111
JB
5391# define TV_CC_HOFF_MASK 0x03ff0000
5392# define TV_CC_HOFF_SHIFT 16
646b4269 5393/* Sets the vertical position of the CC data. Usually 21 */
585fb111
JB
5394# define TV_CC_LINE_MASK 0x0000003f
5395# define TV_CC_LINE_SHIFT 0
5396
f0f59a00 5397#define TV_CC_DATA _MMIO(0x68094)
585fb111 5398# define TV_CC_RDY (1 << 31)
646b4269 5399/* Second word of CC data to be transmitted. */
585fb111
JB
5400# define TV_CC_DATA_2_MASK 0x007f0000
5401# define TV_CC_DATA_2_SHIFT 16
646b4269 5402/* First word of CC data to be transmitted. */
585fb111
JB
5403# define TV_CC_DATA_1_MASK 0x0000007f
5404# define TV_CC_DATA_1_SHIFT 0
5405
f0f59a00
VS
5406#define TV_H_LUMA(i) _MMIO(0x68100 + (i) * 4) /* 60 registers */
5407#define TV_H_CHROMA(i) _MMIO(0x68200 + (i) * 4) /* 60 registers */
5408#define TV_V_LUMA(i) _MMIO(0x68300 + (i) * 4) /* 43 registers */
5409#define TV_V_CHROMA(i) _MMIO(0x68400 + (i) * 4) /* 43 registers */
585fb111 5410
040d87f1 5411/* Display Port */
f0f59a00
VS
5412#define DP_A _MMIO(0x64000) /* eDP */
5413#define DP_B _MMIO(0x64100)
5414#define DP_C _MMIO(0x64200)
5415#define DP_D _MMIO(0x64300)
040d87f1 5416
f0f59a00
VS
5417#define VLV_DP_B _MMIO(VLV_DISPLAY_BASE + 0x64100)
5418#define VLV_DP_C _MMIO(VLV_DISPLAY_BASE + 0x64200)
5419#define CHV_DP_D _MMIO(VLV_DISPLAY_BASE + 0x64300)
e66eb81d 5420
040d87f1 5421#define DP_PORT_EN (1 << 31)
59b74c49
VS
5422#define DP_PIPE_SEL_SHIFT 30
5423#define DP_PIPE_SEL_MASK (1 << 30)
5424#define DP_PIPE_SEL(pipe) ((pipe) << 30)
5425#define DP_PIPE_SEL_SHIFT_IVB 29
5426#define DP_PIPE_SEL_MASK_IVB (3 << 29)
5427#define DP_PIPE_SEL_IVB(pipe) ((pipe) << 29)
5428#define DP_PIPE_SEL_SHIFT_CHV 16
5429#define DP_PIPE_SEL_MASK_CHV (3 << 16)
5430#define DP_PIPE_SEL_CHV(pipe) ((pipe) << 16)
47a05eca 5431
040d87f1
KP
5432/* Link training mode - select a suitable mode for each stage */
5433#define DP_LINK_TRAIN_PAT_1 (0 << 28)
5434#define DP_LINK_TRAIN_PAT_2 (1 << 28)
5435#define DP_LINK_TRAIN_PAT_IDLE (2 << 28)
5436#define DP_LINK_TRAIN_OFF (3 << 28)
5437#define DP_LINK_TRAIN_MASK (3 << 28)
5438#define DP_LINK_TRAIN_SHIFT 28
5439
8db9d77b
ZW
5440/* CPT Link training mode */
5441#define DP_LINK_TRAIN_PAT_1_CPT (0 << 8)
5442#define DP_LINK_TRAIN_PAT_2_CPT (1 << 8)
5443#define DP_LINK_TRAIN_PAT_IDLE_CPT (2 << 8)
5444#define DP_LINK_TRAIN_OFF_CPT (3 << 8)
5445#define DP_LINK_TRAIN_MASK_CPT (7 << 8)
5446#define DP_LINK_TRAIN_SHIFT_CPT 8
5447
040d87f1
KP
5448/* Signal voltages. These are mostly controlled by the other end */
5449#define DP_VOLTAGE_0_4 (0 << 25)
5450#define DP_VOLTAGE_0_6 (1 << 25)
5451#define DP_VOLTAGE_0_8 (2 << 25)
5452#define DP_VOLTAGE_1_2 (3 << 25)
5453#define DP_VOLTAGE_MASK (7 << 25)
5454#define DP_VOLTAGE_SHIFT 25
5455
5456/* Signal pre-emphasis levels, like voltages, the other end tells us what
5457 * they want
5458 */
5459#define DP_PRE_EMPHASIS_0 (0 << 22)
5460#define DP_PRE_EMPHASIS_3_5 (1 << 22)
5461#define DP_PRE_EMPHASIS_6 (2 << 22)
5462#define DP_PRE_EMPHASIS_9_5 (3 << 22)
5463#define DP_PRE_EMPHASIS_MASK (7 << 22)
5464#define DP_PRE_EMPHASIS_SHIFT 22
5465
5466/* How many wires to use. I guess 3 was too hard */
17aa6be9 5467#define DP_PORT_WIDTH(width) (((width) - 1) << 19)
040d87f1 5468#define DP_PORT_WIDTH_MASK (7 << 19)
90a6b7b0 5469#define DP_PORT_WIDTH_SHIFT 19
040d87f1
KP
5470
5471/* Mystic DPCD version 1.1 special mode */
5472#define DP_ENHANCED_FRAMING (1 << 18)
5473
32f9d658
ZW
5474/* eDP */
5475#define DP_PLL_FREQ_270MHZ (0 << 16)
b377e0df 5476#define DP_PLL_FREQ_162MHZ (1 << 16)
32f9d658
ZW
5477#define DP_PLL_FREQ_MASK (3 << 16)
5478
646b4269 5479/* locked once port is enabled */
040d87f1
KP
5480#define DP_PORT_REVERSAL (1 << 15)
5481
32f9d658
ZW
5482/* eDP */
5483#define DP_PLL_ENABLE (1 << 14)
5484
646b4269 5485/* sends the clock on lane 15 of the PEG for debug */
040d87f1
KP
5486#define DP_CLOCK_OUTPUT_ENABLE (1 << 13)
5487
5488#define DP_SCRAMBLING_DISABLE (1 << 12)
f2b115e6 5489#define DP_SCRAMBLING_DISABLE_IRONLAKE (1 << 7)
040d87f1 5490
646b4269 5491/* limit RGB values to avoid confusing TVs */
040d87f1
KP
5492#define DP_COLOR_RANGE_16_235 (1 << 8)
5493
646b4269 5494/* Turn on the audio link */
040d87f1
KP
5495#define DP_AUDIO_OUTPUT_ENABLE (1 << 6)
5496
646b4269 5497/* vs and hs sync polarity */
040d87f1
KP
5498#define DP_SYNC_VS_HIGH (1 << 4)
5499#define DP_SYNC_HS_HIGH (1 << 3)
5500
646b4269 5501/* A fantasy */
040d87f1
KP
5502#define DP_DETECTED (1 << 2)
5503
646b4269 5504/* The aux channel provides a way to talk to the
040d87f1
KP
5505 * signal sink for DDC etc. Max packet size supported
5506 * is 20 bytes in each direction, hence the 5 fixed
5507 * data registers
5508 */
ed5eb1b7
JN
5509#define _DPA_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64010)
5510#define _DPA_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64014)
5511#define _DPA_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64018)
5512#define _DPA_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6401c)
5513#define _DPA_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64020)
5514#define _DPA_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64024)
5515
5516#define _DPB_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64110)
5517#define _DPB_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64114)
5518#define _DPB_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64118)
5519#define _DPB_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6411c)
5520#define _DPB_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64120)
5521#define _DPB_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64124)
5522
5523#define _DPC_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64210)
5524#define _DPC_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64214)
5525#define _DPC_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64218)
5526#define _DPC_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6421c)
5527#define _DPC_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64220)
5528#define _DPC_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64224)
5529
5530#define _DPD_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64310)
5531#define _DPD_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64314)
5532#define _DPD_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64318)
5533#define _DPD_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6431c)
5534#define _DPD_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64320)
5535#define _DPD_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64324)
5536
5537#define _DPE_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64410)
5538#define _DPE_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64414)
5539#define _DPE_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64418)
5540#define _DPE_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6441c)
5541#define _DPE_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64420)
5542#define _DPE_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64424)
5543
5544#define _DPF_AUX_CH_CTL (DISPLAY_MMIO_BASE(dev_priv) + 0x64510)
5545#define _DPF_AUX_CH_DATA1 (DISPLAY_MMIO_BASE(dev_priv) + 0x64514)
5546#define _DPF_AUX_CH_DATA2 (DISPLAY_MMIO_BASE(dev_priv) + 0x64518)
5547#define _DPF_AUX_CH_DATA3 (DISPLAY_MMIO_BASE(dev_priv) + 0x6451c)
5548#define _DPF_AUX_CH_DATA4 (DISPLAY_MMIO_BASE(dev_priv) + 0x64520)
5549#define _DPF_AUX_CH_DATA5 (DISPLAY_MMIO_BASE(dev_priv) + 0x64524)
a324fcac 5550
bdabdb63
VS
5551#define DP_AUX_CH_CTL(aux_ch) _MMIO_PORT(aux_ch, _DPA_AUX_CH_CTL, _DPB_AUX_CH_CTL)
5552#define DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT(aux_ch, _DPA_AUX_CH_DATA1, _DPB_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
040d87f1
KP
5553
5554#define DP_AUX_CH_CTL_SEND_BUSY (1 << 31)
5555#define DP_AUX_CH_CTL_DONE (1 << 30)
5556#define DP_AUX_CH_CTL_INTERRUPT (1 << 29)
5557#define DP_AUX_CH_CTL_TIME_OUT_ERROR (1 << 28)
5558#define DP_AUX_CH_CTL_TIME_OUT_400us (0 << 26)
5559#define DP_AUX_CH_CTL_TIME_OUT_600us (1 << 26)
5560#define DP_AUX_CH_CTL_TIME_OUT_800us (2 << 26)
6fa228ba 5561#define DP_AUX_CH_CTL_TIME_OUT_MAX (3 << 26) /* Varies per platform */
040d87f1
KP
5562#define DP_AUX_CH_CTL_TIME_OUT_MASK (3 << 26)
5563#define DP_AUX_CH_CTL_RECEIVE_ERROR (1 << 25)
5564#define DP_AUX_CH_CTL_MESSAGE_SIZE_MASK (0x1f << 20)
5565#define DP_AUX_CH_CTL_MESSAGE_SIZE_SHIFT 20
5566#define DP_AUX_CH_CTL_PRECHARGE_2US_MASK (0xf << 16)
5567#define DP_AUX_CH_CTL_PRECHARGE_2US_SHIFT 16
5568#define DP_AUX_CH_CTL_AUX_AKSV_SELECT (1 << 15)
5569#define DP_AUX_CH_CTL_MANCHESTER_TEST (1 << 14)
5570#define DP_AUX_CH_CTL_SYNC_TEST (1 << 13)
5571#define DP_AUX_CH_CTL_DEGLITCH_TEST (1 << 12)
5572#define DP_AUX_CH_CTL_PRECHARGE_TEST (1 << 11)
5573#define DP_AUX_CH_CTL_BIT_CLOCK_2X_MASK (0x7ff)
5574#define DP_AUX_CH_CTL_BIT_CLOCK_2X_SHIFT 0
e3d99845
SJ
5575#define DP_AUX_CH_CTL_PSR_DATA_AUX_REG_SKL (1 << 14)
5576#define DP_AUX_CH_CTL_FS_DATA_AUX_REG_SKL (1 << 13)
5577#define DP_AUX_CH_CTL_GTC_DATA_AUX_REG_SKL (1 << 12)
6f211ed4 5578#define DP_AUX_CH_CTL_TBT_IO (1 << 11)
395b2913 5579#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL_MASK (0x1f << 5)
e3d99845 5580#define DP_AUX_CH_CTL_FW_SYNC_PULSE_SKL(c) (((c) - 1) << 5)
b9ca5fad 5581#define DP_AUX_CH_CTL_SYNC_PULSE_SKL(c) ((c) - 1)
040d87f1
KP
5582
5583/*
5584 * Computing GMCH M and N values for the Display Port link
5585 *
5586 * GMCH M/N = dot clock * bytes per pixel / ls_clk * # of lanes
5587 *
5588 * ls_clk (we assume) is the DP link clock (1.62 or 2.7 GHz)
5589 *
5590 * The GMCH value is used internally
5591 *
5592 * bytes_per_pixel is the number of bytes coming out of the plane,
5593 * which is after the LUTs, so we want the bytes for our color format.
5594 * For our current usage, this is always 3, one byte for R, G and B.
5595 */
e3b95f1e
DV
5596#define _PIPEA_DATA_M_G4X 0x70050
5597#define _PIPEB_DATA_M_G4X 0x71050
040d87f1
KP
5598
5599/* Transfer unit size for display port - 1, default is 0x3f (for TU size 64) */
5ee8ee86 5600#define TU_SIZE(x) (((x) - 1) << 25) /* default size 64 */
72419203 5601#define TU_SIZE_SHIFT 25
a65851af 5602#define TU_SIZE_MASK (0x3f << 25)
040d87f1 5603
a65851af
VS
5604#define DATA_LINK_M_N_MASK (0xffffff)
5605#define DATA_LINK_N_MAX (0x800000)
040d87f1 5606
e3b95f1e
DV
5607#define _PIPEA_DATA_N_G4X 0x70054
5608#define _PIPEB_DATA_N_G4X 0x71054
040d87f1
KP
5609#define PIPE_GMCH_DATA_N_MASK (0xffffff)
5610
5611/*
5612 * Computing Link M and N values for the Display Port link
5613 *
5614 * Link M / N = pixel_clock / ls_clk
5615 *
5616 * (the DP spec calls pixel_clock the 'strm_clk')
5617 *
5618 * The Link value is transmitted in the Main Stream
5619 * Attributes and VB-ID.
5620 */
5621
e3b95f1e
DV
5622#define _PIPEA_LINK_M_G4X 0x70060
5623#define _PIPEB_LINK_M_G4X 0x71060
040d87f1
KP
5624#define PIPEA_DP_LINK_M_MASK (0xffffff)
5625
e3b95f1e
DV
5626#define _PIPEA_LINK_N_G4X 0x70064
5627#define _PIPEB_LINK_N_G4X 0x71064
040d87f1
KP
5628#define PIPEA_DP_LINK_N_MASK (0xffffff)
5629
f0f59a00
VS
5630#define PIPE_DATA_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_M_G4X, _PIPEB_DATA_M_G4X)
5631#define PIPE_DATA_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_DATA_N_G4X, _PIPEB_DATA_N_G4X)
5632#define PIPE_LINK_M_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_M_G4X, _PIPEB_LINK_M_G4X)
5633#define PIPE_LINK_N_G4X(pipe) _MMIO_PIPE(pipe, _PIPEA_LINK_N_G4X, _PIPEB_LINK_N_G4X)
9db4a9c7 5634
585fb111
JB
5635/* Display & cursor control */
5636
5637/* Pipe A */
a57c774a 5638#define _PIPEADSL 0x70000
837ba00f
PZ
5639#define DSL_LINEMASK_GEN2 0x00000fff
5640#define DSL_LINEMASK_GEN3 0x00001fff
a57c774a 5641#define _PIPEACONF 0x70008
5ee8ee86 5642#define PIPECONF_ENABLE (1 << 31)
5eddb70b 5643#define PIPECONF_DISABLE 0
5ee8ee86
PZ
5644#define PIPECONF_DOUBLE_WIDE (1 << 30)
5645#define I965_PIPECONF_ACTIVE (1 << 30)
5646#define PIPECONF_DSI_PLL_LOCKED (1 << 29) /* vlv & pipe A only */
5647#define PIPECONF_FRAME_START_DELAY_MASK (3 << 27)
5eddb70b
CW
5648#define PIPECONF_SINGLE_WIDE 0
5649#define PIPECONF_PIPE_UNLOCKED 0
5ee8ee86 5650#define PIPECONF_PIPE_LOCKED (1 << 25)
5ee8ee86 5651#define PIPECONF_FORCE_BORDER (1 << 25)
9d5441de
VS
5652#define PIPECONF_GAMMA_MODE_MASK_I9XX (1 << 24) /* gmch */
5653#define PIPECONF_GAMMA_MODE_MASK_ILK (3 << 24) /* ilk-ivb */
5654#define PIPECONF_GAMMA_MODE_8BIT (0 << 24) /* gmch,ilk-ivb */
5655#define PIPECONF_GAMMA_MODE_10BIT (1 << 24) /* gmch,ilk-ivb */
5656#define PIPECONF_GAMMA_MODE_12BIT (2 << 24) /* ilk-ivb */
5657#define PIPECONF_GAMMA_MODE_SPLIT (3 << 24) /* ivb */
5658#define PIPECONF_GAMMA_MODE(x) ((x) << 24) /* pass in GAMMA_MODE_MODE_* */
5659#define PIPECONF_GAMMA_MODE_SHIFT 24
59df7b17 5660#define PIPECONF_INTERLACE_MASK (7 << 21)
ee2b0b38 5661#define PIPECONF_INTERLACE_MASK_HSW (3 << 21)
d442ae18
DV
5662/* Note that pre-gen3 does not support interlaced display directly. Panel
5663 * fitting must be disabled on pre-ilk for interlaced. */
5664#define PIPECONF_PROGRESSIVE (0 << 21)
5665#define PIPECONF_INTERLACE_W_SYNC_SHIFT_PANEL (4 << 21) /* gen4 only */
5666#define PIPECONF_INTERLACE_W_SYNC_SHIFT (5 << 21) /* gen4 only */
5667#define PIPECONF_INTERLACE_W_FIELD_INDICATION (6 << 21)
5668#define PIPECONF_INTERLACE_FIELD_0_ONLY (7 << 21) /* gen3 only */
5669/* Ironlake and later have a complete new set of values for interlaced. PFIT
5670 * means panel fitter required, PF means progressive fetch, DBL means power
5671 * saving pixel doubling. */
5672#define PIPECONF_PFIT_PF_INTERLACED_ILK (1 << 21)
5673#define PIPECONF_INTERLACED_ILK (3 << 21)
5674#define PIPECONF_INTERLACED_DBL_ILK (4 << 21) /* ilk/snb only */
5675#define PIPECONF_PFIT_PF_INTERLACED_DBL_ILK (5 << 21) /* ilk/snb only */
1bd1bd80 5676#define PIPECONF_INTERLACE_MODE_MASK (7 << 21)
439d7ac0 5677#define PIPECONF_EDP_RR_MODE_SWITCH (1 << 20)
5ee8ee86 5678#define PIPECONF_CXSR_DOWNCLOCK (1 << 16)
6fa7aec1 5679#define PIPECONF_EDP_RR_MODE_SWITCH_VLV (1 << 14)
3685a8f3 5680#define PIPECONF_COLOR_RANGE_SELECT (1 << 13)
dfd07d72 5681#define PIPECONF_BPC_MASK (0x7 << 5)
5ee8ee86
PZ
5682#define PIPECONF_8BPC (0 << 5)
5683#define PIPECONF_10BPC (1 << 5)
5684#define PIPECONF_6BPC (2 << 5)
5685#define PIPECONF_12BPC (3 << 5)
5686#define PIPECONF_DITHER_EN (1 << 4)
4f0d1aff 5687#define PIPECONF_DITHER_TYPE_MASK (0x0000000c)
5ee8ee86
PZ
5688#define PIPECONF_DITHER_TYPE_SP (0 << 2)
5689#define PIPECONF_DITHER_TYPE_ST1 (1 << 2)
5690#define PIPECONF_DITHER_TYPE_ST2 (2 << 2)
5691#define PIPECONF_DITHER_TYPE_TEMP (3 << 2)
a57c774a 5692#define _PIPEASTAT 0x70024
5ee8ee86
PZ
5693#define PIPE_FIFO_UNDERRUN_STATUS (1UL << 31)
5694#define SPRITE1_FLIP_DONE_INT_EN_VLV (1UL << 30)
5695#define PIPE_CRC_ERROR_ENABLE (1UL << 29)
5696#define PIPE_CRC_DONE_ENABLE (1UL << 28)
5697#define PERF_COUNTER2_INTERRUPT_EN (1UL << 27)
5698#define PIPE_GMBUS_EVENT_ENABLE (1UL << 27)
5699#define PLANE_FLIP_DONE_INT_EN_VLV (1UL << 26)
5700#define PIPE_HOTPLUG_INTERRUPT_ENABLE (1UL << 26)
5701#define PIPE_VSYNC_INTERRUPT_ENABLE (1UL << 25)
5702#define PIPE_DISPLAY_LINE_COMPARE_ENABLE (1UL << 24)
5703#define PIPE_DPST_EVENT_ENABLE (1UL << 23)
5704#define SPRITE0_FLIP_DONE_INT_EN_VLV (1UL << 22)
5705#define PIPE_LEGACY_BLC_EVENT_ENABLE (1UL << 22)
5706#define PIPE_ODD_FIELD_INTERRUPT_ENABLE (1UL << 21)
5707#define PIPE_EVEN_FIELD_INTERRUPT_ENABLE (1UL << 20)
5708#define PIPE_B_PSR_INTERRUPT_ENABLE_VLV (1UL << 19)
5709#define PERF_COUNTER_INTERRUPT_EN (1UL << 19)
5710#define PIPE_HOTPLUG_TV_INTERRUPT_ENABLE (1UL << 18) /* pre-965 */
5711#define PIPE_START_VBLANK_INTERRUPT_ENABLE (1UL << 18) /* 965 or later */
5712#define PIPE_FRAMESTART_INTERRUPT_ENABLE (1UL << 17)
5713#define PIPE_VBLANK_INTERRUPT_ENABLE (1UL << 17)
5714#define PIPEA_HBLANK_INT_EN_VLV (1UL << 16)
5715#define PIPE_OVERLAY_UPDATED_ENABLE (1UL << 16)
5716#define SPRITE1_FLIP_DONE_INT_STATUS_VLV (1UL << 15)
5717#define SPRITE0_FLIP_DONE_INT_STATUS_VLV (1UL << 14)
5718#define PIPE_CRC_ERROR_INTERRUPT_STATUS (1UL << 13)
5719#define PIPE_CRC_DONE_INTERRUPT_STATUS (1UL << 12)
5720#define PERF_COUNTER2_INTERRUPT_STATUS (1UL << 11)
5721#define PIPE_GMBUS_INTERRUPT_STATUS (1UL << 11)
5722#define PLANE_FLIP_DONE_INT_STATUS_VLV (1UL << 10)
5723#define PIPE_HOTPLUG_INTERRUPT_STATUS (1UL << 10)
5724#define PIPE_VSYNC_INTERRUPT_STATUS (1UL << 9)
5725#define PIPE_DISPLAY_LINE_COMPARE_STATUS (1UL << 8)
5726#define PIPE_DPST_EVENT_STATUS (1UL << 7)
5727#define PIPE_A_PSR_STATUS_VLV (1UL << 6)
5728#define PIPE_LEGACY_BLC_EVENT_STATUS (1UL << 6)
5729#define PIPE_ODD_FIELD_INTERRUPT_STATUS (1UL << 5)
5730#define PIPE_EVEN_FIELD_INTERRUPT_STATUS (1UL << 4)
5731#define PIPE_B_PSR_STATUS_VLV (1UL << 3)
5732#define PERF_COUNTER_INTERRUPT_STATUS (1UL << 3)
5733#define PIPE_HOTPLUG_TV_INTERRUPT_STATUS (1UL << 2) /* pre-965 */
5734#define PIPE_START_VBLANK_INTERRUPT_STATUS (1UL << 2) /* 965 or later */
5735#define PIPE_FRAMESTART_INTERRUPT_STATUS (1UL << 1)
5736#define PIPE_VBLANK_INTERRUPT_STATUS (1UL << 1)
5737#define PIPE_HBLANK_INT_STATUS (1UL << 0)
5738#define PIPE_OVERLAY_UPDATED_STATUS (1UL << 0)
585fb111 5739
755e9019
ID
5740#define PIPESTAT_INT_ENABLE_MASK 0x7fff0000
5741#define PIPESTAT_INT_STATUS_MASK 0x0000ffff
5742
84fd4f4e
RB
5743#define PIPE_A_OFFSET 0x70000
5744#define PIPE_B_OFFSET 0x71000
5745#define PIPE_C_OFFSET 0x72000
5746#define CHV_PIPE_C_OFFSET 0x74000
a57c774a
AK
5747/*
5748 * There's actually no pipe EDP. Some pipe registers have
5749 * simply shifted from the pipe to the transcoder, while
5750 * keeping their original offset. Thus we need PIPE_EDP_OFFSET
5751 * to access such registers in transcoder EDP.
5752 */
5753#define PIPE_EDP_OFFSET 0x7f000
5754
372610f3
MC
5755/* ICL DSI 0 and 1 */
5756#define PIPE_DSI0_OFFSET 0x7b000
5757#define PIPE_DSI1_OFFSET 0x7b800
5758
f0f59a00
VS
5759#define PIPECONF(pipe) _MMIO_PIPE2(pipe, _PIPEACONF)
5760#define PIPEDSL(pipe) _MMIO_PIPE2(pipe, _PIPEADSL)
5761#define PIPEFRAME(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEHIGH)
5762#define PIPEFRAMEPIXEL(pipe) _MMIO_PIPE2(pipe, _PIPEAFRAMEPIXEL)
5763#define PIPESTAT(pipe) _MMIO_PIPE2(pipe, _PIPEASTAT)
5eddb70b 5764
e262568e
VS
5765#define _PIPEAGCMAX 0x70010
5766#define _PIPEBGCMAX 0x71010
5767#define PIPEGCMAX(pipe, i) _MMIO_PIPE2(pipe, _PIPEAGCMAX + (i) * 4)
5768
756f85cf
PZ
5769#define _PIPE_MISC_A 0x70030
5770#define _PIPE_MISC_B 0x71030
5ee8ee86
PZ
5771#define PIPEMISC_YUV420_ENABLE (1 << 27)
5772#define PIPEMISC_YUV420_MODE_FULL_BLEND (1 << 26)
5773#define PIPEMISC_OUTPUT_COLORSPACE_YUV (1 << 11)
5774#define PIPEMISC_DITHER_BPC_MASK (7 << 5)
5775#define PIPEMISC_DITHER_8_BPC (0 << 5)
5776#define PIPEMISC_DITHER_10_BPC (1 << 5)
5777#define PIPEMISC_DITHER_6_BPC (2 << 5)
5778#define PIPEMISC_DITHER_12_BPC (3 << 5)
5779#define PIPEMISC_DITHER_ENABLE (1 << 4)
5780#define PIPEMISC_DITHER_TYPE_MASK (3 << 2)
5781#define PIPEMISC_DITHER_TYPE_SP (0 << 2)
f0f59a00 5782#define PIPEMISC(pipe) _MMIO_PIPE2(pipe, _PIPE_MISC_A)
756f85cf 5783
c0550305
MR
5784/* Skylake+ pipe bottom (background) color */
5785#define _SKL_BOTTOM_COLOR_A 0x70034
5786#define SKL_BOTTOM_COLOR_GAMMA_ENABLE (1 << 31)
5787#define SKL_BOTTOM_COLOR_CSC_ENABLE (1 << 30)
5788#define SKL_BOTTOM_COLOR(pipe) _MMIO_PIPE2(pipe, _SKL_BOTTOM_COLOR_A)
5789
f0f59a00 5790#define VLV_DPFLIPSTAT _MMIO(VLV_DISPLAY_BASE + 0x70028)
5ee8ee86
PZ
5791#define PIPEB_LINE_COMPARE_INT_EN (1 << 29)
5792#define PIPEB_HLINE_INT_EN (1 << 28)
5793#define PIPEB_VBLANK_INT_EN (1 << 27)
5794#define SPRITED_FLIP_DONE_INT_EN (1 << 26)
5795#define SPRITEC_FLIP_DONE_INT_EN (1 << 25)
5796#define PLANEB_FLIP_DONE_INT_EN (1 << 24)
5797#define PIPE_PSR_INT_EN (1 << 22)
5798#define PIPEA_LINE_COMPARE_INT_EN (1 << 21)
5799#define PIPEA_HLINE_INT_EN (1 << 20)
5800#define PIPEA_VBLANK_INT_EN (1 << 19)
5801#define SPRITEB_FLIP_DONE_INT_EN (1 << 18)
5802#define SPRITEA_FLIP_DONE_INT_EN (1 << 17)
5803#define PLANEA_FLIPDONE_INT_EN (1 << 16)
5804#define PIPEC_LINE_COMPARE_INT_EN (1 << 13)
5805#define PIPEC_HLINE_INT_EN (1 << 12)
5806#define PIPEC_VBLANK_INT_EN (1 << 11)
5807#define SPRITEF_FLIPDONE_INT_EN (1 << 10)
5808#define SPRITEE_FLIPDONE_INT_EN (1 << 9)
5809#define PLANEC_FLIPDONE_INT_EN (1 << 8)
c46ce4d7 5810
f0f59a00 5811#define DPINVGTT _MMIO(VLV_DISPLAY_BASE + 0x7002c) /* VLV/CHV only */
5ee8ee86
PZ
5812#define SPRITEF_INVALID_GTT_INT_EN (1 << 27)
5813#define SPRITEE_INVALID_GTT_INT_EN (1 << 26)
5814#define PLANEC_INVALID_GTT_INT_EN (1 << 25)
5815#define CURSORC_INVALID_GTT_INT_EN (1 << 24)
5816#define CURSORB_INVALID_GTT_INT_EN (1 << 23)
5817#define CURSORA_INVALID_GTT_INT_EN (1 << 22)
5818#define SPRITED_INVALID_GTT_INT_EN (1 << 21)
5819#define SPRITEC_INVALID_GTT_INT_EN (1 << 20)
5820#define PLANEB_INVALID_GTT_INT_EN (1 << 19)
5821#define SPRITEB_INVALID_GTT_INT_EN (1 << 18)
5822#define SPRITEA_INVALID_GTT_INT_EN (1 << 17)
5823#define PLANEA_INVALID_GTT_INT_EN (1 << 16)
c46ce4d7 5824#define DPINVGTT_EN_MASK 0xff0000
bf67a6fd 5825#define DPINVGTT_EN_MASK_CHV 0xfff0000
5ee8ee86
PZ
5826#define SPRITEF_INVALID_GTT_STATUS (1 << 11)
5827#define SPRITEE_INVALID_GTT_STATUS (1 << 10)
5828#define PLANEC_INVALID_GTT_STATUS (1 << 9)
5829#define CURSORC_INVALID_GTT_STATUS (1 << 8)
5830#define CURSORB_INVALID_GTT_STATUS (1 << 7)
5831#define CURSORA_INVALID_GTT_STATUS (1 << 6)
5832#define SPRITED_INVALID_GTT_STATUS (1 << 5)
5833#define SPRITEC_INVALID_GTT_STATUS (1 << 4)
5834#define PLANEB_INVALID_GTT_STATUS (1 << 3)
5835#define SPRITEB_INVALID_GTT_STATUS (1 << 2)
5836#define SPRITEA_INVALID_GTT_STATUS (1 << 1)
5837#define PLANEA_INVALID_GTT_STATUS (1 << 0)
c46ce4d7 5838#define DPINVGTT_STATUS_MASK 0xff
bf67a6fd 5839#define DPINVGTT_STATUS_MASK_CHV 0xfff
c46ce4d7 5840
ed5eb1b7 5841#define DSPARB _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70030)
585fb111
JB
5842#define DSPARB_CSTART_MASK (0x7f << 7)
5843#define DSPARB_CSTART_SHIFT 7
5844#define DSPARB_BSTART_MASK (0x7f)
5845#define DSPARB_BSTART_SHIFT 0
7662c8bd
SL
5846#define DSPARB_BEND_SHIFT 9 /* on 855 */
5847#define DSPARB_AEND_SHIFT 0
54f1b6e1
VS
5848#define DSPARB_SPRITEA_SHIFT_VLV 0
5849#define DSPARB_SPRITEA_MASK_VLV (0xff << 0)
5850#define DSPARB_SPRITEB_SHIFT_VLV 8
5851#define DSPARB_SPRITEB_MASK_VLV (0xff << 8)
5852#define DSPARB_SPRITEC_SHIFT_VLV 16
5853#define DSPARB_SPRITEC_MASK_VLV (0xff << 16)
5854#define DSPARB_SPRITED_SHIFT_VLV 24
5855#define DSPARB_SPRITED_MASK_VLV (0xff << 24)
f0f59a00 5856#define DSPARB2 _MMIO(VLV_DISPLAY_BASE + 0x70060) /* vlv/chv */
54f1b6e1
VS
5857#define DSPARB_SPRITEA_HI_SHIFT_VLV 0
5858#define DSPARB_SPRITEA_HI_MASK_VLV (0x1 << 0)
5859#define DSPARB_SPRITEB_HI_SHIFT_VLV 4
5860#define DSPARB_SPRITEB_HI_MASK_VLV (0x1 << 4)
5861#define DSPARB_SPRITEC_HI_SHIFT_VLV 8
5862#define DSPARB_SPRITEC_HI_MASK_VLV (0x1 << 8)
5863#define DSPARB_SPRITED_HI_SHIFT_VLV 12
5864#define DSPARB_SPRITED_HI_MASK_VLV (0x1 << 12)
5865#define DSPARB_SPRITEE_HI_SHIFT_VLV 16
5866#define DSPARB_SPRITEE_HI_MASK_VLV (0x1 << 16)
5867#define DSPARB_SPRITEF_HI_SHIFT_VLV 20
5868#define DSPARB_SPRITEF_HI_MASK_VLV (0x1 << 20)
f0f59a00 5869#define DSPARB3 _MMIO(VLV_DISPLAY_BASE + 0x7006c) /* chv */
54f1b6e1
VS
5870#define DSPARB_SPRITEE_SHIFT_VLV 0
5871#define DSPARB_SPRITEE_MASK_VLV (0xff << 0)
5872#define DSPARB_SPRITEF_SHIFT_VLV 8
5873#define DSPARB_SPRITEF_MASK_VLV (0xff << 8)
b5004720 5874
0a560674 5875/* pnv/gen4/g4x/vlv/chv */
ed5eb1b7 5876#define DSPFW1 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70034)
0a560674 5877#define DSPFW_SR_SHIFT 23
5ee8ee86 5878#define DSPFW_SR_MASK (0x1ff << 23)
0a560674 5879#define DSPFW_CURSORB_SHIFT 16
5ee8ee86 5880#define DSPFW_CURSORB_MASK (0x3f << 16)
0a560674 5881#define DSPFW_PLANEB_SHIFT 8
5ee8ee86
PZ
5882#define DSPFW_PLANEB_MASK (0x7f << 8)
5883#define DSPFW_PLANEB_MASK_VLV (0xff << 8) /* vlv/chv */
0a560674 5884#define DSPFW_PLANEA_SHIFT 0
5ee8ee86
PZ
5885#define DSPFW_PLANEA_MASK (0x7f << 0)
5886#define DSPFW_PLANEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5887#define DSPFW2 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70038)
5ee8ee86 5888#define DSPFW_FBC_SR_EN (1 << 31) /* g4x */
0a560674 5889#define DSPFW_FBC_SR_SHIFT 28
5ee8ee86 5890#define DSPFW_FBC_SR_MASK (0x7 << 28) /* g4x */
0a560674 5891#define DSPFW_FBC_HPLL_SR_SHIFT 24
5ee8ee86 5892#define DSPFW_FBC_HPLL_SR_MASK (0xf << 24) /* g4x */
0a560674 5893#define DSPFW_SPRITEB_SHIFT (16)
5ee8ee86
PZ
5894#define DSPFW_SPRITEB_MASK (0x7f << 16) /* g4x */
5895#define DSPFW_SPRITEB_MASK_VLV (0xff << 16) /* vlv/chv */
0a560674 5896#define DSPFW_CURSORA_SHIFT 8
5ee8ee86 5897#define DSPFW_CURSORA_MASK (0x3f << 8)
f4998963 5898#define DSPFW_PLANEC_OLD_SHIFT 0
5ee8ee86 5899#define DSPFW_PLANEC_OLD_MASK (0x7f << 0) /* pre-gen4 sprite C */
0a560674 5900#define DSPFW_SPRITEA_SHIFT 0
5ee8ee86
PZ
5901#define DSPFW_SPRITEA_MASK (0x7f << 0) /* g4x */
5902#define DSPFW_SPRITEA_MASK_VLV (0xff << 0) /* vlv/chv */
ed5eb1b7 5903#define DSPFW3 _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x7003c)
5ee8ee86
PZ
5904#define DSPFW_HPLL_SR_EN (1 << 31)
5905#define PINEVIEW_SELF_REFRESH_EN (1 << 30)
0a560674 5906#define DSPFW_CURSOR_SR_SHIFT 24
5ee8ee86 5907#define DSPFW_CURSOR_SR_MASK (0x3f << 24)
d4294342 5908#define DSPFW_HPLL_CURSOR_SHIFT 16
5ee8ee86 5909#define DSPFW_HPLL_CURSOR_MASK (0x3f << 16)
0a560674 5910#define DSPFW_HPLL_SR_SHIFT 0
5ee8ee86 5911#define DSPFW_HPLL_SR_MASK (0x1ff << 0)
0a560674
VS
5912
5913/* vlv/chv */
f0f59a00 5914#define DSPFW4 _MMIO(VLV_DISPLAY_BASE + 0x70070)
0a560674 5915#define DSPFW_SPRITEB_WM1_SHIFT 16
5ee8ee86 5916#define DSPFW_SPRITEB_WM1_MASK (0xff << 16)
0a560674 5917#define DSPFW_CURSORA_WM1_SHIFT 8
5ee8ee86 5918#define DSPFW_CURSORA_WM1_MASK (0x3f << 8)
0a560674 5919#define DSPFW_SPRITEA_WM1_SHIFT 0
5ee8ee86 5920#define DSPFW_SPRITEA_WM1_MASK (0xff << 0)
f0f59a00 5921#define DSPFW5 _MMIO(VLV_DISPLAY_BASE + 0x70074)
0a560674 5922#define DSPFW_PLANEB_WM1_SHIFT 24
5ee8ee86 5923#define DSPFW_PLANEB_WM1_MASK (0xff << 24)
0a560674 5924#define DSPFW_PLANEA_WM1_SHIFT 16
5ee8ee86 5925#define DSPFW_PLANEA_WM1_MASK (0xff << 16)
0a560674 5926#define DSPFW_CURSORB_WM1_SHIFT 8
5ee8ee86 5927#define DSPFW_CURSORB_WM1_MASK (0x3f << 8)
0a560674 5928#define DSPFW_CURSOR_SR_WM1_SHIFT 0
5ee8ee86 5929#define DSPFW_CURSOR_SR_WM1_MASK (0x3f << 0)
f0f59a00 5930#define DSPFW6 _MMIO(VLV_DISPLAY_BASE + 0x70078)
0a560674 5931#define DSPFW_SR_WM1_SHIFT 0
5ee8ee86 5932#define DSPFW_SR_WM1_MASK (0x1ff << 0)
f0f59a00
VS
5933#define DSPFW7 _MMIO(VLV_DISPLAY_BASE + 0x7007c)
5934#define DSPFW7_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b4) /* wtf #1? */
0a560674 5935#define DSPFW_SPRITED_WM1_SHIFT 24
5ee8ee86 5936#define DSPFW_SPRITED_WM1_MASK (0xff << 24)
0a560674 5937#define DSPFW_SPRITED_SHIFT 16
5ee8ee86 5938#define DSPFW_SPRITED_MASK_VLV (0xff << 16)
0a560674 5939#define DSPFW_SPRITEC_WM1_SHIFT 8
5ee8ee86 5940#define DSPFW_SPRITEC_WM1_MASK (0xff << 8)
0a560674 5941#define DSPFW_SPRITEC_SHIFT 0
5ee8ee86 5942#define DSPFW_SPRITEC_MASK_VLV (0xff << 0)
f0f59a00 5943#define DSPFW8_CHV _MMIO(VLV_DISPLAY_BASE + 0x700b8)
0a560674 5944#define DSPFW_SPRITEF_WM1_SHIFT 24
5ee8ee86 5945#define DSPFW_SPRITEF_WM1_MASK (0xff << 24)
0a560674 5946#define DSPFW_SPRITEF_SHIFT 16
5ee8ee86 5947#define DSPFW_SPRITEF_MASK_VLV (0xff << 16)
0a560674 5948#define DSPFW_SPRITEE_WM1_SHIFT 8
5ee8ee86 5949#define DSPFW_SPRITEE_WM1_MASK (0xff << 8)
0a560674 5950#define DSPFW_SPRITEE_SHIFT 0
5ee8ee86 5951#define DSPFW_SPRITEE_MASK_VLV (0xff << 0)
f0f59a00 5952#define DSPFW9_CHV _MMIO(VLV_DISPLAY_BASE + 0x7007c) /* wtf #2? */
0a560674 5953#define DSPFW_PLANEC_WM1_SHIFT 24
5ee8ee86 5954#define DSPFW_PLANEC_WM1_MASK (0xff << 24)
0a560674 5955#define DSPFW_PLANEC_SHIFT 16
5ee8ee86 5956#define DSPFW_PLANEC_MASK_VLV (0xff << 16)
0a560674 5957#define DSPFW_CURSORC_WM1_SHIFT 8
5ee8ee86 5958#define DSPFW_CURSORC_WM1_MASK (0x3f << 16)
0a560674 5959#define DSPFW_CURSORC_SHIFT 0
5ee8ee86 5960#define DSPFW_CURSORC_MASK (0x3f << 0)
0a560674
VS
5961
5962/* vlv/chv high order bits */
f0f59a00 5963#define DSPHOWM _MMIO(VLV_DISPLAY_BASE + 0x70064)
0a560674 5964#define DSPFW_SR_HI_SHIFT 24
5ee8ee86 5965#define DSPFW_SR_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5966#define DSPFW_SPRITEF_HI_SHIFT 23
5ee8ee86 5967#define DSPFW_SPRITEF_HI_MASK (1 << 23)
0a560674 5968#define DSPFW_SPRITEE_HI_SHIFT 22
5ee8ee86 5969#define DSPFW_SPRITEE_HI_MASK (1 << 22)
0a560674 5970#define DSPFW_PLANEC_HI_SHIFT 21
5ee8ee86 5971#define DSPFW_PLANEC_HI_MASK (1 << 21)
0a560674 5972#define DSPFW_SPRITED_HI_SHIFT 20
5ee8ee86 5973#define DSPFW_SPRITED_HI_MASK (1 << 20)
0a560674 5974#define DSPFW_SPRITEC_HI_SHIFT 16
5ee8ee86 5975#define DSPFW_SPRITEC_HI_MASK (1 << 16)
0a560674 5976#define DSPFW_PLANEB_HI_SHIFT 12
5ee8ee86 5977#define DSPFW_PLANEB_HI_MASK (1 << 12)
0a560674 5978#define DSPFW_SPRITEB_HI_SHIFT 8
5ee8ee86 5979#define DSPFW_SPRITEB_HI_MASK (1 << 8)
0a560674 5980#define DSPFW_SPRITEA_HI_SHIFT 4
5ee8ee86 5981#define DSPFW_SPRITEA_HI_MASK (1 << 4)
0a560674 5982#define DSPFW_PLANEA_HI_SHIFT 0
5ee8ee86 5983#define DSPFW_PLANEA_HI_MASK (1 << 0)
f0f59a00 5984#define DSPHOWM1 _MMIO(VLV_DISPLAY_BASE + 0x70068)
0a560674 5985#define DSPFW_SR_WM1_HI_SHIFT 24
5ee8ee86 5986#define DSPFW_SR_WM1_HI_MASK (3 << 24) /* 2 bits for chv, 1 for vlv */
0a560674 5987#define DSPFW_SPRITEF_WM1_HI_SHIFT 23
5ee8ee86 5988#define DSPFW_SPRITEF_WM1_HI_MASK (1 << 23)
0a560674 5989#define DSPFW_SPRITEE_WM1_HI_SHIFT 22
5ee8ee86 5990#define DSPFW_SPRITEE_WM1_HI_MASK (1 << 22)
0a560674 5991#define DSPFW_PLANEC_WM1_HI_SHIFT 21
5ee8ee86 5992#define DSPFW_PLANEC_WM1_HI_MASK (1 << 21)
0a560674 5993#define DSPFW_SPRITED_WM1_HI_SHIFT 20
5ee8ee86 5994#define DSPFW_SPRITED_WM1_HI_MASK (1 << 20)
0a560674 5995#define DSPFW_SPRITEC_WM1_HI_SHIFT 16
5ee8ee86 5996#define DSPFW_SPRITEC_WM1_HI_MASK (1 << 16)
0a560674 5997#define DSPFW_PLANEB_WM1_HI_SHIFT 12
5ee8ee86 5998#define DSPFW_PLANEB_WM1_HI_MASK (1 << 12)
0a560674 5999#define DSPFW_SPRITEB_WM1_HI_SHIFT 8
5ee8ee86 6000#define DSPFW_SPRITEB_WM1_HI_MASK (1 << 8)
0a560674 6001#define DSPFW_SPRITEA_WM1_HI_SHIFT 4
5ee8ee86 6002#define DSPFW_SPRITEA_WM1_HI_MASK (1 << 4)
0a560674 6003#define DSPFW_PLANEA_WM1_HI_SHIFT 0
5ee8ee86 6004#define DSPFW_PLANEA_WM1_HI_MASK (1 << 0)
7662c8bd 6005
12a3c055 6006/* drain latency register values*/
f0f59a00 6007#define VLV_DDL(pipe) _MMIO(VLV_DISPLAY_BASE + 0x70050 + 4 * (pipe))
1abc4dc7 6008#define DDL_CURSOR_SHIFT 24
5ee8ee86 6009#define DDL_SPRITE_SHIFT(sprite) (8 + 8 * (sprite))
1abc4dc7 6010#define DDL_PLANE_SHIFT 0
5ee8ee86
PZ
6011#define DDL_PRECISION_HIGH (1 << 7)
6012#define DDL_PRECISION_LOW (0 << 7)
0948c265 6013#define DRAIN_LATENCY_MASK 0x7f
12a3c055 6014
f0f59a00 6015#define CBR1_VLV _MMIO(VLV_DISPLAY_BASE + 0x70400)
5ee8ee86
PZ
6016#define CBR_PND_DEADLINE_DISABLE (1 << 31)
6017#define CBR_PWM_CLOCK_MUX_SELECT (1 << 30)
c6beb13e 6018
c231775c 6019#define CBR4_VLV _MMIO(VLV_DISPLAY_BASE + 0x70450)
5ee8ee86 6020#define CBR_DPLLBMD_PIPE(pipe) (1 << (7 + (pipe) * 11)) /* pipes B and C */
c231775c 6021
7662c8bd 6022/* FIFO watermark sizes etc */
0e442c60 6023#define G4X_FIFO_LINE_SIZE 64
7662c8bd
SL
6024#define I915_FIFO_LINE_SIZE 64
6025#define I830_FIFO_LINE_SIZE 32
0e442c60 6026
ceb04246 6027#define VALLEYVIEW_FIFO_SIZE 255
0e442c60 6028#define G4X_FIFO_SIZE 127
1b07e04e
ZY
6029#define I965_FIFO_SIZE 512
6030#define I945_FIFO_SIZE 127
7662c8bd 6031#define I915_FIFO_SIZE 95
dff33cfc 6032#define I855GM_FIFO_SIZE 127 /* In cachelines */
7662c8bd 6033#define I830_FIFO_SIZE 95
0e442c60 6034
ceb04246 6035#define VALLEYVIEW_MAX_WM 0xff
0e442c60 6036#define G4X_MAX_WM 0x3f
7662c8bd
SL
6037#define I915_MAX_WM 0x3f
6038
f2b115e6
AJ
6039#define PINEVIEW_DISPLAY_FIFO 512 /* in 64byte unit */
6040#define PINEVIEW_FIFO_LINE_SIZE 64
6041#define PINEVIEW_MAX_WM 0x1ff
6042#define PINEVIEW_DFT_WM 0x3f
6043#define PINEVIEW_DFT_HPLLOFF_WM 0
6044#define PINEVIEW_GUARD_WM 10
6045#define PINEVIEW_CURSOR_FIFO 64
6046#define PINEVIEW_CURSOR_MAX_WM 0x3f
6047#define PINEVIEW_CURSOR_DFT_WM 0
6048#define PINEVIEW_CURSOR_GUARD_WM 5
7662c8bd 6049
ceb04246 6050#define VALLEYVIEW_CURSOR_MAX_WM 64
4fe5e611
ZY
6051#define I965_CURSOR_FIFO 64
6052#define I965_CURSOR_MAX_WM 32
6053#define I965_CURSOR_DFT_WM 8
7f8a8569 6054
fae1267d 6055/* Watermark register definitions for SKL */
086f8e84
VS
6056#define _CUR_WM_A_0 0x70140
6057#define _CUR_WM_B_0 0x71140
6058#define _PLANE_WM_1_A_0 0x70240
6059#define _PLANE_WM_1_B_0 0x71240
6060#define _PLANE_WM_2_A_0 0x70340
6061#define _PLANE_WM_2_B_0 0x71340
6062#define _PLANE_WM_TRANS_1_A_0 0x70268
6063#define _PLANE_WM_TRANS_1_B_0 0x71268
6064#define _PLANE_WM_TRANS_2_A_0 0x70368
6065#define _PLANE_WM_TRANS_2_B_0 0x71368
6066#define _CUR_WM_TRANS_A_0 0x70168
6067#define _CUR_WM_TRANS_B_0 0x71168
fae1267d 6068#define PLANE_WM_EN (1 << 31)
2ed8e1f5 6069#define PLANE_WM_IGNORE_LINES (1 << 30)
fae1267d
PB
6070#define PLANE_WM_LINES_SHIFT 14
6071#define PLANE_WM_LINES_MASK 0x1f
c7e716b8 6072#define PLANE_WM_BLOCKS_MASK 0x7ff /* skl+: 10 bits, icl+ 11 bits */
fae1267d 6073
086f8e84 6074#define _CUR_WM_0(pipe) _PIPE(pipe, _CUR_WM_A_0, _CUR_WM_B_0)
f0f59a00
VS
6075#define CUR_WM(pipe, level) _MMIO(_CUR_WM_0(pipe) + ((4) * (level)))
6076#define CUR_WM_TRANS(pipe) _MMIO_PIPE(pipe, _CUR_WM_TRANS_A_0, _CUR_WM_TRANS_B_0)
fae1267d 6077
086f8e84
VS
6078#define _PLANE_WM_1(pipe) _PIPE(pipe, _PLANE_WM_1_A_0, _PLANE_WM_1_B_0)
6079#define _PLANE_WM_2(pipe) _PIPE(pipe, _PLANE_WM_2_A_0, _PLANE_WM_2_B_0)
fae1267d
PB
6080#define _PLANE_WM_BASE(pipe, plane) \
6081 _PLANE(plane, _PLANE_WM_1(pipe), _PLANE_WM_2(pipe))
6082#define PLANE_WM(pipe, plane, level) \
f0f59a00 6083 _MMIO(_PLANE_WM_BASE(pipe, plane) + ((4) * (level)))
fae1267d 6084#define _PLANE_WM_TRANS_1(pipe) \
086f8e84 6085 _PIPE(pipe, _PLANE_WM_TRANS_1_A_0, _PLANE_WM_TRANS_1_B_0)
fae1267d 6086#define _PLANE_WM_TRANS_2(pipe) \
086f8e84 6087 _PIPE(pipe, _PLANE_WM_TRANS_2_A_0, _PLANE_WM_TRANS_2_B_0)
fae1267d 6088#define PLANE_WM_TRANS(pipe, plane) \
f0f59a00 6089 _MMIO(_PLANE(plane, _PLANE_WM_TRANS_1(pipe), _PLANE_WM_TRANS_2(pipe)))
fae1267d 6090
7f8a8569 6091/* define the Watermark register on Ironlake */
f0f59a00 6092#define WM0_PIPEA_ILK _MMIO(0x45100)
5ee8ee86 6093#define WM0_PIPE_PLANE_MASK (0xffff << 16)
7f8a8569 6094#define WM0_PIPE_PLANE_SHIFT 16
5ee8ee86 6095#define WM0_PIPE_SPRITE_MASK (0xff << 8)
7f8a8569 6096#define WM0_PIPE_SPRITE_SHIFT 8
1996d624 6097#define WM0_PIPE_CURSOR_MASK (0xff)
7f8a8569 6098
f0f59a00
VS
6099#define WM0_PIPEB_ILK _MMIO(0x45104)
6100#define WM0_PIPEC_IVB _MMIO(0x45200)
6101#define WM1_LP_ILK _MMIO(0x45108)
5ee8ee86 6102#define WM1_LP_SR_EN (1 << 31)
7f8a8569 6103#define WM1_LP_LATENCY_SHIFT 24
5ee8ee86
PZ
6104#define WM1_LP_LATENCY_MASK (0x7f << 24)
6105#define WM1_LP_FBC_MASK (0xf << 20)
4ed765f9 6106#define WM1_LP_FBC_SHIFT 20
416f4727 6107#define WM1_LP_FBC_SHIFT_BDW 19
5ee8ee86 6108#define WM1_LP_SR_MASK (0x7ff << 8)
7f8a8569 6109#define WM1_LP_SR_SHIFT 8
1996d624 6110#define WM1_LP_CURSOR_MASK (0xff)
f0f59a00 6111#define WM2_LP_ILK _MMIO(0x4510c)
5ee8ee86 6112#define WM2_LP_EN (1 << 31)
f0f59a00 6113#define WM3_LP_ILK _MMIO(0x45110)
5ee8ee86 6114#define WM3_LP_EN (1 << 31)
f0f59a00
VS
6115#define WM1S_LP_ILK _MMIO(0x45120)
6116#define WM2S_LP_IVB _MMIO(0x45124)
6117#define WM3S_LP_IVB _MMIO(0x45128)
5ee8ee86 6118#define WM1S_LP_EN (1 << 31)
7f8a8569 6119
cca32e9a
PZ
6120#define HSW_WM_LP_VAL(lat, fbc, pri, cur) \
6121 (WM3_LP_EN | ((lat) << WM1_LP_LATENCY_SHIFT) | \
6122 ((fbc) << WM1_LP_FBC_SHIFT) | ((pri) << WM1_LP_SR_SHIFT) | (cur))
6123
7f8a8569 6124/* Memory latency timer register */
f0f59a00 6125#define MLTR_ILK _MMIO(0x11222)
b79d4990
JB
6126#define MLTR_WM1_SHIFT 0
6127#define MLTR_WM2_SHIFT 8
7f8a8569
ZW
6128/* the unit of memory self-refresh latency time is 0.5us */
6129#define ILK_SRLT_MASK 0x3f
6130
1398261a
YL
6131
6132/* the address where we get all kinds of latency value */
f0f59a00 6133#define SSKPD _MMIO(0x5d10)
1398261a
YL
6134#define SSKPD_WM_MASK 0x3f
6135#define SSKPD_WM0_SHIFT 0
6136#define SSKPD_WM1_SHIFT 8
6137#define SSKPD_WM2_SHIFT 16
6138#define SSKPD_WM3_SHIFT 24
6139
585fb111
JB
6140/*
6141 * The two pipe frame counter registers are not synchronized, so
6142 * reading a stable value is somewhat tricky. The following code
6143 * should work:
6144 *
6145 * do {
6146 * high1 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6147 * PIPE_FRAME_HIGH_SHIFT;
6148 * low1 = ((INREG(PIPEAFRAMEPIXEL) & PIPE_FRAME_LOW_MASK) >>
6149 * PIPE_FRAME_LOW_SHIFT);
6150 * high2 = ((INREG(PIPEAFRAMEHIGH) & PIPE_FRAME_HIGH_MASK) >>
6151 * PIPE_FRAME_HIGH_SHIFT);
6152 * } while (high1 != high2);
6153 * frame = (high1 << 8) | low1;
6154 */
25a2e2d0 6155#define _PIPEAFRAMEHIGH 0x70040
585fb111
JB
6156#define PIPE_FRAME_HIGH_MASK 0x0000ffff
6157#define PIPE_FRAME_HIGH_SHIFT 0
25a2e2d0 6158#define _PIPEAFRAMEPIXEL 0x70044
585fb111
JB
6159#define PIPE_FRAME_LOW_MASK 0xff000000
6160#define PIPE_FRAME_LOW_SHIFT 24
6161#define PIPE_PIXEL_MASK 0x00ffffff
6162#define PIPE_PIXEL_SHIFT 0
9880b7a5 6163/* GM45+ just has to be different */
fd8f507c
VS
6164#define _PIPEA_FRMCOUNT_G4X 0x70040
6165#define _PIPEA_FLIPCOUNT_G4X 0x70044
f0f59a00
VS
6166#define PIPE_FRMCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FRMCOUNT_G4X)
6167#define PIPE_FLIPCOUNT_G4X(pipe) _MMIO_PIPE2(pipe, _PIPEA_FLIPCOUNT_G4X)
585fb111
JB
6168
6169/* Cursor A & B regs */
5efb3e28 6170#define _CURACNTR 0x70080
14b60391
JB
6171/* Old style CUR*CNTR flags (desktop 8xx) */
6172#define CURSOR_ENABLE 0x80000000
6173#define CURSOR_GAMMA_ENABLE 0x40000000
dc41c154 6174#define CURSOR_STRIDE_SHIFT 28
5ee8ee86 6175#define CURSOR_STRIDE(x) ((ffs(x) - 9) << CURSOR_STRIDE_SHIFT) /* 256,512,1k,2k */
14b60391
JB
6176#define CURSOR_FORMAT_SHIFT 24
6177#define CURSOR_FORMAT_MASK (0x07 << CURSOR_FORMAT_SHIFT)
6178#define CURSOR_FORMAT_2C (0x00 << CURSOR_FORMAT_SHIFT)
6179#define CURSOR_FORMAT_3C (0x01 << CURSOR_FORMAT_SHIFT)
6180#define CURSOR_FORMAT_4C (0x02 << CURSOR_FORMAT_SHIFT)
6181#define CURSOR_FORMAT_ARGB (0x04 << CURSOR_FORMAT_SHIFT)
6182#define CURSOR_FORMAT_XRGB (0x05 << CURSOR_FORMAT_SHIFT)
6183/* New style CUR*CNTR flags */
b99b9ec1
VS
6184#define MCURSOR_MODE 0x27
6185#define MCURSOR_MODE_DISABLE 0x00
6186#define MCURSOR_MODE_128_32B_AX 0x02
6187#define MCURSOR_MODE_256_32B_AX 0x03
6188#define MCURSOR_MODE_64_32B_AX 0x07
6189#define MCURSOR_MODE_128_ARGB_AX ((1 << 5) | MCURSOR_MODE_128_32B_AX)
6190#define MCURSOR_MODE_256_ARGB_AX ((1 << 5) | MCURSOR_MODE_256_32B_AX)
6191#define MCURSOR_MODE_64_ARGB_AX ((1 << 5) | MCURSOR_MODE_64_32B_AX)
eade6c89
VS
6192#define MCURSOR_PIPE_SELECT_MASK (0x3 << 28)
6193#define MCURSOR_PIPE_SELECT_SHIFT 28
d509e28b 6194#define MCURSOR_PIPE_SELECT(pipe) ((pipe) << 28)
585fb111 6195#define MCURSOR_GAMMA_ENABLE (1 << 26)
8271b2ef 6196#define MCURSOR_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
5ee8ee86 6197#define MCURSOR_ROTATE_180 (1 << 15)
b99b9ec1 6198#define MCURSOR_TRICKLE_FEED_DISABLE (1 << 14)
5efb3e28
VS
6199#define _CURABASE 0x70084
6200#define _CURAPOS 0x70088
585fb111
JB
6201#define CURSOR_POS_MASK 0x007FF
6202#define CURSOR_POS_SIGN 0x8000
6203#define CURSOR_X_SHIFT 0
6204#define CURSOR_Y_SHIFT 16
024faac7
VS
6205#define CURSIZE _MMIO(0x700a0) /* 845/865 */
6206#define _CUR_FBC_CTL_A 0x700a0 /* ivb+ */
6207#define CUR_FBC_CTL_EN (1 << 31)
a8ada068 6208#define _CURASURFLIVE 0x700ac /* g4x+ */
5efb3e28
VS
6209#define _CURBCNTR 0x700c0
6210#define _CURBBASE 0x700c4
6211#define _CURBPOS 0x700c8
585fb111 6212
65a21cd6
JB
6213#define _CURBCNTR_IVB 0x71080
6214#define _CURBBASE_IVB 0x71084
6215#define _CURBPOS_IVB 0x71088
6216
5efb3e28
VS
6217#define CURCNTR(pipe) _CURSOR2(pipe, _CURACNTR)
6218#define CURBASE(pipe) _CURSOR2(pipe, _CURABASE)
6219#define CURPOS(pipe) _CURSOR2(pipe, _CURAPOS)
024faac7 6220#define CUR_FBC_CTL(pipe) _CURSOR2(pipe, _CUR_FBC_CTL_A)
a8ada068 6221#define CURSURFLIVE(pipe) _CURSOR2(pipe, _CURASURFLIVE)
c4a1d9e4 6222
5efb3e28
VS
6223#define CURSOR_A_OFFSET 0x70080
6224#define CURSOR_B_OFFSET 0x700c0
6225#define CHV_CURSOR_C_OFFSET 0x700e0
6226#define IVB_CURSOR_B_OFFSET 0x71080
6227#define IVB_CURSOR_C_OFFSET 0x72080
65a21cd6 6228
585fb111 6229/* Display A control */
a57c774a 6230#define _DSPACNTR 0x70180
5ee8ee86 6231#define DISPLAY_PLANE_ENABLE (1 << 31)
585fb111 6232#define DISPLAY_PLANE_DISABLE 0
5ee8ee86 6233#define DISPPLANE_GAMMA_ENABLE (1 << 30)
585fb111 6234#define DISPPLANE_GAMMA_DISABLE 0
5ee8ee86
PZ
6235#define DISPPLANE_PIXFORMAT_MASK (0xf << 26)
6236#define DISPPLANE_YUV422 (0x0 << 26)
6237#define DISPPLANE_8BPP (0x2 << 26)
6238#define DISPPLANE_BGRA555 (0x3 << 26)
6239#define DISPPLANE_BGRX555 (0x4 << 26)
6240#define DISPPLANE_BGRX565 (0x5 << 26)
6241#define DISPPLANE_BGRX888 (0x6 << 26)
6242#define DISPPLANE_BGRA888 (0x7 << 26)
6243#define DISPPLANE_RGBX101010 (0x8 << 26)
6244#define DISPPLANE_RGBA101010 (0x9 << 26)
6245#define DISPPLANE_BGRX101010 (0xa << 26)
6246#define DISPPLANE_RGBX161616 (0xc << 26)
6247#define DISPPLANE_RGBX888 (0xe << 26)
6248#define DISPPLANE_RGBA888 (0xf << 26)
6249#define DISPPLANE_STEREO_ENABLE (1 << 25)
585fb111 6250#define DISPPLANE_STEREO_DISABLE 0
8271b2ef 6251#define DISPPLANE_PIPE_CSC_ENABLE (1 << 24) /* ilk+ */
b24e7179 6252#define DISPPLANE_SEL_PIPE_SHIFT 24
5ee8ee86
PZ
6253#define DISPPLANE_SEL_PIPE_MASK (3 << DISPPLANE_SEL_PIPE_SHIFT)
6254#define DISPPLANE_SEL_PIPE(pipe) ((pipe) << DISPPLANE_SEL_PIPE_SHIFT)
6255#define DISPPLANE_SRC_KEY_ENABLE (1 << 22)
585fb111 6256#define DISPPLANE_SRC_KEY_DISABLE 0
5ee8ee86 6257#define DISPPLANE_LINE_DOUBLE (1 << 20)
585fb111
JB
6258#define DISPPLANE_NO_LINE_DOUBLE 0
6259#define DISPPLANE_STEREO_POLARITY_FIRST 0
5ee8ee86
PZ
6260#define DISPPLANE_STEREO_POLARITY_SECOND (1 << 18)
6261#define DISPPLANE_ALPHA_PREMULTIPLY (1 << 16) /* CHV pipe B */
6262#define DISPPLANE_ROTATE_180 (1 << 15)
6263#define DISPPLANE_TRICKLE_FEED_DISABLE (1 << 14) /* Ironlake */
6264#define DISPPLANE_TILED (1 << 10)
6265#define DISPPLANE_MIRROR (1 << 8) /* CHV pipe B */
a57c774a
AK
6266#define _DSPAADDR 0x70184
6267#define _DSPASTRIDE 0x70188
6268#define _DSPAPOS 0x7018C /* reserved */
6269#define _DSPASIZE 0x70190
6270#define _DSPASURF 0x7019C /* 965+ only */
6271#define _DSPATILEOFF 0x701A4 /* 965+ only */
6272#define _DSPAOFFSET 0x701A4 /* HSW */
6273#define _DSPASURFLIVE 0x701AC
6274
f0f59a00
VS
6275#define DSPCNTR(plane) _MMIO_PIPE2(plane, _DSPACNTR)
6276#define DSPADDR(plane) _MMIO_PIPE2(plane, _DSPAADDR)
6277#define DSPSTRIDE(plane) _MMIO_PIPE2(plane, _DSPASTRIDE)
6278#define DSPPOS(plane) _MMIO_PIPE2(plane, _DSPAPOS)
6279#define DSPSIZE(plane) _MMIO_PIPE2(plane, _DSPASIZE)
6280#define DSPSURF(plane) _MMIO_PIPE2(plane, _DSPASURF)
6281#define DSPTILEOFF(plane) _MMIO_PIPE2(plane, _DSPATILEOFF)
6282#define DSPLINOFF(plane) DSPADDR(plane)
6283#define DSPOFFSET(plane) _MMIO_PIPE2(plane, _DSPAOFFSET)
6284#define DSPSURFLIVE(plane) _MMIO_PIPE2(plane, _DSPASURFLIVE)
5eddb70b 6285
c14b0485
VS
6286/* CHV pipe B blender and primary plane */
6287#define _CHV_BLEND_A 0x60a00
5ee8ee86
PZ
6288#define CHV_BLEND_LEGACY (0 << 30)
6289#define CHV_BLEND_ANDROID (1 << 30)
6290#define CHV_BLEND_MPO (2 << 30)
6291#define CHV_BLEND_MASK (3 << 30)
c14b0485
VS
6292#define _CHV_CANVAS_A 0x60a04
6293#define _PRIMPOS_A 0x60a08
6294#define _PRIMSIZE_A 0x60a0c
6295#define _PRIMCNSTALPHA_A 0x60a10
5ee8ee86 6296#define PRIM_CONST_ALPHA_ENABLE (1 << 31)
c14b0485 6297
f0f59a00
VS
6298#define CHV_BLEND(pipe) _MMIO_TRANS2(pipe, _CHV_BLEND_A)
6299#define CHV_CANVAS(pipe) _MMIO_TRANS2(pipe, _CHV_CANVAS_A)
6300#define PRIMPOS(plane) _MMIO_TRANS2(plane, _PRIMPOS_A)
6301#define PRIMSIZE(plane) _MMIO_TRANS2(plane, _PRIMSIZE_A)
6302#define PRIMCNSTALPHA(plane) _MMIO_TRANS2(plane, _PRIMCNSTALPHA_A)
c14b0485 6303
446f2545
AR
6304/* Display/Sprite base address macros */
6305#define DISP_BASEADDR_MASK (0xfffff000)
9e8789ec
PZ
6306#define I915_LO_DISPBASE(val) ((val) & ~DISP_BASEADDR_MASK)
6307#define I915_HI_DISPBASE(val) ((val) & DISP_BASEADDR_MASK)
446f2545 6308
85fa792b
VS
6309/*
6310 * VBIOS flags
6311 * gen2:
6312 * [00:06] alm,mgm
6313 * [10:16] all
6314 * [30:32] alm,mgm
6315 * gen3+:
6316 * [00:0f] all
6317 * [10:1f] all
6318 * [30:32] all
6319 */
ed5eb1b7
JN
6320#define SWF0(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x70410 + (i) * 4)
6321#define SWF1(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x71410 + (i) * 4)
6322#define SWF3(i) _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x72414 + (i) * 4)
f0f59a00 6323#define SWF_ILK(i) _MMIO(0x4F000 + (i) * 4)
585fb111
JB
6324
6325/* Pipe B */
ed5eb1b7
JN
6326#define _PIPEBDSL (DISPLAY_MMIO_BASE(dev_priv) + 0x71000)
6327#define _PIPEBCONF (DISPLAY_MMIO_BASE(dev_priv) + 0x71008)
6328#define _PIPEBSTAT (DISPLAY_MMIO_BASE(dev_priv) + 0x71024)
25a2e2d0
VS
6329#define _PIPEBFRAMEHIGH 0x71040
6330#define _PIPEBFRAMEPIXEL 0x71044
ed5eb1b7
JN
6331#define _PIPEB_FRMCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71040)
6332#define _PIPEB_FLIPCOUNT_G4X (DISPLAY_MMIO_BASE(dev_priv) + 0x71044)
9880b7a5 6333
585fb111
JB
6334
6335/* Display B control */
ed5eb1b7 6336#define _DSPBCNTR (DISPLAY_MMIO_BASE(dev_priv) + 0x71180)
5ee8ee86 6337#define DISPPLANE_ALPHA_TRANS_ENABLE (1 << 15)
585fb111
JB
6338#define DISPPLANE_ALPHA_TRANS_DISABLE 0
6339#define DISPPLANE_SPRITE_ABOVE_DISPLAY 0
6340#define DISPPLANE_SPRITE_ABOVE_OVERLAY (1)
ed5eb1b7
JN
6341#define _DSPBADDR (DISPLAY_MMIO_BASE(dev_priv) + 0x71184)
6342#define _DSPBSTRIDE (DISPLAY_MMIO_BASE(dev_priv) + 0x71188)
6343#define _DSPBPOS (DISPLAY_MMIO_BASE(dev_priv) + 0x7118C)
6344#define _DSPBSIZE (DISPLAY_MMIO_BASE(dev_priv) + 0x71190)
6345#define _DSPBSURF (DISPLAY_MMIO_BASE(dev_priv) + 0x7119C)
6346#define _DSPBTILEOFF (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6347#define _DSPBOFFSET (DISPLAY_MMIO_BASE(dev_priv) + 0x711A4)
6348#define _DSPBSURFLIVE (DISPLAY_MMIO_BASE(dev_priv) + 0x711AC)
585fb111 6349
372610f3
MC
6350/* ICL DSI 0 and 1 */
6351#define _PIPEDSI0CONF 0x7b008
6352#define _PIPEDSI1CONF 0x7b808
6353
b840d907
JB
6354/* Sprite A control */
6355#define _DVSACNTR 0x72180
5ee8ee86
PZ
6356#define DVS_ENABLE (1 << 31)
6357#define DVS_GAMMA_ENABLE (1 << 30)
6358#define DVS_YUV_RANGE_CORRECTION_DISABLE (1 << 27)
6359#define DVS_PIXFORMAT_MASK (3 << 25)
6360#define DVS_FORMAT_YUV422 (0 << 25)
6361#define DVS_FORMAT_RGBX101010 (1 << 25)
6362#define DVS_FORMAT_RGBX888 (2 << 25)
6363#define DVS_FORMAT_RGBX161616 (3 << 25)
6364#define DVS_PIPE_CSC_ENABLE (1 << 24)
6365#define DVS_SOURCE_KEY (1 << 22)
6366#define DVS_RGB_ORDER_XBGR (1 << 20)
6367#define DVS_YUV_FORMAT_BT709 (1 << 18)
6368#define DVS_YUV_BYTE_ORDER_MASK (3 << 16)
6369#define DVS_YUV_ORDER_YUYV (0 << 16)
6370#define DVS_YUV_ORDER_UYVY (1 << 16)
6371#define DVS_YUV_ORDER_YVYU (2 << 16)
6372#define DVS_YUV_ORDER_VYUY (3 << 16)
6373#define DVS_ROTATE_180 (1 << 15)
6374#define DVS_DEST_KEY (1 << 2)
6375#define DVS_TRICKLE_FEED_DISABLE (1 << 14)
6376#define DVS_TILED (1 << 10)
b840d907
JB
6377#define _DVSALINOFF 0x72184
6378#define _DVSASTRIDE 0x72188
6379#define _DVSAPOS 0x7218c
6380#define _DVSASIZE 0x72190
6381#define _DVSAKEYVAL 0x72194
6382#define _DVSAKEYMSK 0x72198
6383#define _DVSASURF 0x7219c
6384#define _DVSAKEYMAXVAL 0x721a0
6385#define _DVSATILEOFF 0x721a4
6386#define _DVSASURFLIVE 0x721ac
6387#define _DVSASCALE 0x72204
5ee8ee86
PZ
6388#define DVS_SCALE_ENABLE (1 << 31)
6389#define DVS_FILTER_MASK (3 << 29)
6390#define DVS_FILTER_MEDIUM (0 << 29)
6391#define DVS_FILTER_ENHANCING (1 << 29)
6392#define DVS_FILTER_SOFTENING (2 << 29)
6393#define DVS_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6394#define DVS_VERTICAL_OFFSET_ENABLE (1 << 27)
b840d907
JB
6395#define _DVSAGAMC 0x72300
6396
6397#define _DVSBCNTR 0x73180
6398#define _DVSBLINOFF 0x73184
6399#define _DVSBSTRIDE 0x73188
6400#define _DVSBPOS 0x7318c
6401#define _DVSBSIZE 0x73190
6402#define _DVSBKEYVAL 0x73194
6403#define _DVSBKEYMSK 0x73198
6404#define _DVSBSURF 0x7319c
6405#define _DVSBKEYMAXVAL 0x731a0
6406#define _DVSBTILEOFF 0x731a4
6407#define _DVSBSURFLIVE 0x731ac
6408#define _DVSBSCALE 0x73204
6409#define _DVSBGAMC 0x73300
6410
f0f59a00
VS
6411#define DVSCNTR(pipe) _MMIO_PIPE(pipe, _DVSACNTR, _DVSBCNTR)
6412#define DVSLINOFF(pipe) _MMIO_PIPE(pipe, _DVSALINOFF, _DVSBLINOFF)
6413#define DVSSTRIDE(pipe) _MMIO_PIPE(pipe, _DVSASTRIDE, _DVSBSTRIDE)
6414#define DVSPOS(pipe) _MMIO_PIPE(pipe, _DVSAPOS, _DVSBPOS)
6415#define DVSSURF(pipe) _MMIO_PIPE(pipe, _DVSASURF, _DVSBSURF)
6416#define DVSKEYMAX(pipe) _MMIO_PIPE(pipe, _DVSAKEYMAXVAL, _DVSBKEYMAXVAL)
6417#define DVSSIZE(pipe) _MMIO_PIPE(pipe, _DVSASIZE, _DVSBSIZE)
6418#define DVSSCALE(pipe) _MMIO_PIPE(pipe, _DVSASCALE, _DVSBSCALE)
6419#define DVSTILEOFF(pipe) _MMIO_PIPE(pipe, _DVSATILEOFF, _DVSBTILEOFF)
6420#define DVSKEYVAL(pipe) _MMIO_PIPE(pipe, _DVSAKEYVAL, _DVSBKEYVAL)
6421#define DVSKEYMSK(pipe) _MMIO_PIPE(pipe, _DVSAKEYMSK, _DVSBKEYMSK)
6422#define DVSSURFLIVE(pipe) _MMIO_PIPE(pipe, _DVSASURFLIVE, _DVSBSURFLIVE)
b840d907
JB
6423
6424#define _SPRA_CTL 0x70280
5ee8ee86
PZ
6425#define SPRITE_ENABLE (1 << 31)
6426#define SPRITE_GAMMA_ENABLE (1 << 30)
6427#define SPRITE_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6428#define SPRITE_PIXFORMAT_MASK (7 << 25)
6429#define SPRITE_FORMAT_YUV422 (0 << 25)
6430#define SPRITE_FORMAT_RGBX101010 (1 << 25)
6431#define SPRITE_FORMAT_RGBX888 (2 << 25)
6432#define SPRITE_FORMAT_RGBX161616 (3 << 25)
6433#define SPRITE_FORMAT_YUV444 (4 << 25)
6434#define SPRITE_FORMAT_XR_BGR101010 (5 << 25) /* Extended range */
6435#define SPRITE_PIPE_CSC_ENABLE (1 << 24)
6436#define SPRITE_SOURCE_KEY (1 << 22)
6437#define SPRITE_RGB_ORDER_RGBX (1 << 20) /* only for 888 and 161616 */
6438#define SPRITE_YUV_TO_RGB_CSC_DISABLE (1 << 19)
6439#define SPRITE_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18) /* 0 is BT601 */
6440#define SPRITE_YUV_BYTE_ORDER_MASK (3 << 16)
6441#define SPRITE_YUV_ORDER_YUYV (0 << 16)
6442#define SPRITE_YUV_ORDER_UYVY (1 << 16)
6443#define SPRITE_YUV_ORDER_YVYU (2 << 16)
6444#define SPRITE_YUV_ORDER_VYUY (3 << 16)
6445#define SPRITE_ROTATE_180 (1 << 15)
6446#define SPRITE_TRICKLE_FEED_DISABLE (1 << 14)
6447#define SPRITE_INT_GAMMA_ENABLE (1 << 13)
6448#define SPRITE_TILED (1 << 10)
6449#define SPRITE_DEST_KEY (1 << 2)
b840d907
JB
6450#define _SPRA_LINOFF 0x70284
6451#define _SPRA_STRIDE 0x70288
6452#define _SPRA_POS 0x7028c
6453#define _SPRA_SIZE 0x70290
6454#define _SPRA_KEYVAL 0x70294
6455#define _SPRA_KEYMSK 0x70298
6456#define _SPRA_SURF 0x7029c
6457#define _SPRA_KEYMAX 0x702a0
6458#define _SPRA_TILEOFF 0x702a4
c54173a8 6459#define _SPRA_OFFSET 0x702a4
32ae46bf 6460#define _SPRA_SURFLIVE 0x702ac
b840d907 6461#define _SPRA_SCALE 0x70304
5ee8ee86
PZ
6462#define SPRITE_SCALE_ENABLE (1 << 31)
6463#define SPRITE_FILTER_MASK (3 << 29)
6464#define SPRITE_FILTER_MEDIUM (0 << 29)
6465#define SPRITE_FILTER_ENHANCING (1 << 29)
6466#define SPRITE_FILTER_SOFTENING (2 << 29)
6467#define SPRITE_VERTICAL_OFFSET_HALF (1 << 28) /* must be enabled below */
6468#define SPRITE_VERTICAL_OFFSET_ENABLE (1 << 27)
b840d907
JB
6469#define _SPRA_GAMC 0x70400
6470
6471#define _SPRB_CTL 0x71280
6472#define _SPRB_LINOFF 0x71284
6473#define _SPRB_STRIDE 0x71288
6474#define _SPRB_POS 0x7128c
6475#define _SPRB_SIZE 0x71290
6476#define _SPRB_KEYVAL 0x71294
6477#define _SPRB_KEYMSK 0x71298
6478#define _SPRB_SURF 0x7129c
6479#define _SPRB_KEYMAX 0x712a0
6480#define _SPRB_TILEOFF 0x712a4
c54173a8 6481#define _SPRB_OFFSET 0x712a4
32ae46bf 6482#define _SPRB_SURFLIVE 0x712ac
b840d907
JB
6483#define _SPRB_SCALE 0x71304
6484#define _SPRB_GAMC 0x71400
6485
f0f59a00
VS
6486#define SPRCTL(pipe) _MMIO_PIPE(pipe, _SPRA_CTL, _SPRB_CTL)
6487#define SPRLINOFF(pipe) _MMIO_PIPE(pipe, _SPRA_LINOFF, _SPRB_LINOFF)
6488#define SPRSTRIDE(pipe) _MMIO_PIPE(pipe, _SPRA_STRIDE, _SPRB_STRIDE)
6489#define SPRPOS(pipe) _MMIO_PIPE(pipe, _SPRA_POS, _SPRB_POS)
6490#define SPRSIZE(pipe) _MMIO_PIPE(pipe, _SPRA_SIZE, _SPRB_SIZE)
6491#define SPRKEYVAL(pipe) _MMIO_PIPE(pipe, _SPRA_KEYVAL, _SPRB_KEYVAL)
6492#define SPRKEYMSK(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMSK, _SPRB_KEYMSK)
6493#define SPRSURF(pipe) _MMIO_PIPE(pipe, _SPRA_SURF, _SPRB_SURF)
6494#define SPRKEYMAX(pipe) _MMIO_PIPE(pipe, _SPRA_KEYMAX, _SPRB_KEYMAX)
6495#define SPRTILEOFF(pipe) _MMIO_PIPE(pipe, _SPRA_TILEOFF, _SPRB_TILEOFF)
6496#define SPROFFSET(pipe) _MMIO_PIPE(pipe, _SPRA_OFFSET, _SPRB_OFFSET)
6497#define SPRSCALE(pipe) _MMIO_PIPE(pipe, _SPRA_SCALE, _SPRB_SCALE)
6498#define SPRGAMC(pipe) _MMIO_PIPE(pipe, _SPRA_GAMC, _SPRB_GAMC)
6499#define SPRSURFLIVE(pipe) _MMIO_PIPE(pipe, _SPRA_SURFLIVE, _SPRB_SURFLIVE)
b840d907 6500
921c3b67 6501#define _SPACNTR (VLV_DISPLAY_BASE + 0x72180)
5ee8ee86
PZ
6502#define SP_ENABLE (1 << 31)
6503#define SP_GAMMA_ENABLE (1 << 30)
6504#define SP_PIXFORMAT_MASK (0xf << 26)
6505#define SP_FORMAT_YUV422 (0 << 26)
6506#define SP_FORMAT_BGR565 (5 << 26)
6507#define SP_FORMAT_BGRX8888 (6 << 26)
6508#define SP_FORMAT_BGRA8888 (7 << 26)
6509#define SP_FORMAT_RGBX1010102 (8 << 26)
6510#define SP_FORMAT_RGBA1010102 (9 << 26)
6511#define SP_FORMAT_RGBX8888 (0xe << 26)
6512#define SP_FORMAT_RGBA8888 (0xf << 26)
6513#define SP_ALPHA_PREMULTIPLY (1 << 23) /* CHV pipe B */
6514#define SP_SOURCE_KEY (1 << 22)
6515#define SP_YUV_FORMAT_BT709 (1 << 18)
6516#define SP_YUV_BYTE_ORDER_MASK (3 << 16)
6517#define SP_YUV_ORDER_YUYV (0 << 16)
6518#define SP_YUV_ORDER_UYVY (1 << 16)
6519#define SP_YUV_ORDER_YVYU (2 << 16)
6520#define SP_YUV_ORDER_VYUY (3 << 16)
6521#define SP_ROTATE_180 (1 << 15)
6522#define SP_TILED (1 << 10)
6523#define SP_MIRROR (1 << 8) /* CHV pipe B */
921c3b67
VS
6524#define _SPALINOFF (VLV_DISPLAY_BASE + 0x72184)
6525#define _SPASTRIDE (VLV_DISPLAY_BASE + 0x72188)
6526#define _SPAPOS (VLV_DISPLAY_BASE + 0x7218c)
6527#define _SPASIZE (VLV_DISPLAY_BASE + 0x72190)
6528#define _SPAKEYMINVAL (VLV_DISPLAY_BASE + 0x72194)
6529#define _SPAKEYMSK (VLV_DISPLAY_BASE + 0x72198)
6530#define _SPASURF (VLV_DISPLAY_BASE + 0x7219c)
6531#define _SPAKEYMAXVAL (VLV_DISPLAY_BASE + 0x721a0)
6532#define _SPATILEOFF (VLV_DISPLAY_BASE + 0x721a4)
6533#define _SPACONSTALPHA (VLV_DISPLAY_BASE + 0x721a8)
5ee8ee86 6534#define SP_CONST_ALPHA_ENABLE (1 << 31)
5deae919
VS
6535#define _SPACLRC0 (VLV_DISPLAY_BASE + 0x721d0)
6536#define SP_CONTRAST(x) ((x) << 18) /* u3.6 */
6537#define SP_BRIGHTNESS(x) ((x) & 0xff) /* s8 */
6538#define _SPACLRC1 (VLV_DISPLAY_BASE + 0x721d4)
6539#define SP_SH_SIN(x) (((x) & 0x7ff) << 16) /* s4.7 */
6540#define SP_SH_COS(x) (x) /* u3.7 */
921c3b67
VS
6541#define _SPAGAMC (VLV_DISPLAY_BASE + 0x721f4)
6542
6543#define _SPBCNTR (VLV_DISPLAY_BASE + 0x72280)
6544#define _SPBLINOFF (VLV_DISPLAY_BASE + 0x72284)
6545#define _SPBSTRIDE (VLV_DISPLAY_BASE + 0x72288)
6546#define _SPBPOS (VLV_DISPLAY_BASE + 0x7228c)
6547#define _SPBSIZE (VLV_DISPLAY_BASE + 0x72290)
6548#define _SPBKEYMINVAL (VLV_DISPLAY_BASE + 0x72294)
6549#define _SPBKEYMSK (VLV_DISPLAY_BASE + 0x72298)
6550#define _SPBSURF (VLV_DISPLAY_BASE + 0x7229c)
6551#define _SPBKEYMAXVAL (VLV_DISPLAY_BASE + 0x722a0)
6552#define _SPBTILEOFF (VLV_DISPLAY_BASE + 0x722a4)
6553#define _SPBCONSTALPHA (VLV_DISPLAY_BASE + 0x722a8)
5deae919
VS
6554#define _SPBCLRC0 (VLV_DISPLAY_BASE + 0x722d0)
6555#define _SPBCLRC1 (VLV_DISPLAY_BASE + 0x722d4)
921c3b67 6556#define _SPBGAMC (VLV_DISPLAY_BASE + 0x722f4)
7f1f3851 6557
83c04a62
VS
6558#define _MMIO_VLV_SPR(pipe, plane_id, reg_a, reg_b) \
6559 _MMIO_PIPE((pipe) * 2 + (plane_id) - PLANE_SPRITE0, (reg_a), (reg_b))
6560
6561#define SPCNTR(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACNTR, _SPBCNTR)
6562#define SPLINOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPALINOFF, _SPBLINOFF)
6563#define SPSTRIDE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASTRIDE, _SPBSTRIDE)
6564#define SPPOS(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAPOS, _SPBPOS)
6565#define SPSIZE(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASIZE, _SPBSIZE)
6566#define SPKEYMINVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMINVAL, _SPBKEYMINVAL)
6567#define SPKEYMSK(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMSK, _SPBKEYMSK)
6568#define SPSURF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPASURF, _SPBSURF)
6569#define SPKEYMAXVAL(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAKEYMAXVAL, _SPBKEYMAXVAL)
6570#define SPTILEOFF(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPATILEOFF, _SPBTILEOFF)
6571#define SPCONSTALPHA(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACONSTALPHA, _SPBCONSTALPHA)
5deae919
VS
6572#define SPCLRC0(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC0, _SPBCLRC0)
6573#define SPCLRC1(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPACLRC1, _SPBCLRC1)
83c04a62 6574#define SPGAMC(pipe, plane_id) _MMIO_VLV_SPR((pipe), (plane_id), _SPAGAMC, _SPBGAMC)
7f1f3851 6575
6ca2aeb2
VS
6576/*
6577 * CHV pipe B sprite CSC
6578 *
6579 * |cr| |c0 c1 c2| |cr + cr_ioff| |cr_ooff|
6580 * |yg| = |c3 c4 c5| x |yg + yg_ioff| + |yg_ooff|
6581 * |cb| |c6 c7 c8| |cb + cr_ioff| |cb_ooff|
6582 */
83c04a62
VS
6583#define _MMIO_CHV_SPCSC(plane_id, reg) \
6584 _MMIO(VLV_DISPLAY_BASE + ((plane_id) - PLANE_SPRITE0) * 0x1000 + (reg))
6585
6586#define SPCSCYGOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d900)
6587#define SPCSCCBOFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d904)
6588#define SPCSCCROFF(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d908)
6ca2aeb2
VS
6589#define SPCSC_OOFF(x) (((x) & 0x7ff) << 16) /* s11 */
6590#define SPCSC_IOFF(x) (((x) & 0x7ff) << 0) /* s11 */
6591
83c04a62
VS
6592#define SPCSCC01(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d90c)
6593#define SPCSCC23(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d910)
6594#define SPCSCC45(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d914)
6595#define SPCSCC67(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d918)
6596#define SPCSCC8(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d91c)
6ca2aeb2
VS
6597#define SPCSC_C1(x) (((x) & 0x7fff) << 16) /* s3.12 */
6598#define SPCSC_C0(x) (((x) & 0x7fff) << 0) /* s3.12 */
6599
83c04a62
VS
6600#define SPCSCYGICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d920)
6601#define SPCSCCBICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d924)
6602#define SPCSCCRICLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d928)
6ca2aeb2
VS
6603#define SPCSC_IMAX(x) (((x) & 0x7ff) << 16) /* s11 */
6604#define SPCSC_IMIN(x) (((x) & 0x7ff) << 0) /* s11 */
6605
83c04a62
VS
6606#define SPCSCYGOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d92c)
6607#define SPCSCCBOCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d930)
6608#define SPCSCCROCLAMP(plane_id) _MMIO_CHV_SPCSC(plane_id, 0x6d934)
6ca2aeb2
VS
6609#define SPCSC_OMAX(x) ((x) << 16) /* u10 */
6610#define SPCSC_OMIN(x) ((x) << 0) /* u10 */
6611
70d21f0e
DL
6612/* Skylake plane registers */
6613
6614#define _PLANE_CTL_1_A 0x70180
6615#define _PLANE_CTL_2_A 0x70280
6616#define _PLANE_CTL_3_A 0x70380
6617#define PLANE_CTL_ENABLE (1 << 31)
4036c78c 6618#define PLANE_CTL_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-GLK */
c8624ede 6619#define PLANE_CTL_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
b5972776
JA
6620/*
6621 * ICL+ uses the same PLANE_CTL_FORMAT bits, but the field definition
6622 * expanded to include bit 23 as well. However, the shift-24 based values
6623 * correctly map to the same formats in ICL, as long as bit 23 is set to 0
6624 */
70d21f0e 6625#define PLANE_CTL_FORMAT_MASK (0xf << 24)
5ee8ee86
PZ
6626#define PLANE_CTL_FORMAT_YUV422 (0 << 24)
6627#define PLANE_CTL_FORMAT_NV12 (1 << 24)
6628#define PLANE_CTL_FORMAT_XRGB_2101010 (2 << 24)
e1312211 6629#define PLANE_CTL_FORMAT_P010 (3 << 24)
5ee8ee86 6630#define PLANE_CTL_FORMAT_XRGB_8888 (4 << 24)
e1312211 6631#define PLANE_CTL_FORMAT_P012 (5 << 24)
5ee8ee86 6632#define PLANE_CTL_FORMAT_XRGB_16161616F (6 << 24)
e1312211 6633#define PLANE_CTL_FORMAT_P016 (7 << 24)
5ee8ee86
PZ
6634#define PLANE_CTL_FORMAT_AYUV (8 << 24)
6635#define PLANE_CTL_FORMAT_INDEXED (12 << 24)
6636#define PLANE_CTL_FORMAT_RGB_565 (14 << 24)
b5972776 6637#define ICL_PLANE_CTL_FORMAT_MASK (0x1f << 23)
4036c78c 6638#define PLANE_CTL_PIPE_CSC_ENABLE (1 << 23) /* Pre-GLK */
696fa001
SS
6639#define PLANE_CTL_FORMAT_Y210 (1 << 23)
6640#define PLANE_CTL_FORMAT_Y212 (3 << 23)
6641#define PLANE_CTL_FORMAT_Y216 (5 << 23)
6642#define PLANE_CTL_FORMAT_Y410 (7 << 23)
6643#define PLANE_CTL_FORMAT_Y412 (9 << 23)
6644#define PLANE_CTL_FORMAT_Y416 (0xb << 23)
dc2a41b4 6645#define PLANE_CTL_KEY_ENABLE_MASK (0x3 << 21)
5ee8ee86
PZ
6646#define PLANE_CTL_KEY_ENABLE_SOURCE (1 << 21)
6647#define PLANE_CTL_KEY_ENABLE_DESTINATION (2 << 21)
70d21f0e
DL
6648#define PLANE_CTL_ORDER_BGRX (0 << 20)
6649#define PLANE_CTL_ORDER_RGBX (1 << 20)
1e364f90 6650#define PLANE_CTL_YUV420_Y_PLANE (1 << 19)
b0f5c0ba 6651#define PLANE_CTL_YUV_TO_RGB_CSC_FORMAT_BT709 (1 << 18)
70d21f0e 6652#define PLANE_CTL_YUV422_ORDER_MASK (0x3 << 16)
5ee8ee86
PZ
6653#define PLANE_CTL_YUV422_YUYV (0 << 16)
6654#define PLANE_CTL_YUV422_UYVY (1 << 16)
6655#define PLANE_CTL_YUV422_YVYU (2 << 16)
6656#define PLANE_CTL_YUV422_VYUY (3 << 16)
53867b46 6657#define PLANE_CTL_RENDER_DECOMPRESSION_ENABLE (1 << 15)
70d21f0e 6658#define PLANE_CTL_TRICKLE_FEED_DISABLE (1 << 14)
4036c78c 6659#define PLANE_CTL_PLANE_GAMMA_DISABLE (1 << 13) /* Pre-GLK */
70d21f0e 6660#define PLANE_CTL_TILED_MASK (0x7 << 10)
5ee8ee86
PZ
6661#define PLANE_CTL_TILED_LINEAR (0 << 10)
6662#define PLANE_CTL_TILED_X (1 << 10)
6663#define PLANE_CTL_TILED_Y (4 << 10)
6664#define PLANE_CTL_TILED_YF (5 << 10)
6665#define PLANE_CTL_FLIP_HORIZONTAL (1 << 8)
4036c78c 6666#define PLANE_CTL_ALPHA_MASK (0x3 << 4) /* Pre-GLK */
5ee8ee86
PZ
6667#define PLANE_CTL_ALPHA_DISABLE (0 << 4)
6668#define PLANE_CTL_ALPHA_SW_PREMULTIPLY (2 << 4)
6669#define PLANE_CTL_ALPHA_HW_PREMULTIPLY (3 << 4)
1447dde0
SJ
6670#define PLANE_CTL_ROTATE_MASK 0x3
6671#define PLANE_CTL_ROTATE_0 0x0
3b7a5119 6672#define PLANE_CTL_ROTATE_90 0x1
1447dde0 6673#define PLANE_CTL_ROTATE_180 0x2
3b7a5119 6674#define PLANE_CTL_ROTATE_270 0x3
70d21f0e
DL
6675#define _PLANE_STRIDE_1_A 0x70188
6676#define _PLANE_STRIDE_2_A 0x70288
6677#define _PLANE_STRIDE_3_A 0x70388
6678#define _PLANE_POS_1_A 0x7018c
6679#define _PLANE_POS_2_A 0x7028c
6680#define _PLANE_POS_3_A 0x7038c
6681#define _PLANE_SIZE_1_A 0x70190
6682#define _PLANE_SIZE_2_A 0x70290
6683#define _PLANE_SIZE_3_A 0x70390
6684#define _PLANE_SURF_1_A 0x7019c
6685#define _PLANE_SURF_2_A 0x7029c
6686#define _PLANE_SURF_3_A 0x7039c
6687#define _PLANE_OFFSET_1_A 0x701a4
6688#define _PLANE_OFFSET_2_A 0x702a4
6689#define _PLANE_OFFSET_3_A 0x703a4
dc2a41b4
DL
6690#define _PLANE_KEYVAL_1_A 0x70194
6691#define _PLANE_KEYVAL_2_A 0x70294
6692#define _PLANE_KEYMSK_1_A 0x70198
6693#define _PLANE_KEYMSK_2_A 0x70298
b2081525 6694#define PLANE_KEYMSK_ALPHA_ENABLE (1 << 31)
dc2a41b4
DL
6695#define _PLANE_KEYMAX_1_A 0x701a0
6696#define _PLANE_KEYMAX_2_A 0x702a0
7b012bd6 6697#define PLANE_KEYMAX_ALPHA(a) ((a) << 24)
2e2adb05
VS
6698#define _PLANE_AUX_DIST_1_A 0x701c0
6699#define _PLANE_AUX_DIST_2_A 0x702c0
6700#define _PLANE_AUX_OFFSET_1_A 0x701c4
6701#define _PLANE_AUX_OFFSET_2_A 0x702c4
cb2458ba
ML
6702#define _PLANE_CUS_CTL_1_A 0x701c8
6703#define _PLANE_CUS_CTL_2_A 0x702c8
6704#define PLANE_CUS_ENABLE (1 << 31)
6705#define PLANE_CUS_PLANE_6 (0 << 30)
6706#define PLANE_CUS_PLANE_7 (1 << 30)
6707#define PLANE_CUS_HPHASE_SIGN_NEGATIVE (1 << 19)
6708#define PLANE_CUS_HPHASE_0 (0 << 16)
6709#define PLANE_CUS_HPHASE_0_25 (1 << 16)
6710#define PLANE_CUS_HPHASE_0_5 (2 << 16)
6711#define PLANE_CUS_VPHASE_SIGN_NEGATIVE (1 << 15)
6712#define PLANE_CUS_VPHASE_0 (0 << 12)
6713#define PLANE_CUS_VPHASE_0_25 (1 << 12)
6714#define PLANE_CUS_VPHASE_0_5 (2 << 12)
47f9ea8b
ACO
6715#define _PLANE_COLOR_CTL_1_A 0x701CC /* GLK+ */
6716#define _PLANE_COLOR_CTL_2_A 0x702CC /* GLK+ */
6717#define _PLANE_COLOR_CTL_3_A 0x703CC /* GLK+ */
077ef1f0 6718#define PLANE_COLOR_PIPE_GAMMA_ENABLE (1 << 30) /* Pre-ICL */
c8624ede 6719#define PLANE_COLOR_YUV_RANGE_CORRECTION_DISABLE (1 << 28)
6a255da7 6720#define PLANE_COLOR_INPUT_CSC_ENABLE (1 << 20) /* ICL+ */
077ef1f0 6721#define PLANE_COLOR_PIPE_CSC_ENABLE (1 << 23) /* Pre-ICL */
38f24f21
VS
6722#define PLANE_COLOR_CSC_MODE_BYPASS (0 << 17)
6723#define PLANE_COLOR_CSC_MODE_YUV601_TO_RGB709 (1 << 17)
6724#define PLANE_COLOR_CSC_MODE_YUV709_TO_RGB709 (2 << 17)
6725#define PLANE_COLOR_CSC_MODE_YUV2020_TO_RGB2020 (3 << 17)
6726#define PLANE_COLOR_CSC_MODE_RGB709_TO_RGB2020 (4 << 17)
47f9ea8b 6727#define PLANE_COLOR_PLANE_GAMMA_DISABLE (1 << 13)
4036c78c
JA
6728#define PLANE_COLOR_ALPHA_MASK (0x3 << 4)
6729#define PLANE_COLOR_ALPHA_DISABLE (0 << 4)
6730#define PLANE_COLOR_ALPHA_SW_PREMULTIPLY (2 << 4)
6731#define PLANE_COLOR_ALPHA_HW_PREMULTIPLY (3 << 4)
8211bd5b
DL
6732#define _PLANE_BUF_CFG_1_A 0x7027c
6733#define _PLANE_BUF_CFG_2_A 0x7037c
2cd601c6
CK
6734#define _PLANE_NV12_BUF_CFG_1_A 0x70278
6735#define _PLANE_NV12_BUF_CFG_2_A 0x70378
70d21f0e 6736
6a255da7
US
6737/* Input CSC Register Definitions */
6738#define _PLANE_INPUT_CSC_RY_GY_1_A 0x701E0
6739#define _PLANE_INPUT_CSC_RY_GY_2_A 0x702E0
6740
6741#define _PLANE_INPUT_CSC_RY_GY_1_B 0x711E0
6742#define _PLANE_INPUT_CSC_RY_GY_2_B 0x712E0
6743
6744#define _PLANE_INPUT_CSC_RY_GY_1(pipe) \
6745 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_1_A, \
6746 _PLANE_INPUT_CSC_RY_GY_1_B)
6747#define _PLANE_INPUT_CSC_RY_GY_2(pipe) \
6748 _PIPE(pipe, _PLANE_INPUT_CSC_RY_GY_2_A, \
6749 _PLANE_INPUT_CSC_RY_GY_2_B)
6750
6751#define PLANE_INPUT_CSC_COEFF(pipe, plane, index) \
6752 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_RY_GY_1(pipe) + (index) * 4, \
6753 _PLANE_INPUT_CSC_RY_GY_2(pipe) + (index) * 4)
6754
6755#define _PLANE_INPUT_CSC_PREOFF_HI_1_A 0x701F8
6756#define _PLANE_INPUT_CSC_PREOFF_HI_2_A 0x702F8
6757
6758#define _PLANE_INPUT_CSC_PREOFF_HI_1_B 0x711F8
6759#define _PLANE_INPUT_CSC_PREOFF_HI_2_B 0x712F8
6760
6761#define _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) \
6762 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_1_A, \
6763 _PLANE_INPUT_CSC_PREOFF_HI_1_B)
6764#define _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) \
6765 _PIPE(pipe, _PLANE_INPUT_CSC_PREOFF_HI_2_A, \
6766 _PLANE_INPUT_CSC_PREOFF_HI_2_B)
6767#define PLANE_INPUT_CSC_PREOFF(pipe, plane, index) \
6768 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_PREOFF_HI_1(pipe) + (index) * 4, \
6769 _PLANE_INPUT_CSC_PREOFF_HI_2(pipe) + (index) * 4)
6770
6771#define _PLANE_INPUT_CSC_POSTOFF_HI_1_A 0x70204
6772#define _PLANE_INPUT_CSC_POSTOFF_HI_2_A 0x70304
6773
6774#define _PLANE_INPUT_CSC_POSTOFF_HI_1_B 0x71204
6775#define _PLANE_INPUT_CSC_POSTOFF_HI_2_B 0x71304
6776
6777#define _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) \
6778 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_1_A, \
6779 _PLANE_INPUT_CSC_POSTOFF_HI_1_B)
6780#define _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) \
6781 _PIPE(pipe, _PLANE_INPUT_CSC_POSTOFF_HI_2_A, \
6782 _PLANE_INPUT_CSC_POSTOFF_HI_2_B)
6783#define PLANE_INPUT_CSC_POSTOFF(pipe, plane, index) \
6784 _MMIO_PLANE(plane, _PLANE_INPUT_CSC_POSTOFF_HI_1(pipe) + (index) * 4, \
6785 _PLANE_INPUT_CSC_POSTOFF_HI_2(pipe) + (index) * 4)
47f9ea8b 6786
70d21f0e
DL
6787#define _PLANE_CTL_1_B 0x71180
6788#define _PLANE_CTL_2_B 0x71280
6789#define _PLANE_CTL_3_B 0x71380
6790#define _PLANE_CTL_1(pipe) _PIPE(pipe, _PLANE_CTL_1_A, _PLANE_CTL_1_B)
6791#define _PLANE_CTL_2(pipe) _PIPE(pipe, _PLANE_CTL_2_A, _PLANE_CTL_2_B)
6792#define _PLANE_CTL_3(pipe) _PIPE(pipe, _PLANE_CTL_3_A, _PLANE_CTL_3_B)
6793#define PLANE_CTL(pipe, plane) \
f0f59a00 6794 _MMIO_PLANE(plane, _PLANE_CTL_1(pipe), _PLANE_CTL_2(pipe))
70d21f0e
DL
6795
6796#define _PLANE_STRIDE_1_B 0x71188
6797#define _PLANE_STRIDE_2_B 0x71288
6798#define _PLANE_STRIDE_3_B 0x71388
6799#define _PLANE_STRIDE_1(pipe) \
6800 _PIPE(pipe, _PLANE_STRIDE_1_A, _PLANE_STRIDE_1_B)
6801#define _PLANE_STRIDE_2(pipe) \
6802 _PIPE(pipe, _PLANE_STRIDE_2_A, _PLANE_STRIDE_2_B)
6803#define _PLANE_STRIDE_3(pipe) \
6804 _PIPE(pipe, _PLANE_STRIDE_3_A, _PLANE_STRIDE_3_B)
6805#define PLANE_STRIDE(pipe, plane) \
f0f59a00 6806 _MMIO_PLANE(plane, _PLANE_STRIDE_1(pipe), _PLANE_STRIDE_2(pipe))
70d21f0e
DL
6807
6808#define _PLANE_POS_1_B 0x7118c
6809#define _PLANE_POS_2_B 0x7128c
6810#define _PLANE_POS_3_B 0x7138c
6811#define _PLANE_POS_1(pipe) _PIPE(pipe, _PLANE_POS_1_A, _PLANE_POS_1_B)
6812#define _PLANE_POS_2(pipe) _PIPE(pipe, _PLANE_POS_2_A, _PLANE_POS_2_B)
6813#define _PLANE_POS_3(pipe) _PIPE(pipe, _PLANE_POS_3_A, _PLANE_POS_3_B)
6814#define PLANE_POS(pipe, plane) \
f0f59a00 6815 _MMIO_PLANE(plane, _PLANE_POS_1(pipe), _PLANE_POS_2(pipe))
70d21f0e
DL
6816
6817#define _PLANE_SIZE_1_B 0x71190
6818#define _PLANE_SIZE_2_B 0x71290
6819#define _PLANE_SIZE_3_B 0x71390
6820#define _PLANE_SIZE_1(pipe) _PIPE(pipe, _PLANE_SIZE_1_A, _PLANE_SIZE_1_B)
6821#define _PLANE_SIZE_2(pipe) _PIPE(pipe, _PLANE_SIZE_2_A, _PLANE_SIZE_2_B)
6822#define _PLANE_SIZE_3(pipe) _PIPE(pipe, _PLANE_SIZE_3_A, _PLANE_SIZE_3_B)
6823#define PLANE_SIZE(pipe, plane) \
f0f59a00 6824 _MMIO_PLANE(plane, _PLANE_SIZE_1(pipe), _PLANE_SIZE_2(pipe))
70d21f0e
DL
6825
6826#define _PLANE_SURF_1_B 0x7119c
6827#define _PLANE_SURF_2_B 0x7129c
6828#define _PLANE_SURF_3_B 0x7139c
6829#define _PLANE_SURF_1(pipe) _PIPE(pipe, _PLANE_SURF_1_A, _PLANE_SURF_1_B)
6830#define _PLANE_SURF_2(pipe) _PIPE(pipe, _PLANE_SURF_2_A, _PLANE_SURF_2_B)
6831#define _PLANE_SURF_3(pipe) _PIPE(pipe, _PLANE_SURF_3_A, _PLANE_SURF_3_B)
6832#define PLANE_SURF(pipe, plane) \
f0f59a00 6833 _MMIO_PLANE(plane, _PLANE_SURF_1(pipe), _PLANE_SURF_2(pipe))
70d21f0e
DL
6834
6835#define _PLANE_OFFSET_1_B 0x711a4
6836#define _PLANE_OFFSET_2_B 0x712a4
6837#define _PLANE_OFFSET_1(pipe) _PIPE(pipe, _PLANE_OFFSET_1_A, _PLANE_OFFSET_1_B)
6838#define _PLANE_OFFSET_2(pipe) _PIPE(pipe, _PLANE_OFFSET_2_A, _PLANE_OFFSET_2_B)
6839#define PLANE_OFFSET(pipe, plane) \
f0f59a00 6840 _MMIO_PLANE(plane, _PLANE_OFFSET_1(pipe), _PLANE_OFFSET_2(pipe))
70d21f0e 6841
dc2a41b4
DL
6842#define _PLANE_KEYVAL_1_B 0x71194
6843#define _PLANE_KEYVAL_2_B 0x71294
6844#define _PLANE_KEYVAL_1(pipe) _PIPE(pipe, _PLANE_KEYVAL_1_A, _PLANE_KEYVAL_1_B)
6845#define _PLANE_KEYVAL_2(pipe) _PIPE(pipe, _PLANE_KEYVAL_2_A, _PLANE_KEYVAL_2_B)
6846#define PLANE_KEYVAL(pipe, plane) \
f0f59a00 6847 _MMIO_PLANE(plane, _PLANE_KEYVAL_1(pipe), _PLANE_KEYVAL_2(pipe))
dc2a41b4
DL
6848
6849#define _PLANE_KEYMSK_1_B 0x71198
6850#define _PLANE_KEYMSK_2_B 0x71298
6851#define _PLANE_KEYMSK_1(pipe) _PIPE(pipe, _PLANE_KEYMSK_1_A, _PLANE_KEYMSK_1_B)
6852#define _PLANE_KEYMSK_2(pipe) _PIPE(pipe, _PLANE_KEYMSK_2_A, _PLANE_KEYMSK_2_B)
6853#define PLANE_KEYMSK(pipe, plane) \
f0f59a00 6854 _MMIO_PLANE(plane, _PLANE_KEYMSK_1(pipe), _PLANE_KEYMSK_2(pipe))
dc2a41b4
DL
6855
6856#define _PLANE_KEYMAX_1_B 0x711a0
6857#define _PLANE_KEYMAX_2_B 0x712a0
6858#define _PLANE_KEYMAX_1(pipe) _PIPE(pipe, _PLANE_KEYMAX_1_A, _PLANE_KEYMAX_1_B)
6859#define _PLANE_KEYMAX_2(pipe) _PIPE(pipe, _PLANE_KEYMAX_2_A, _PLANE_KEYMAX_2_B)
6860#define PLANE_KEYMAX(pipe, plane) \
f0f59a00 6861 _MMIO_PLANE(plane, _PLANE_KEYMAX_1(pipe), _PLANE_KEYMAX_2(pipe))
dc2a41b4 6862
8211bd5b
DL
6863#define _PLANE_BUF_CFG_1_B 0x7127c
6864#define _PLANE_BUF_CFG_2_B 0x7137c
d7e449a8 6865#define DDB_ENTRY_MASK 0x7FF /* skl+: 10 bits, icl+ 11 bits */
37cde11b 6866#define DDB_ENTRY_END_SHIFT 16
8211bd5b
DL
6867#define _PLANE_BUF_CFG_1(pipe) \
6868 _PIPE(pipe, _PLANE_BUF_CFG_1_A, _PLANE_BUF_CFG_1_B)
6869#define _PLANE_BUF_CFG_2(pipe) \
6870 _PIPE(pipe, _PLANE_BUF_CFG_2_A, _PLANE_BUF_CFG_2_B)
6871#define PLANE_BUF_CFG(pipe, plane) \
f0f59a00 6872 _MMIO_PLANE(plane, _PLANE_BUF_CFG_1(pipe), _PLANE_BUF_CFG_2(pipe))
8211bd5b 6873
2cd601c6
CK
6874#define _PLANE_NV12_BUF_CFG_1_B 0x71278
6875#define _PLANE_NV12_BUF_CFG_2_B 0x71378
6876#define _PLANE_NV12_BUF_CFG_1(pipe) \
6877 _PIPE(pipe, _PLANE_NV12_BUF_CFG_1_A, _PLANE_NV12_BUF_CFG_1_B)
6878#define _PLANE_NV12_BUF_CFG_2(pipe) \
6879 _PIPE(pipe, _PLANE_NV12_BUF_CFG_2_A, _PLANE_NV12_BUF_CFG_2_B)
6880#define PLANE_NV12_BUF_CFG(pipe, plane) \
f0f59a00 6881 _MMIO_PLANE(plane, _PLANE_NV12_BUF_CFG_1(pipe), _PLANE_NV12_BUF_CFG_2(pipe))
2cd601c6 6882
2e2adb05
VS
6883#define _PLANE_AUX_DIST_1_B 0x711c0
6884#define _PLANE_AUX_DIST_2_B 0x712c0
6885#define _PLANE_AUX_DIST_1(pipe) \
6886 _PIPE(pipe, _PLANE_AUX_DIST_1_A, _PLANE_AUX_DIST_1_B)
6887#define _PLANE_AUX_DIST_2(pipe) \
6888 _PIPE(pipe, _PLANE_AUX_DIST_2_A, _PLANE_AUX_DIST_2_B)
6889#define PLANE_AUX_DIST(pipe, plane) \
6890 _MMIO_PLANE(plane, _PLANE_AUX_DIST_1(pipe), _PLANE_AUX_DIST_2(pipe))
6891
6892#define _PLANE_AUX_OFFSET_1_B 0x711c4
6893#define _PLANE_AUX_OFFSET_2_B 0x712c4
6894#define _PLANE_AUX_OFFSET_1(pipe) \
6895 _PIPE(pipe, _PLANE_AUX_OFFSET_1_A, _PLANE_AUX_OFFSET_1_B)
6896#define _PLANE_AUX_OFFSET_2(pipe) \
6897 _PIPE(pipe, _PLANE_AUX_OFFSET_2_A, _PLANE_AUX_OFFSET_2_B)
6898#define PLANE_AUX_OFFSET(pipe, plane) \
6899 _MMIO_PLANE(plane, _PLANE_AUX_OFFSET_1(pipe), _PLANE_AUX_OFFSET_2(pipe))
6900
cb2458ba
ML
6901#define _PLANE_CUS_CTL_1_B 0x711c8
6902#define _PLANE_CUS_CTL_2_B 0x712c8
6903#define _PLANE_CUS_CTL_1(pipe) \
6904 _PIPE(pipe, _PLANE_CUS_CTL_1_A, _PLANE_CUS_CTL_1_B)
6905#define _PLANE_CUS_CTL_2(pipe) \
6906 _PIPE(pipe, _PLANE_CUS_CTL_2_A, _PLANE_CUS_CTL_2_B)
6907#define PLANE_CUS_CTL(pipe, plane) \
6908 _MMIO_PLANE(plane, _PLANE_CUS_CTL_1(pipe), _PLANE_CUS_CTL_2(pipe))
6909
47f9ea8b
ACO
6910#define _PLANE_COLOR_CTL_1_B 0x711CC
6911#define _PLANE_COLOR_CTL_2_B 0x712CC
6912#define _PLANE_COLOR_CTL_3_B 0x713CC
6913#define _PLANE_COLOR_CTL_1(pipe) \
6914 _PIPE(pipe, _PLANE_COLOR_CTL_1_A, _PLANE_COLOR_CTL_1_B)
6915#define _PLANE_COLOR_CTL_2(pipe) \
6916 _PIPE(pipe, _PLANE_COLOR_CTL_2_A, _PLANE_COLOR_CTL_2_B)
6917#define PLANE_COLOR_CTL(pipe, plane) \
6918 _MMIO_PLANE(plane, _PLANE_COLOR_CTL_1(pipe), _PLANE_COLOR_CTL_2(pipe))
6919
6920#/* SKL new cursor registers */
8211bd5b
DL
6921#define _CUR_BUF_CFG_A 0x7017c
6922#define _CUR_BUF_CFG_B 0x7117c
f0f59a00 6923#define CUR_BUF_CFG(pipe) _MMIO_PIPE(pipe, _CUR_BUF_CFG_A, _CUR_BUF_CFG_B)
8211bd5b 6924
585fb111 6925/* VBIOS regs */
f0f59a00 6926#define VGACNTRL _MMIO(0x71400)
585fb111
JB
6927# define VGA_DISP_DISABLE (1 << 31)
6928# define VGA_2X_MODE (1 << 30)
6929# define VGA_PIPE_B_SELECT (1 << 29)
6930
f0f59a00 6931#define VLV_VGACNTRL _MMIO(VLV_DISPLAY_BASE + 0x71400)
766aa1c4 6932
f2b115e6 6933/* Ironlake */
b9055052 6934
f0f59a00 6935#define CPU_VGACNTRL _MMIO(0x41000)
b9055052 6936
f0f59a00 6937#define DIGITAL_PORT_HOTPLUG_CNTRL _MMIO(0x44030)
40bfd7a3
VS
6938#define DIGITAL_PORTA_HOTPLUG_ENABLE (1 << 4)
6939#define DIGITAL_PORTA_PULSE_DURATION_2ms (0 << 2) /* pre-HSW */
6940#define DIGITAL_PORTA_PULSE_DURATION_4_5ms (1 << 2) /* pre-HSW */
6941#define DIGITAL_PORTA_PULSE_DURATION_6ms (2 << 2) /* pre-HSW */
6942#define DIGITAL_PORTA_PULSE_DURATION_100ms (3 << 2) /* pre-HSW */
6943#define DIGITAL_PORTA_PULSE_DURATION_MASK (3 << 2) /* pre-HSW */
6944#define DIGITAL_PORTA_HOTPLUG_STATUS_MASK (3 << 0)
6945#define DIGITAL_PORTA_HOTPLUG_NO_DETECT (0 << 0)
6946#define DIGITAL_PORTA_HOTPLUG_SHORT_DETECT (1 << 0)
6947#define DIGITAL_PORTA_HOTPLUG_LONG_DETECT (2 << 0)
b9055052
ZW
6948
6949/* refresh rate hardware control */
f0f59a00 6950#define RR_HW_CTL _MMIO(0x45300)
b9055052
ZW
6951#define RR_HW_LOW_POWER_FRAMES_MASK 0xff
6952#define RR_HW_HIGH_POWER_FRAMES_MASK 0xff00
6953
f0f59a00 6954#define FDI_PLL_BIOS_0 _MMIO(0x46000)
021357ac 6955#define FDI_PLL_FB_CLOCK_MASK 0xff
f0f59a00
VS
6956#define FDI_PLL_BIOS_1 _MMIO(0x46004)
6957#define FDI_PLL_BIOS_2 _MMIO(0x46008)
6958#define DISPLAY_PORT_PLL_BIOS_0 _MMIO(0x4600c)
6959#define DISPLAY_PORT_PLL_BIOS_1 _MMIO(0x46010)
6960#define DISPLAY_PORT_PLL_BIOS_2 _MMIO(0x46014)
b9055052 6961
f0f59a00 6962#define PCH_3DCGDIS0 _MMIO(0x46020)
8956c8bb
EA
6963# define MARIUNIT_CLOCK_GATE_DISABLE (1 << 18)
6964# define SVSMUNIT_CLOCK_GATE_DISABLE (1 << 1)
6965
f0f59a00 6966#define PCH_3DCGDIS1 _MMIO(0x46024)
06f37751
EA
6967# define VFMUNIT_CLOCK_GATE_DISABLE (1 << 11)
6968
f0f59a00 6969#define FDI_PLL_FREQ_CTL _MMIO(0x46030)
5ee8ee86 6970#define FDI_PLL_FREQ_CHANGE_REQUEST (1 << 24)
b9055052
ZW
6971#define FDI_PLL_FREQ_LOCK_LIMIT_MASK 0xfff00
6972#define FDI_PLL_FREQ_DISABLE_COUNT_LIMIT_MASK 0xff
6973
6974
a57c774a 6975#define _PIPEA_DATA_M1 0x60030
5eddb70b 6976#define PIPE_DATA_M1_OFFSET 0
a57c774a 6977#define _PIPEA_DATA_N1 0x60034
5eddb70b 6978#define PIPE_DATA_N1_OFFSET 0
b9055052 6979
a57c774a 6980#define _PIPEA_DATA_M2 0x60038
5eddb70b 6981#define PIPE_DATA_M2_OFFSET 0
a57c774a 6982#define _PIPEA_DATA_N2 0x6003c
5eddb70b 6983#define PIPE_DATA_N2_OFFSET 0
b9055052 6984
a57c774a 6985#define _PIPEA_LINK_M1 0x60040
5eddb70b 6986#define PIPE_LINK_M1_OFFSET 0
a57c774a 6987#define _PIPEA_LINK_N1 0x60044
5eddb70b 6988#define PIPE_LINK_N1_OFFSET 0
b9055052 6989
a57c774a 6990#define _PIPEA_LINK_M2 0x60048
5eddb70b 6991#define PIPE_LINK_M2_OFFSET 0
a57c774a 6992#define _PIPEA_LINK_N2 0x6004c
5eddb70b 6993#define PIPE_LINK_N2_OFFSET 0
b9055052
ZW
6994
6995/* PIPEB timing regs are same start from 0x61000 */
6996
a57c774a
AK
6997#define _PIPEB_DATA_M1 0x61030
6998#define _PIPEB_DATA_N1 0x61034
6999#define _PIPEB_DATA_M2 0x61038
7000#define _PIPEB_DATA_N2 0x6103c
7001#define _PIPEB_LINK_M1 0x61040
7002#define _PIPEB_LINK_N1 0x61044
7003#define _PIPEB_LINK_M2 0x61048
7004#define _PIPEB_LINK_N2 0x6104c
7005
f0f59a00
VS
7006#define PIPE_DATA_M1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M1)
7007#define PIPE_DATA_N1(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N1)
7008#define PIPE_DATA_M2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_M2)
7009#define PIPE_DATA_N2(tran) _MMIO_TRANS2(tran, _PIPEA_DATA_N2)
7010#define PIPE_LINK_M1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M1)
7011#define PIPE_LINK_N1(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N1)
7012#define PIPE_LINK_M2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_M2)
7013#define PIPE_LINK_N2(tran) _MMIO_TRANS2(tran, _PIPEA_LINK_N2)
b9055052
ZW
7014
7015/* CPU panel fitter */
9db4a9c7
JB
7016/* IVB+ has 3 fitters, 0 is 7x5 capable, the other two only 3x3 */
7017#define _PFA_CTL_1 0x68080
7018#define _PFB_CTL_1 0x68880
5ee8ee86
PZ
7019#define PF_ENABLE (1 << 31)
7020#define PF_PIPE_SEL_MASK_IVB (3 << 29)
7021#define PF_PIPE_SEL_IVB(pipe) ((pipe) << 29)
7022#define PF_FILTER_MASK (3 << 23)
7023#define PF_FILTER_PROGRAMMED (0 << 23)
7024#define PF_FILTER_MED_3x3 (1 << 23)
7025#define PF_FILTER_EDGE_ENHANCE (2 << 23)
7026#define PF_FILTER_EDGE_SOFTEN (3 << 23)
9db4a9c7
JB
7027#define _PFA_WIN_SZ 0x68074
7028#define _PFB_WIN_SZ 0x68874
7029#define _PFA_WIN_POS 0x68070
7030#define _PFB_WIN_POS 0x68870
7031#define _PFA_VSCALE 0x68084
7032#define _PFB_VSCALE 0x68884
7033#define _PFA_HSCALE 0x68090
7034#define _PFB_HSCALE 0x68890
7035
f0f59a00
VS
7036#define PF_CTL(pipe) _MMIO_PIPE(pipe, _PFA_CTL_1, _PFB_CTL_1)
7037#define PF_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PFA_WIN_SZ, _PFB_WIN_SZ)
7038#define PF_WIN_POS(pipe) _MMIO_PIPE(pipe, _PFA_WIN_POS, _PFB_WIN_POS)
7039#define PF_VSCALE(pipe) _MMIO_PIPE(pipe, _PFA_VSCALE, _PFB_VSCALE)
7040#define PF_HSCALE(pipe) _MMIO_PIPE(pipe, _PFA_HSCALE, _PFB_HSCALE)
b9055052 7041
bd2e244f
JB
7042#define _PSA_CTL 0x68180
7043#define _PSB_CTL 0x68980
5ee8ee86 7044#define PS_ENABLE (1 << 31)
bd2e244f
JB
7045#define _PSA_WIN_SZ 0x68174
7046#define _PSB_WIN_SZ 0x68974
7047#define _PSA_WIN_POS 0x68170
7048#define _PSB_WIN_POS 0x68970
7049
f0f59a00
VS
7050#define PS_CTL(pipe) _MMIO_PIPE(pipe, _PSA_CTL, _PSB_CTL)
7051#define PS_WIN_SZ(pipe) _MMIO_PIPE(pipe, _PSA_WIN_SZ, _PSB_WIN_SZ)
7052#define PS_WIN_POS(pipe) _MMIO_PIPE(pipe, _PSA_WIN_POS, _PSB_WIN_POS)
bd2e244f 7053
1c9a2d4a
CK
7054/*
7055 * Skylake scalers
7056 */
7057#define _PS_1A_CTRL 0x68180
7058#define _PS_2A_CTRL 0x68280
7059#define _PS_1B_CTRL 0x68980
7060#define _PS_2B_CTRL 0x68A80
7061#define _PS_1C_CTRL 0x69180
7062#define PS_SCALER_EN (1 << 31)
0aaf29b3
ML
7063#define SKL_PS_SCALER_MODE_MASK (3 << 28)
7064#define SKL_PS_SCALER_MODE_DYN (0 << 28)
7065#define SKL_PS_SCALER_MODE_HQ (1 << 28)
e6e1948c
CK
7066#define SKL_PS_SCALER_MODE_NV12 (2 << 28)
7067#define PS_SCALER_MODE_PLANAR (1 << 29)
b1554e23 7068#define PS_SCALER_MODE_NORMAL (0 << 29)
1c9a2d4a 7069#define PS_PLANE_SEL_MASK (7 << 25)
68d97538 7070#define PS_PLANE_SEL(plane) (((plane) + 1) << 25)
1c9a2d4a
CK
7071#define PS_FILTER_MASK (3 << 23)
7072#define PS_FILTER_MEDIUM (0 << 23)
7073#define PS_FILTER_EDGE_ENHANCE (2 << 23)
7074#define PS_FILTER_BILINEAR (3 << 23)
7075#define PS_VERT3TAP (1 << 21)
7076#define PS_VERT_INT_INVERT_FIELD1 (0 << 20)
7077#define PS_VERT_INT_INVERT_FIELD0 (1 << 20)
7078#define PS_PWRUP_PROGRESS (1 << 17)
7079#define PS_V_FILTER_BYPASS (1 << 8)
7080#define PS_VADAPT_EN (1 << 7)
7081#define PS_VADAPT_MODE_MASK (3 << 5)
7082#define PS_VADAPT_MODE_LEAST_ADAPT (0 << 5)
7083#define PS_VADAPT_MODE_MOD_ADAPT (1 << 5)
7084#define PS_VADAPT_MODE_MOST_ADAPT (3 << 5)
b1554e23
ML
7085#define PS_PLANE_Y_SEL_MASK (7 << 5)
7086#define PS_PLANE_Y_SEL(plane) (((plane) + 1) << 5)
1c9a2d4a
CK
7087
7088#define _PS_PWR_GATE_1A 0x68160
7089#define _PS_PWR_GATE_2A 0x68260
7090#define _PS_PWR_GATE_1B 0x68960
7091#define _PS_PWR_GATE_2B 0x68A60
7092#define _PS_PWR_GATE_1C 0x69160
7093#define PS_PWR_GATE_DIS_OVERRIDE (1 << 31)
7094#define PS_PWR_GATE_SETTLING_TIME_32 (0 << 3)
7095#define PS_PWR_GATE_SETTLING_TIME_64 (1 << 3)
7096#define PS_PWR_GATE_SETTLING_TIME_96 (2 << 3)
7097#define PS_PWR_GATE_SETTLING_TIME_128 (3 << 3)
7098#define PS_PWR_GATE_SLPEN_8 0
7099#define PS_PWR_GATE_SLPEN_16 1
7100#define PS_PWR_GATE_SLPEN_24 2
7101#define PS_PWR_GATE_SLPEN_32 3
7102
7103#define _PS_WIN_POS_1A 0x68170
7104#define _PS_WIN_POS_2A 0x68270
7105#define _PS_WIN_POS_1B 0x68970
7106#define _PS_WIN_POS_2B 0x68A70
7107#define _PS_WIN_POS_1C 0x69170
7108
7109#define _PS_WIN_SZ_1A 0x68174
7110#define _PS_WIN_SZ_2A 0x68274
7111#define _PS_WIN_SZ_1B 0x68974
7112#define _PS_WIN_SZ_2B 0x68A74
7113#define _PS_WIN_SZ_1C 0x69174
7114
7115#define _PS_VSCALE_1A 0x68184
7116#define _PS_VSCALE_2A 0x68284
7117#define _PS_VSCALE_1B 0x68984
7118#define _PS_VSCALE_2B 0x68A84
7119#define _PS_VSCALE_1C 0x69184
7120
7121#define _PS_HSCALE_1A 0x68190
7122#define _PS_HSCALE_2A 0x68290
7123#define _PS_HSCALE_1B 0x68990
7124#define _PS_HSCALE_2B 0x68A90
7125#define _PS_HSCALE_1C 0x69190
7126
7127#define _PS_VPHASE_1A 0x68188
7128#define _PS_VPHASE_2A 0x68288
7129#define _PS_VPHASE_1B 0x68988
7130#define _PS_VPHASE_2B 0x68A88
7131#define _PS_VPHASE_1C 0x69188
0a59952b
VS
7132#define PS_Y_PHASE(x) ((x) << 16)
7133#define PS_UV_RGB_PHASE(x) ((x) << 0)
7134#define PS_PHASE_MASK (0x7fff << 1) /* u2.13 */
7135#define PS_PHASE_TRIP (1 << 0)
1c9a2d4a
CK
7136
7137#define _PS_HPHASE_1A 0x68194
7138#define _PS_HPHASE_2A 0x68294
7139#define _PS_HPHASE_1B 0x68994
7140#define _PS_HPHASE_2B 0x68A94
7141#define _PS_HPHASE_1C 0x69194
7142
7143#define _PS_ECC_STAT_1A 0x681D0
7144#define _PS_ECC_STAT_2A 0x682D0
7145#define _PS_ECC_STAT_1B 0x689D0
7146#define _PS_ECC_STAT_2B 0x68AD0
7147#define _PS_ECC_STAT_1C 0x691D0
7148
e67005e5 7149#define _ID(id, a, b) _PICK_EVEN(id, a, b)
f0f59a00 7150#define SKL_PS_CTRL(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7151 _ID(id, _PS_1A_CTRL, _PS_2A_CTRL), \
7152 _ID(id, _PS_1B_CTRL, _PS_2B_CTRL))
f0f59a00 7153#define SKL_PS_PWR_GATE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7154 _ID(id, _PS_PWR_GATE_1A, _PS_PWR_GATE_2A), \
7155 _ID(id, _PS_PWR_GATE_1B, _PS_PWR_GATE_2B))
f0f59a00 7156#define SKL_PS_WIN_POS(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7157 _ID(id, _PS_WIN_POS_1A, _PS_WIN_POS_2A), \
7158 _ID(id, _PS_WIN_POS_1B, _PS_WIN_POS_2B))
f0f59a00 7159#define SKL_PS_WIN_SZ(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7160 _ID(id, _PS_WIN_SZ_1A, _PS_WIN_SZ_2A), \
7161 _ID(id, _PS_WIN_SZ_1B, _PS_WIN_SZ_2B))
f0f59a00 7162#define SKL_PS_VSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7163 _ID(id, _PS_VSCALE_1A, _PS_VSCALE_2A), \
7164 _ID(id, _PS_VSCALE_1B, _PS_VSCALE_2B))
f0f59a00 7165#define SKL_PS_HSCALE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7166 _ID(id, _PS_HSCALE_1A, _PS_HSCALE_2A), \
7167 _ID(id, _PS_HSCALE_1B, _PS_HSCALE_2B))
f0f59a00 7168#define SKL_PS_VPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7169 _ID(id, _PS_VPHASE_1A, _PS_VPHASE_2A), \
7170 _ID(id, _PS_VPHASE_1B, _PS_VPHASE_2B))
f0f59a00 7171#define SKL_PS_HPHASE(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a
CK
7172 _ID(id, _PS_HPHASE_1A, _PS_HPHASE_2A), \
7173 _ID(id, _PS_HPHASE_1B, _PS_HPHASE_2B))
f0f59a00 7174#define SKL_PS_ECC_STAT(pipe, id) _MMIO_PIPE(pipe, \
1c9a2d4a 7175 _ID(id, _PS_ECC_STAT_1A, _PS_ECC_STAT_2A), \
9bca5d0c 7176 _ID(id, _PS_ECC_STAT_1B, _PS_ECC_STAT_2B))
1c9a2d4a 7177
b9055052 7178/* legacy palette */
9db4a9c7
JB
7179#define _LGC_PALETTE_A 0x4a000
7180#define _LGC_PALETTE_B 0x4a800
f0f59a00 7181#define LGC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _LGC_PALETTE_A, _LGC_PALETTE_B) + (i) * 4)
b9055052 7182
514462ca
VS
7183/* ilk/snb precision palette */
7184#define _PREC_PALETTE_A 0x4b000
7185#define _PREC_PALETTE_B 0x4c000
7186#define PREC_PALETTE(pipe, i) _MMIO(_PIPE(pipe, _PREC_PALETTE_A, _PREC_PALETTE_B) + (i) * 4)
7187
7188#define _PREC_PIPEAGCMAX 0x4d000
7189#define _PREC_PIPEBGCMAX 0x4d010
7190#define PREC_PIPEGCMAX(pipe, i) _MMIO(_PIPE(pipe, _PIPEAGCMAX, _PIPEBGCMAX) + (i) * 4)
7191
42db64ef
PZ
7192#define _GAMMA_MODE_A 0x4a480
7193#define _GAMMA_MODE_B 0x4ac80
f0f59a00 7194#define GAMMA_MODE(pipe) _MMIO_PIPE(pipe, _GAMMA_MODE_A, _GAMMA_MODE_B)
13717cef
US
7195#define PRE_CSC_GAMMA_ENABLE (1 << 31)
7196#define POST_CSC_GAMMA_ENABLE (1 << 30)
5bda1aca 7197#define GAMMA_MODE_MODE_MASK (3 << 0)
13717cef
US
7198#define GAMMA_MODE_MODE_8BIT (0 << 0)
7199#define GAMMA_MODE_MODE_10BIT (1 << 0)
7200#define GAMMA_MODE_MODE_12BIT (2 << 0)
7201#define GAMMA_MODE_MODE_SPLIT (3 << 0)
42db64ef 7202
8337206d 7203/* DMC/CSR */
f0f59a00 7204#define CSR_PROGRAM(i) _MMIO(0x80000 + (i) * 4)
6fb403de
MK
7205#define CSR_SSP_BASE_ADDR_GEN9 0x00002FC0
7206#define CSR_HTP_ADDR_SKL 0x00500034
f0f59a00
VS
7207#define CSR_SSP_BASE _MMIO(0x8F074)
7208#define CSR_HTP_SKL _MMIO(0x8F004)
7209#define CSR_LAST_WRITE _MMIO(0x8F034)
6fb403de
MK
7210#define CSR_LAST_WRITE_VALUE 0xc003b400
7211/* MMIO address range for CSR program (0x80000 - 0x82FFF) */
7212#define CSR_MMIO_START_RANGE 0x80000
7213#define CSR_MMIO_END_RANGE 0x8FFFF
f0f59a00
VS
7214#define SKL_CSR_DC3_DC5_COUNT _MMIO(0x80030)
7215#define SKL_CSR_DC5_DC6_COUNT _MMIO(0x8002C)
7216#define BXT_CSR_DC3_DC5_COUNT _MMIO(0x80038)
8337206d 7217
b9055052
ZW
7218/* interrupts */
7219#define DE_MASTER_IRQ_CONTROL (1 << 31)
7220#define DE_SPRITEB_FLIP_DONE (1 << 29)
7221#define DE_SPRITEA_FLIP_DONE (1 << 28)
7222#define DE_PLANEB_FLIP_DONE (1 << 27)
7223#define DE_PLANEA_FLIP_DONE (1 << 26)
40da17c2 7224#define DE_PLANE_FLIP_DONE(plane) (1 << (26 + (plane)))
b9055052
ZW
7225#define DE_PCU_EVENT (1 << 25)
7226#define DE_GTT_FAULT (1 << 24)
7227#define DE_POISON (1 << 23)
7228#define DE_PERFORM_COUNTER (1 << 22)
7229#define DE_PCH_EVENT (1 << 21)
7230#define DE_AUX_CHANNEL_A (1 << 20)
7231#define DE_DP_A_HOTPLUG (1 << 19)
7232#define DE_GSE (1 << 18)
7233#define DE_PIPEB_VBLANK (1 << 15)
7234#define DE_PIPEB_EVEN_FIELD (1 << 14)
7235#define DE_PIPEB_ODD_FIELD (1 << 13)
7236#define DE_PIPEB_LINE_COMPARE (1 << 12)
7237#define DE_PIPEB_VSYNC (1 << 11)
5b3a856b 7238#define DE_PIPEB_CRC_DONE (1 << 10)
b9055052
ZW
7239#define DE_PIPEB_FIFO_UNDERRUN (1 << 8)
7240#define DE_PIPEA_VBLANK (1 << 7)
5ee8ee86 7241#define DE_PIPE_VBLANK(pipe) (1 << (7 + 8 * (pipe)))
b9055052
ZW
7242#define DE_PIPEA_EVEN_FIELD (1 << 6)
7243#define DE_PIPEA_ODD_FIELD (1 << 5)
7244#define DE_PIPEA_LINE_COMPARE (1 << 4)
7245#define DE_PIPEA_VSYNC (1 << 3)
5b3a856b 7246#define DE_PIPEA_CRC_DONE (1 << 2)
5ee8ee86 7247#define DE_PIPE_CRC_DONE(pipe) (1 << (2 + 8 * (pipe)))
b9055052 7248#define DE_PIPEA_FIFO_UNDERRUN (1 << 0)
5ee8ee86 7249#define DE_PIPE_FIFO_UNDERRUN(pipe) (1 << (8 * (pipe)))
b9055052 7250
b1f14ad0 7251/* More Ivybridge lolz */
5ee8ee86
PZ
7252#define DE_ERR_INT_IVB (1 << 30)
7253#define DE_GSE_IVB (1 << 29)
7254#define DE_PCH_EVENT_IVB (1 << 28)
7255#define DE_DP_A_HOTPLUG_IVB (1 << 27)
7256#define DE_AUX_CHANNEL_A_IVB (1 << 26)
7257#define DE_EDP_PSR_INT_HSW (1 << 19)
7258#define DE_SPRITEC_FLIP_DONE_IVB (1 << 14)
7259#define DE_PLANEC_FLIP_DONE_IVB (1 << 13)
7260#define DE_PIPEC_VBLANK_IVB (1 << 10)
7261#define DE_SPRITEB_FLIP_DONE_IVB (1 << 9)
7262#define DE_PLANEB_FLIP_DONE_IVB (1 << 8)
7263#define DE_PIPEB_VBLANK_IVB (1 << 5)
7264#define DE_SPRITEA_FLIP_DONE_IVB (1 << 4)
7265#define DE_PLANEA_FLIP_DONE_IVB (1 << 3)
7266#define DE_PLANE_FLIP_DONE_IVB(plane) (1 << (3 + 5 * (plane)))
7267#define DE_PIPEA_VBLANK_IVB (1 << 0)
68d97538 7268#define DE_PIPE_VBLANK_IVB(pipe) (1 << ((pipe) * 5))
b518421f 7269
f0f59a00 7270#define VLV_MASTER_IER _MMIO(0x4400c) /* Gunit master IER */
5ee8ee86 7271#define MASTER_INTERRUPT_ENABLE (1 << 31)
7eea1ddf 7272
f0f59a00
VS
7273#define DEISR _MMIO(0x44000)
7274#define DEIMR _MMIO(0x44004)
7275#define DEIIR _MMIO(0x44008)
7276#define DEIER _MMIO(0x4400c)
b9055052 7277
f0f59a00
VS
7278#define GTISR _MMIO(0x44010)
7279#define GTIMR _MMIO(0x44014)
7280#define GTIIR _MMIO(0x44018)
7281#define GTIER _MMIO(0x4401c)
b9055052 7282
f0f59a00 7283#define GEN8_MASTER_IRQ _MMIO(0x44200)
5ee8ee86
PZ
7284#define GEN8_MASTER_IRQ_CONTROL (1 << 31)
7285#define GEN8_PCU_IRQ (1 << 30)
7286#define GEN8_DE_PCH_IRQ (1 << 23)
7287#define GEN8_DE_MISC_IRQ (1 << 22)
7288#define GEN8_DE_PORT_IRQ (1 << 20)
7289#define GEN8_DE_PIPE_C_IRQ (1 << 18)
7290#define GEN8_DE_PIPE_B_IRQ (1 << 17)
7291#define GEN8_DE_PIPE_A_IRQ (1 << 16)
7292#define GEN8_DE_PIPE_IRQ(pipe) (1 << (16 + (pipe)))
7293#define GEN8_GT_VECS_IRQ (1 << 6)
7294#define GEN8_GT_GUC_IRQ (1 << 5)
7295#define GEN8_GT_PM_IRQ (1 << 4)
8a68d464
CW
7296#define GEN8_GT_VCS1_IRQ (1 << 3) /* NB: VCS2 in bspec! */
7297#define GEN8_GT_VCS0_IRQ (1 << 2) /* NB: VCS1 in bpsec! */
5ee8ee86
PZ
7298#define GEN8_GT_BCS_IRQ (1 << 1)
7299#define GEN8_GT_RCS_IRQ (1 << 0)
abd58f01 7300
f0f59a00
VS
7301#define GEN8_GT_ISR(which) _MMIO(0x44300 + (0x10 * (which)))
7302#define GEN8_GT_IMR(which) _MMIO(0x44304 + (0x10 * (which)))
7303#define GEN8_GT_IIR(which) _MMIO(0x44308 + (0x10 * (which)))
7304#define GEN8_GT_IER(which) _MMIO(0x4430c + (0x10 * (which)))
abd58f01 7305
5ee8ee86
PZ
7306#define GEN9_GUC_TO_HOST_INT_EVENT (1 << 31)
7307#define GEN9_GUC_EXEC_ERROR_EVENT (1 << 30)
7308#define GEN9_GUC_DISPLAY_EVENT (1 << 29)
7309#define GEN9_GUC_SEMA_SIGNAL_EVENT (1 << 28)
7310#define GEN9_GUC_IOMMU_MSG_EVENT (1 << 27)
7311#define GEN9_GUC_DB_RING_EVENT (1 << 26)
7312#define GEN9_GUC_DMA_DONE_EVENT (1 << 25)
7313#define GEN9_GUC_FATAL_ERROR_EVENT (1 << 24)
7314#define GEN9_GUC_NOTIFICATION_EVENT (1 << 23)
26705e20 7315
abd58f01 7316#define GEN8_RCS_IRQ_SHIFT 0
4df001d3 7317#define GEN8_BCS_IRQ_SHIFT 16
8a68d464
CW
7318#define GEN8_VCS0_IRQ_SHIFT 0 /* NB: VCS1 in bspec! */
7319#define GEN8_VCS1_IRQ_SHIFT 16 /* NB: VCS2 in bpsec! */
abd58f01 7320#define GEN8_VECS_IRQ_SHIFT 0
4df001d3 7321#define GEN8_WD_IRQ_SHIFT 16
abd58f01 7322
f0f59a00
VS
7323#define GEN8_DE_PIPE_ISR(pipe) _MMIO(0x44400 + (0x10 * (pipe)))
7324#define GEN8_DE_PIPE_IMR(pipe) _MMIO(0x44404 + (0x10 * (pipe)))
7325#define GEN8_DE_PIPE_IIR(pipe) _MMIO(0x44408 + (0x10 * (pipe)))
7326#define GEN8_DE_PIPE_IER(pipe) _MMIO(0x4440c + (0x10 * (pipe)))
38d83c96 7327#define GEN8_PIPE_FIFO_UNDERRUN (1 << 31)
abd58f01
BW
7328#define GEN8_PIPE_CDCLK_CRC_ERROR (1 << 29)
7329#define GEN8_PIPE_CDCLK_CRC_DONE (1 << 28)
7330#define GEN8_PIPE_CURSOR_FAULT (1 << 10)
7331#define GEN8_PIPE_SPRITE_FAULT (1 << 9)
7332#define GEN8_PIPE_PRIMARY_FAULT (1 << 8)
7333#define GEN8_PIPE_SPRITE_FLIP_DONE (1 << 5)
d0e1f1cb 7334#define GEN8_PIPE_PRIMARY_FLIP_DONE (1 << 4)
abd58f01
BW
7335#define GEN8_PIPE_SCAN_LINE_EVENT (1 << 2)
7336#define GEN8_PIPE_VSYNC (1 << 1)
7337#define GEN8_PIPE_VBLANK (1 << 0)
770de83d 7338#define GEN9_PIPE_CURSOR_FAULT (1 << 11)
b21249c9 7339#define GEN9_PIPE_PLANE4_FAULT (1 << 10)
770de83d
DL
7340#define GEN9_PIPE_PLANE3_FAULT (1 << 9)
7341#define GEN9_PIPE_PLANE2_FAULT (1 << 8)
7342#define GEN9_PIPE_PLANE1_FAULT (1 << 7)
b21249c9 7343#define GEN9_PIPE_PLANE4_FLIP_DONE (1 << 6)
770de83d
DL
7344#define GEN9_PIPE_PLANE3_FLIP_DONE (1 << 5)
7345#define GEN9_PIPE_PLANE2_FLIP_DONE (1 << 4)
7346#define GEN9_PIPE_PLANE1_FLIP_DONE (1 << 3)
68d97538 7347#define GEN9_PIPE_PLANE_FLIP_DONE(p) (1 << (3 + (p)))
30100f2b
DV
7348#define GEN8_DE_PIPE_IRQ_FAULT_ERRORS \
7349 (GEN8_PIPE_CURSOR_FAULT | \
7350 GEN8_PIPE_SPRITE_FAULT | \
7351 GEN8_PIPE_PRIMARY_FAULT)
770de83d
DL
7352#define GEN9_DE_PIPE_IRQ_FAULT_ERRORS \
7353 (GEN9_PIPE_CURSOR_FAULT | \
b21249c9 7354 GEN9_PIPE_PLANE4_FAULT | \
770de83d
DL
7355 GEN9_PIPE_PLANE3_FAULT | \
7356 GEN9_PIPE_PLANE2_FAULT | \
7357 GEN9_PIPE_PLANE1_FAULT)
abd58f01 7358
f0f59a00
VS
7359#define GEN8_DE_PORT_ISR _MMIO(0x44440)
7360#define GEN8_DE_PORT_IMR _MMIO(0x44444)
7361#define GEN8_DE_PORT_IIR _MMIO(0x44448)
7362#define GEN8_DE_PORT_IER _MMIO(0x4444c)
bb187e93 7363#define ICL_AUX_CHANNEL_E (1 << 29)
a324fcac 7364#define CNL_AUX_CHANNEL_F (1 << 28)
88e04703
JB
7365#define GEN9_AUX_CHANNEL_D (1 << 27)
7366#define GEN9_AUX_CHANNEL_C (1 << 26)
7367#define GEN9_AUX_CHANNEL_B (1 << 25)
e0a20ad7
SS
7368#define BXT_DE_PORT_HP_DDIC (1 << 5)
7369#define BXT_DE_PORT_HP_DDIB (1 << 4)
7370#define BXT_DE_PORT_HP_DDIA (1 << 3)
7371#define BXT_DE_PORT_HOTPLUG_MASK (BXT_DE_PORT_HP_DDIA | \
7372 BXT_DE_PORT_HP_DDIB | \
7373 BXT_DE_PORT_HP_DDIC)
7374#define GEN8_PORT_DP_A_HOTPLUG (1 << 3)
9e63743e 7375#define BXT_DE_PORT_GMBUS (1 << 1)
6d766f02 7376#define GEN8_AUX_CHANNEL_A (1 << 0)
abd58f01 7377
f0f59a00
VS
7378#define GEN8_DE_MISC_ISR _MMIO(0x44460)
7379#define GEN8_DE_MISC_IMR _MMIO(0x44464)
7380#define GEN8_DE_MISC_IIR _MMIO(0x44468)
7381#define GEN8_DE_MISC_IER _MMIO(0x4446c)
abd58f01 7382#define GEN8_DE_MISC_GSE (1 << 27)
e04f7ece 7383#define GEN8_DE_EDP_PSR (1 << 19)
abd58f01 7384
f0f59a00
VS
7385#define GEN8_PCU_ISR _MMIO(0x444e0)
7386#define GEN8_PCU_IMR _MMIO(0x444e4)
7387#define GEN8_PCU_IIR _MMIO(0x444e8)
7388#define GEN8_PCU_IER _MMIO(0x444ec)
abd58f01 7389
df0d28c1
DP
7390#define GEN11_GU_MISC_ISR _MMIO(0x444f0)
7391#define GEN11_GU_MISC_IMR _MMIO(0x444f4)
7392#define GEN11_GU_MISC_IIR _MMIO(0x444f8)
7393#define GEN11_GU_MISC_IER _MMIO(0x444fc)
7394#define GEN11_GU_MISC_GSE (1 << 27)
7395
a6358dda
TU
7396#define GEN11_GFX_MSTR_IRQ _MMIO(0x190010)
7397#define GEN11_MASTER_IRQ (1 << 31)
7398#define GEN11_PCU_IRQ (1 << 30)
df0d28c1 7399#define GEN11_GU_MISC_IRQ (1 << 29)
a6358dda
TU
7400#define GEN11_DISPLAY_IRQ (1 << 16)
7401#define GEN11_GT_DW_IRQ(x) (1 << (x))
7402#define GEN11_GT_DW1_IRQ (1 << 1)
7403#define GEN11_GT_DW0_IRQ (1 << 0)
7404
7405#define GEN11_DISPLAY_INT_CTL _MMIO(0x44200)
7406#define GEN11_DISPLAY_IRQ_ENABLE (1 << 31)
7407#define GEN11_AUDIO_CODEC_IRQ (1 << 24)
7408#define GEN11_DE_PCH_IRQ (1 << 23)
7409#define GEN11_DE_MISC_IRQ (1 << 22)
121e758e 7410#define GEN11_DE_HPD_IRQ (1 << 21)
a6358dda
TU
7411#define GEN11_DE_PORT_IRQ (1 << 20)
7412#define GEN11_DE_PIPE_C (1 << 18)
7413#define GEN11_DE_PIPE_B (1 << 17)
7414#define GEN11_DE_PIPE_A (1 << 16)
7415
121e758e
DP
7416#define GEN11_DE_HPD_ISR _MMIO(0x44470)
7417#define GEN11_DE_HPD_IMR _MMIO(0x44474)
7418#define GEN11_DE_HPD_IIR _MMIO(0x44478)
7419#define GEN11_DE_HPD_IER _MMIO(0x4447c)
7420#define GEN11_TC4_HOTPLUG (1 << 19)
7421#define GEN11_TC3_HOTPLUG (1 << 18)
7422#define GEN11_TC2_HOTPLUG (1 << 17)
7423#define GEN11_TC1_HOTPLUG (1 << 16)
b9fcddab 7424#define GEN11_TC_HOTPLUG(tc_port) (1 << ((tc_port) + 16))
121e758e
DP
7425#define GEN11_DE_TC_HOTPLUG_MASK (GEN11_TC4_HOTPLUG | \
7426 GEN11_TC3_HOTPLUG | \
7427 GEN11_TC2_HOTPLUG | \
7428 GEN11_TC1_HOTPLUG)
b796b971
DP
7429#define GEN11_TBT4_HOTPLUG (1 << 3)
7430#define GEN11_TBT3_HOTPLUG (1 << 2)
7431#define GEN11_TBT2_HOTPLUG (1 << 1)
7432#define GEN11_TBT1_HOTPLUG (1 << 0)
b9fcddab 7433#define GEN11_TBT_HOTPLUG(tc_port) (1 << (tc_port))
b796b971
DP
7434#define GEN11_DE_TBT_HOTPLUG_MASK (GEN11_TBT4_HOTPLUG | \
7435 GEN11_TBT3_HOTPLUG | \
7436 GEN11_TBT2_HOTPLUG | \
7437 GEN11_TBT1_HOTPLUG)
7438
7439#define GEN11_TBT_HOTPLUG_CTL _MMIO(0x44030)
121e758e
DP
7440#define GEN11_TC_HOTPLUG_CTL _MMIO(0x44038)
7441#define GEN11_HOTPLUG_CTL_ENABLE(tc_port) (8 << (tc_port) * 4)
7442#define GEN11_HOTPLUG_CTL_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
7443#define GEN11_HOTPLUG_CTL_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
7444#define GEN11_HOTPLUG_CTL_NO_DETECT(tc_port) (0 << (tc_port) * 4)
7445
a6358dda
TU
7446#define GEN11_GT_INTR_DW0 _MMIO(0x190018)
7447#define GEN11_CSME (31)
7448#define GEN11_GUNIT (28)
7449#define GEN11_GUC (25)
7450#define GEN11_WDPERF (20)
7451#define GEN11_KCR (19)
7452#define GEN11_GTPM (16)
7453#define GEN11_BCS (15)
7454#define GEN11_RCS0 (0)
7455
7456#define GEN11_GT_INTR_DW1 _MMIO(0x19001c)
7457#define GEN11_VECS(x) (31 - (x))
7458#define GEN11_VCS(x) (x)
7459
9e8789ec 7460#define GEN11_GT_INTR_DW(x) _MMIO(0x190018 + ((x) * 4))
a6358dda
TU
7461
7462#define GEN11_INTR_IDENTITY_REG0 _MMIO(0x190060)
7463#define GEN11_INTR_IDENTITY_REG1 _MMIO(0x190064)
7464#define GEN11_INTR_DATA_VALID (1 << 31)
f744dbc2
MK
7465#define GEN11_INTR_ENGINE_CLASS(x) (((x) & GENMASK(18, 16)) >> 16)
7466#define GEN11_INTR_ENGINE_INSTANCE(x) (((x) & GENMASK(25, 20)) >> 20)
7467#define GEN11_INTR_ENGINE_INTR(x) ((x) & 0xffff)
a6358dda 7468
9e8789ec 7469#define GEN11_INTR_IDENTITY_REG(x) _MMIO(0x190060 + ((x) * 4))
a6358dda
TU
7470
7471#define GEN11_IIR_REG0_SELECTOR _MMIO(0x190070)
7472#define GEN11_IIR_REG1_SELECTOR _MMIO(0x190074)
7473
9e8789ec 7474#define GEN11_IIR_REG_SELECTOR(x) _MMIO(0x190070 + ((x) * 4))
a6358dda
TU
7475
7476#define GEN11_RENDER_COPY_INTR_ENABLE _MMIO(0x190030)
7477#define GEN11_VCS_VECS_INTR_ENABLE _MMIO(0x190034)
7478#define GEN11_GUC_SG_INTR_ENABLE _MMIO(0x190038)
7479#define GEN11_GPM_WGBOXPERF_INTR_ENABLE _MMIO(0x19003c)
7480#define GEN11_CRYPTO_RSVD_INTR_ENABLE _MMIO(0x190040)
7481#define GEN11_GUNIT_CSME_INTR_ENABLE _MMIO(0x190044)
7482
7483#define GEN11_RCS0_RSVD_INTR_MASK _MMIO(0x190090)
7484#define GEN11_BCS_RSVD_INTR_MASK _MMIO(0x1900a0)
7485#define GEN11_VCS0_VCS1_INTR_MASK _MMIO(0x1900a8)
7486#define GEN11_VCS2_VCS3_INTR_MASK _MMIO(0x1900ac)
7487#define GEN11_VECS0_VECS1_INTR_MASK _MMIO(0x1900d0)
7488#define GEN11_GUC_SG_INTR_MASK _MMIO(0x1900e8)
7489#define GEN11_GPM_WGBOXPERF_INTR_MASK _MMIO(0x1900ec)
7490#define GEN11_CRYPTO_RSVD_INTR_MASK _MMIO(0x1900f0)
7491#define GEN11_GUNIT_CSME_INTR_MASK _MMIO(0x1900f4)
7492
f0f59a00 7493#define ILK_DISPLAY_CHICKEN2 _MMIO(0x42004)
67e92af0
EA
7494/* Required on all Ironlake and Sandybridge according to the B-Spec. */
7495#define ILK_ELPIN_409_SELECT (1 << 25)
5ee8ee86
PZ
7496#define ILK_DPARB_GATE (1 << 22)
7497#define ILK_VSDPFD_FULL (1 << 21)
f0f59a00 7498#define FUSE_STRAP _MMIO(0x42014)
e3589908
DL
7499#define ILK_INTERNAL_GRAPHICS_DISABLE (1 << 31)
7500#define ILK_INTERNAL_DISPLAY_DISABLE (1 << 30)
7501#define ILK_DISPLAY_DEBUG_DISABLE (1 << 29)
8c448cad 7502#define IVB_PIPE_C_DISABLE (1 << 28)
e3589908
DL
7503#define ILK_HDCP_DISABLE (1 << 25)
7504#define ILK_eDP_A_DISABLE (1 << 24)
7505#define HSW_CDCLK_LIMIT (1 << 24)
7506#define ILK_DESKTOP (1 << 23)
231e54f6 7507
f0f59a00 7508#define ILK_DSPCLK_GATE_D _MMIO(0x42020)
231e54f6
DL
7509#define ILK_VRHUNIT_CLOCK_GATE_DISABLE (1 << 28)
7510#define ILK_DPFCUNIT_CLOCK_GATE_DISABLE (1 << 9)
7511#define ILK_DPFCRUNIT_CLOCK_GATE_DISABLE (1 << 8)
7512#define ILK_DPFDUNIT_CLOCK_GATE_ENABLE (1 << 7)
7513#define ILK_DPARBUNIT_CLOCK_GATE_ENABLE (1 << 5)
7f8a8569 7514
f0f59a00 7515#define IVB_CHICKEN3 _MMIO(0x4200c)
116ac8d2
EA
7516# define CHICKEN3_DGMG_REQ_OUT_FIX_DISABLE (1 << 5)
7517# define CHICKEN3_DGMG_DONE_FIX_DISABLE (1 << 2)
7518
f0f59a00 7519#define CHICKEN_PAR1_1 _MMIO(0x42080)
93564044 7520#define SKL_DE_COMPRESSED_HASH_MODE (1 << 15)
fe4ab3ce 7521#define DPA_MASK_VBLANK_SRD (1 << 15)
90a88643 7522#define FORCE_ARB_IDLE_PLANES (1 << 14)
dc00b6a0 7523#define SKL_EDP_PSR_FIX_RDWRAP (1 << 3)
90a88643 7524
17e0adf0
MK
7525#define CHICKEN_PAR2_1 _MMIO(0x42090)
7526#define KVM_CONFIG_CHANGE_NOTIFICATION_SELECT (1 << 14)
7527
f4f4b59b 7528#define CHICKEN_MISC_2 _MMIO(0x42084)
746a5173 7529#define CNL_COMP_PWR_DOWN (1 << 23)
f4f4b59b 7530#define GLK_CL2_PWR_DOWN (1 << 12)
746a5173
PZ
7531#define GLK_CL1_PWR_DOWN (1 << 11)
7532#define GLK_CL0_PWR_DOWN (1 << 10)
d8d4a512 7533
5654a162
PP
7534#define CHICKEN_MISC_4 _MMIO(0x4208c)
7535#define FBC_STRIDE_OVERRIDE (1 << 13)
7536#define FBC_STRIDE_MASK 0x1FFF
7537
fe4ab3ce
BW
7538#define _CHICKEN_PIPESL_1_A 0x420b0
7539#define _CHICKEN_PIPESL_1_B 0x420b4
8f670bb1
VS
7540#define HSW_FBCQ_DIS (1 << 22)
7541#define BDW_DPRS_MASK_VBLANK_SRD (1 << 0)
f0f59a00 7542#define CHICKEN_PIPESL_1(pipe) _MMIO_PIPE(pipe, _CHICKEN_PIPESL_1_A, _CHICKEN_PIPESL_1_B)
fe4ab3ce 7543
8f19b401
ID
7544#define CHICKEN_TRANS_A _MMIO(0x420c0)
7545#define CHICKEN_TRANS_B _MMIO(0x420c4)
7546#define CHICKEN_TRANS_C _MMIO(0x420c8)
7547#define CHICKEN_TRANS_EDP _MMIO(0x420cc)
5ee8ee86
PZ
7548#define VSC_DATA_SEL_SOFTWARE_CONTROL (1 << 25) /* GLK and CNL+ */
7549#define DDI_TRAINING_OVERRIDE_ENABLE (1 << 19)
7550#define DDI_TRAINING_OVERRIDE_VALUE (1 << 18)
7551#define DDIE_TRAINING_OVERRIDE_ENABLE (1 << 17) /* CHICKEN_TRANS_A only */
7552#define DDIE_TRAINING_OVERRIDE_VALUE (1 << 16) /* CHICKEN_TRANS_A only */
7553#define PSR2_ADD_VERTICAL_LINE_COUNT (1 << 15)
7554#define PSR2_VSC_ENABLE_PROG_HEADER (1 << 12)
d86f0482 7555
f0f59a00 7556#define DISP_ARB_CTL _MMIO(0x45000)
5ee8ee86
PZ
7557#define DISP_FBC_MEMORY_WAKE (1 << 31)
7558#define DISP_TILE_SURFACE_SWIZZLING (1 << 13)
7559#define DISP_FBC_WM_DIS (1 << 15)
f0f59a00 7560#define DISP_ARB_CTL2 _MMIO(0x45004)
5ee8ee86
PZ
7561#define DISP_DATA_PARTITION_5_6 (1 << 6)
7562#define DISP_IPC_ENABLE (1 << 3)
f0f59a00 7563#define DBUF_CTL _MMIO(0x45008)
746edf8f
MK
7564#define DBUF_CTL_S1 _MMIO(0x45008)
7565#define DBUF_CTL_S2 _MMIO(0x44FE8)
5ee8ee86
PZ
7566#define DBUF_POWER_REQUEST (1 << 31)
7567#define DBUF_POWER_STATE (1 << 30)
f0f59a00 7568#define GEN7_MSG_CTL _MMIO(0x45010)
5ee8ee86
PZ
7569#define WAIT_FOR_PCH_RESET_ACK (1 << 1)
7570#define WAIT_FOR_PCH_FLR_ACK (1 << 0)
f0f59a00 7571#define HSW_NDE_RSTWRN_OPT _MMIO(0x46408)
5ee8ee86 7572#define RESET_PCH_HANDSHAKE_ENABLE (1 << 4)
553bd149 7573
590e8ff0 7574#define GEN8_CHICKEN_DCPR_1 _MMIO(0x46430)
ad186f3f
PZ
7575#define SKL_SELECT_ALTERNATE_DC_EXIT (1 << 30)
7576#define MASK_WAKEMEM (1 << 13)
7577#define CNL_DDI_CLOCK_REG_ACCESS_ON (1 << 7)
590e8ff0 7578
f0f59a00 7579#define SKL_DFSM _MMIO(0x51000)
a9419e84
DL
7580#define SKL_DFSM_CDCLK_LIMIT_MASK (3 << 23)
7581#define SKL_DFSM_CDCLK_LIMIT_675 (0 << 23)
7582#define SKL_DFSM_CDCLK_LIMIT_540 (1 << 23)
7583#define SKL_DFSM_CDCLK_LIMIT_450 (2 << 23)
7584#define SKL_DFSM_CDCLK_LIMIT_337_5 (3 << 23)
bf4f2fb0
PJ
7585#define SKL_DFSM_PIPE_A_DISABLE (1 << 30)
7586#define SKL_DFSM_PIPE_B_DISABLE (1 << 21)
7587#define SKL_DFSM_PIPE_C_DISABLE (1 << 28)
a9419e84 7588
186a277e
PZ
7589#define SKL_DSSM _MMIO(0x51004)
7590#define CNL_DSSM_CDCLK_PLL_REFCLK_24MHz (1 << 31)
7591#define ICL_DSSM_CDCLK_PLL_REFCLK_MASK (7 << 29)
7592#define ICL_DSSM_CDCLK_PLL_REFCLK_24MHz (0 << 29)
7593#define ICL_DSSM_CDCLK_PLL_REFCLK_19_2MHz (1 << 29)
7594#define ICL_DSSM_CDCLK_PLL_REFCLK_38_4MHz (2 << 29)
945f2672 7595
a78536e7 7596#define GEN7_FF_SLICE_CS_CHICKEN1 _MMIO(0x20e0)
5ee8ee86 7597#define GEN9_FFSC_PERCTX_PREEMPT_CTRL (1 << 14)
a78536e7 7598
f0f59a00 7599#define FF_SLICE_CS_CHICKEN2 _MMIO(0x20e4)
5ee8ee86
PZ
7600#define GEN9_TSG_BARRIER_ACK_DISABLE (1 << 8)
7601#define GEN9_POOLED_EU_LOAD_BALANCING_FIX_DISABLE (1 << 10)
2caa3b26 7602
2c8580e4 7603#define GEN9_CS_DEBUG_MODE1 _MMIO(0x20ec)
6bb62855 7604#define GEN9_CTX_PREEMPT_REG _MMIO(0x2248)
e0f3fa09 7605#define GEN8_CS_CHICKEN1 _MMIO(0x2580)
5ee8ee86 7606#define GEN9_PREEMPT_3D_OBJECT_LEVEL (1 << 0)
5152defe
MW
7607#define GEN9_PREEMPT_GPGPU_LEVEL(hi, lo) (((hi) << 2) | ((lo) << 1))
7608#define GEN9_PREEMPT_GPGPU_MID_THREAD_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 0)
7609#define GEN9_PREEMPT_GPGPU_THREAD_GROUP_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(0, 1)
7610#define GEN9_PREEMPT_GPGPU_COMMAND_LEVEL GEN9_PREEMPT_GPGPU_LEVEL(1, 0)
7611#define GEN9_PREEMPT_GPGPU_LEVEL_MASK GEN9_PREEMPT_GPGPU_LEVEL(1, 1)
e0f3fa09 7612
e4e0c058 7613/* GEN7 chicken */
f0f59a00 7614#define GEN7_COMMON_SLICE_CHICKEN1 _MMIO(0x7010)
b1f88820
OM
7615 #define GEN7_CSC1_RHWO_OPT_DISABLE_IN_RCC ((1 << 10) | (1 << 26))
7616 #define GEN9_RHWO_OPTIMIZATION_DISABLE (1 << 14)
7617
7618#define COMMON_SLICE_CHICKEN2 _MMIO(0x7014)
7619 #define GEN9_PBE_COMPRESSED_HASH_SELECTION (1 << 13)
7620 #define GEN9_DISABLE_GATHER_AT_SET_SHADER_COMMON_SLICE (1 << 12)
7621 #define GEN8_SBE_DISABLE_REPLAY_BUF_OPTIMIZATION (1 << 8)
7622 #define GEN8_CSC2_SBE_VUE_CACHE_CONSERVATIVE (1 << 0)
7623
a6315005
TU
7624#define GEN8_L3CNTLREG _MMIO(0x7034)
7625 #define GEN8_ERRDETBCTRL (1 << 9)
7626
b1f88820
OM
7627#define GEN11_COMMON_SLICE_CHICKEN3 _MMIO(0x7304)
7628 #define GEN11_BLEND_EMB_FIX_DISABLE_IN_RCC (1 << 11)
d71de14d 7629
f0f59a00 7630#define HIZ_CHICKEN _MMIO(0x7018)
5ee8ee86
PZ
7631# define CHV_HZ_8X8_MODE_IN_1X (1 << 15)
7632# define BDW_HIZ_POWER_COMPILER_CLOCK_GATING_DISABLE (1 << 3)
d60de81d 7633
f0f59a00 7634#define GEN9_SLICE_COMMON_ECO_CHICKEN0 _MMIO(0x7308)
5ee8ee86 7635#define DISABLE_PIXEL_MASK_CAMMING (1 << 14)
183c6dac 7636
ab062639 7637#define GEN9_SLICE_COMMON_ECO_CHICKEN1 _MMIO(0x731c)
f63c7b48 7638#define GEN11_STATE_CACHE_REDIRECT_TO_CS (1 << 11)
ab062639 7639
0c7d2aed
RS
7640#define GEN7_SARCHKMD _MMIO(0xB000)
7641#define GEN7_DISABLE_DEMAND_PREFETCH (1 << 31)
71ffd49c 7642#define GEN7_DISABLE_SAMPLER_PREFETCH (1 << 30)
0c7d2aed 7643
f0f59a00 7644#define GEN7_L3SQCREG1 _MMIO(0xB010)
031994ee
VS
7645#define VLV_B0_WA_L3SQCREG1_VALUE 0x00D30000
7646
f0f59a00 7647#define GEN8_L3SQCREG1 _MMIO(0xB100)
450174fe
ID
7648/*
7649 * Note that on CHV the following has an off-by-one error wrt. to BSpec.
7650 * Using the formula in BSpec leads to a hang, while the formula here works
7651 * fine and matches the formulas for all other platforms. A BSpec change
7652 * request has been filed to clarify this.
7653 */
36579cb6
ID
7654#define L3_GENERAL_PRIO_CREDITS(x) (((x) >> 1) << 19)
7655#define L3_HIGH_PRIO_CREDITS(x) (((x) >> 1) << 14)
930a784d 7656#define L3_PRIO_CREDITS_MASK ((0x1f << 19) | (0x1f << 14))
51ce4db1 7657
f0f59a00 7658#define GEN7_L3CNTLREG1 _MMIO(0xB01C)
1af8452f 7659#define GEN7_WA_FOR_GEN7_L3_CONTROL 0x3C47FF8C
5ee8ee86 7660#define GEN7_L3AGDIS (1 << 19)
f0f59a00
VS
7661#define GEN7_L3CNTLREG2 _MMIO(0xB020)
7662#define GEN7_L3CNTLREG3 _MMIO(0xB024)
e4e0c058 7663
f0f59a00 7664#define GEN7_L3_CHICKEN_MODE_REGISTER _MMIO(0xB030)
5215eef3
OM
7665#define GEN7_WA_L3_CHICKEN_MODE 0x20000000
7666#define GEN10_L3_CHICKEN_MODE_REGISTER _MMIO(0xB114)
7667#define GEN11_I2M_WRITE_DISABLE (1 << 28)
e4e0c058 7668
f0f59a00 7669#define GEN7_L3SQCREG4 _MMIO(0xb034)
5ee8ee86 7670#define L3SQ_URB_READ_CAM_MATCH_DISABLE (1 << 27)
61939d97 7671
f0f59a00 7672#define GEN8_L3SQCREG4 _MMIO(0xb118)
5246ae4b
OM
7673#define GEN11_LQSC_CLEAN_EVICT_DISABLE (1 << 6)
7674#define GEN8_LQSC_RO_PERF_DIS (1 << 27)
7675#define GEN8_LQSC_FLUSH_COHERENT_LINES (1 << 21)
8bc0ccf6 7676
63801f21 7677/* GEN8 chicken */
f0f59a00 7678#define HDC_CHICKEN0 _MMIO(0x7300)
acfb5554 7679#define CNL_HDC_CHICKEN0 _MMIO(0xE5F0)
cc38cae7 7680#define ICL_HDC_MODE _MMIO(0xE5F4)
5ee8ee86
PZ
7681#define HDC_FORCE_CSR_NON_COHERENT_OVR_DISABLE (1 << 15)
7682#define HDC_FENCE_DEST_SLM_DISABLE (1 << 14)
7683#define HDC_DONOT_FETCH_MEM_WHEN_MASKED (1 << 11)
7684#define HDC_FORCE_CONTEXT_SAVE_RESTORE_NON_COHERENT (1 << 5)
7685#define HDC_FORCE_NON_COHERENT (1 << 4)
7686#define HDC_BARRIER_PERFORMANCE_DISABLE (1 << 10)
63801f21 7687
3669ab61
AS
7688#define GEN8_HDC_CHICKEN1 _MMIO(0x7304)
7689
38a39a7b 7690/* GEN9 chicken */
f0f59a00 7691#define SLICE_ECO_CHICKEN0 _MMIO(0x7308)
38a39a7b
BW
7692#define PIXEL_MASK_CAMMING_DISABLE (1 << 14)
7693
0c79f9cb
MT
7694#define GEN9_WM_CHICKEN3 _MMIO(0x5588)
7695#define GEN9_FACTOR_IN_CLR_VAL_HIZ (1 << 9)
7696
db099c8f 7697/* WaCatErrorRejectionIssue */
f0f59a00 7698#define GEN7_SQ_CHICKEN_MBCUNIT_CONFIG _MMIO(0x9030)
5ee8ee86 7699#define GEN7_SQ_CHICKEN_MBCUNIT_SQINTMOB (1 << 11)
db099c8f 7700
f0f59a00 7701#define HSW_SCRATCH1 _MMIO(0xb038)
5ee8ee86 7702#define HSW_SCRATCH1_L3_DATA_ATOMICS_DISABLE (1 << 27)
f3fc4884 7703
f0f59a00 7704#define BDW_SCRATCH1 _MMIO(0xb11c)
5ee8ee86 7705#define GEN9_LBS_SLA_RETRY_TIMER_DECREMENT_ENABLE (1 << 2)
77719d28 7706
e16a3750 7707/*GEN11 chicken */
26eeea15
AS
7708#define _PIPEA_CHICKEN 0x70038
7709#define _PIPEB_CHICKEN 0x71038
7710#define _PIPEC_CHICKEN 0x72038
7711#define PIPE_CHICKEN(pipe) _MMIO_PIPE(pipe, _PIPEA_CHICKEN,\
7712 _PIPEB_CHICKEN)
7713#define PIXEL_ROUNDING_TRUNC_FB_PASSTHRU (1 << 15)
7714#define PER_PIXEL_ALPHA_BYPASS_EN (1 << 7)
e16a3750 7715
b9055052
ZW
7716/* PCH */
7717
dce88879
LDM
7718#define PCH_DISPLAY_BASE 0xc0000u
7719
23e81d69 7720/* south display engine interrupt: IBX */
776ad806
JB
7721#define SDE_AUDIO_POWER_D (1 << 27)
7722#define SDE_AUDIO_POWER_C (1 << 26)
7723#define SDE_AUDIO_POWER_B (1 << 25)
7724#define SDE_AUDIO_POWER_SHIFT (25)
7725#define SDE_AUDIO_POWER_MASK (7 << SDE_AUDIO_POWER_SHIFT)
7726#define SDE_GMBUS (1 << 24)
7727#define SDE_AUDIO_HDCP_TRANSB (1 << 23)
7728#define SDE_AUDIO_HDCP_TRANSA (1 << 22)
7729#define SDE_AUDIO_HDCP_MASK (3 << 22)
7730#define SDE_AUDIO_TRANSB (1 << 21)
7731#define SDE_AUDIO_TRANSA (1 << 20)
7732#define SDE_AUDIO_TRANS_MASK (3 << 20)
7733#define SDE_POISON (1 << 19)
7734/* 18 reserved */
7735#define SDE_FDI_RXB (1 << 17)
7736#define SDE_FDI_RXA (1 << 16)
7737#define SDE_FDI_MASK (3 << 16)
7738#define SDE_AUXD (1 << 15)
7739#define SDE_AUXC (1 << 14)
7740#define SDE_AUXB (1 << 13)
7741#define SDE_AUX_MASK (7 << 13)
7742/* 12 reserved */
b9055052
ZW
7743#define SDE_CRT_HOTPLUG (1 << 11)
7744#define SDE_PORTD_HOTPLUG (1 << 10)
7745#define SDE_PORTC_HOTPLUG (1 << 9)
7746#define SDE_PORTB_HOTPLUG (1 << 8)
7747#define SDE_SDVOB_HOTPLUG (1 << 6)
e5868a31
EE
7748#define SDE_HOTPLUG_MASK (SDE_CRT_HOTPLUG | \
7749 SDE_SDVOB_HOTPLUG | \
7750 SDE_PORTB_HOTPLUG | \
7751 SDE_PORTC_HOTPLUG | \
7752 SDE_PORTD_HOTPLUG)
776ad806
JB
7753#define SDE_TRANSB_CRC_DONE (1 << 5)
7754#define SDE_TRANSB_CRC_ERR (1 << 4)
7755#define SDE_TRANSB_FIFO_UNDER (1 << 3)
7756#define SDE_TRANSA_CRC_DONE (1 << 2)
7757#define SDE_TRANSA_CRC_ERR (1 << 1)
7758#define SDE_TRANSA_FIFO_UNDER (1 << 0)
7759#define SDE_TRANS_MASK (0x3f)
23e81d69 7760
31604222 7761/* south display engine interrupt: CPT - CNP */
23e81d69
AJ
7762#define SDE_AUDIO_POWER_D_CPT (1 << 31)
7763#define SDE_AUDIO_POWER_C_CPT (1 << 30)
7764#define SDE_AUDIO_POWER_B_CPT (1 << 29)
7765#define SDE_AUDIO_POWER_SHIFT_CPT 29
7766#define SDE_AUDIO_POWER_MASK_CPT (7 << 29)
7767#define SDE_AUXD_CPT (1 << 27)
7768#define SDE_AUXC_CPT (1 << 26)
7769#define SDE_AUXB_CPT (1 << 25)
7770#define SDE_AUX_MASK_CPT (7 << 25)
26951caf 7771#define SDE_PORTE_HOTPLUG_SPT (1 << 25)
74c0b395 7772#define SDE_PORTA_HOTPLUG_SPT (1 << 24)
8db9d77b
ZW
7773#define SDE_PORTD_HOTPLUG_CPT (1 << 23)
7774#define SDE_PORTC_HOTPLUG_CPT (1 << 22)
7775#define SDE_PORTB_HOTPLUG_CPT (1 << 21)
23e81d69 7776#define SDE_CRT_HOTPLUG_CPT (1 << 19)
73c352a2 7777#define SDE_SDVOB_HOTPLUG_CPT (1 << 18)
2d7b8366 7778#define SDE_HOTPLUG_MASK_CPT (SDE_CRT_HOTPLUG_CPT | \
73c352a2 7779 SDE_SDVOB_HOTPLUG_CPT | \
2d7b8366
YL
7780 SDE_PORTD_HOTPLUG_CPT | \
7781 SDE_PORTC_HOTPLUG_CPT | \
7782 SDE_PORTB_HOTPLUG_CPT)
26951caf
XZ
7783#define SDE_HOTPLUG_MASK_SPT (SDE_PORTE_HOTPLUG_SPT | \
7784 SDE_PORTD_HOTPLUG_CPT | \
7785 SDE_PORTC_HOTPLUG_CPT | \
74c0b395
VS
7786 SDE_PORTB_HOTPLUG_CPT | \
7787 SDE_PORTA_HOTPLUG_SPT)
23e81d69 7788#define SDE_GMBUS_CPT (1 << 17)
8664281b 7789#define SDE_ERROR_CPT (1 << 16)
23e81d69
AJ
7790#define SDE_AUDIO_CP_REQ_C_CPT (1 << 10)
7791#define SDE_AUDIO_CP_CHG_C_CPT (1 << 9)
7792#define SDE_FDI_RXC_CPT (1 << 8)
7793#define SDE_AUDIO_CP_REQ_B_CPT (1 << 6)
7794#define SDE_AUDIO_CP_CHG_B_CPT (1 << 5)
7795#define SDE_FDI_RXB_CPT (1 << 4)
7796#define SDE_AUDIO_CP_REQ_A_CPT (1 << 2)
7797#define SDE_AUDIO_CP_CHG_A_CPT (1 << 1)
7798#define SDE_FDI_RXA_CPT (1 << 0)
7799#define SDE_AUDIO_CP_REQ_CPT (SDE_AUDIO_CP_REQ_C_CPT | \
7800 SDE_AUDIO_CP_REQ_B_CPT | \
7801 SDE_AUDIO_CP_REQ_A_CPT)
7802#define SDE_AUDIO_CP_CHG_CPT (SDE_AUDIO_CP_CHG_C_CPT | \
7803 SDE_AUDIO_CP_CHG_B_CPT | \
7804 SDE_AUDIO_CP_CHG_A_CPT)
7805#define SDE_FDI_MASK_CPT (SDE_FDI_RXC_CPT | \
7806 SDE_FDI_RXB_CPT | \
7807 SDE_FDI_RXA_CPT)
b9055052 7808
31604222
AS
7809/* south display engine interrupt: ICP */
7810#define SDE_TC4_HOTPLUG_ICP (1 << 27)
7811#define SDE_TC3_HOTPLUG_ICP (1 << 26)
7812#define SDE_TC2_HOTPLUG_ICP (1 << 25)
7813#define SDE_TC1_HOTPLUG_ICP (1 << 24)
7814#define SDE_GMBUS_ICP (1 << 23)
7815#define SDE_DDIB_HOTPLUG_ICP (1 << 17)
7816#define SDE_DDIA_HOTPLUG_ICP (1 << 16)
b9fcddab
PZ
7817#define SDE_TC_HOTPLUG_ICP(tc_port) (1 << ((tc_port) + 24))
7818#define SDE_DDI_HOTPLUG_ICP(port) (1 << ((port) + 16))
31604222
AS
7819#define SDE_DDI_MASK_ICP (SDE_DDIB_HOTPLUG_ICP | \
7820 SDE_DDIA_HOTPLUG_ICP)
7821#define SDE_TC_MASK_ICP (SDE_TC4_HOTPLUG_ICP | \
7822 SDE_TC3_HOTPLUG_ICP | \
7823 SDE_TC2_HOTPLUG_ICP | \
7824 SDE_TC1_HOTPLUG_ICP)
7825
f0f59a00
VS
7826#define SDEISR _MMIO(0xc4000)
7827#define SDEIMR _MMIO(0xc4004)
7828#define SDEIIR _MMIO(0xc4008)
7829#define SDEIER _MMIO(0xc400c)
b9055052 7830
f0f59a00 7831#define SERR_INT _MMIO(0xc4040)
5ee8ee86
PZ
7832#define SERR_INT_POISON (1 << 31)
7833#define SERR_INT_TRANS_FIFO_UNDERRUN(pipe) (1 << ((pipe) * 3))
8664281b 7834
b9055052 7835/* digital port hotplug */
f0f59a00 7836#define PCH_PORT_HOTPLUG _MMIO(0xc4030) /* SHOTPLUG_CTL */
195baa06 7837#define PORTA_HOTPLUG_ENABLE (1 << 28) /* LPT:LP+ & BXT */
d252bf68 7838#define BXT_DDIA_HPD_INVERT (1 << 27)
195baa06
VS
7839#define PORTA_HOTPLUG_STATUS_MASK (3 << 24) /* SPT+ & BXT */
7840#define PORTA_HOTPLUG_NO_DETECT (0 << 24) /* SPT+ & BXT */
7841#define PORTA_HOTPLUG_SHORT_DETECT (1 << 24) /* SPT+ & BXT */
7842#define PORTA_HOTPLUG_LONG_DETECT (2 << 24) /* SPT+ & BXT */
40bfd7a3
VS
7843#define PORTD_HOTPLUG_ENABLE (1 << 20)
7844#define PORTD_PULSE_DURATION_2ms (0 << 18) /* pre-LPT */
7845#define PORTD_PULSE_DURATION_4_5ms (1 << 18) /* pre-LPT */
7846#define PORTD_PULSE_DURATION_6ms (2 << 18) /* pre-LPT */
7847#define PORTD_PULSE_DURATION_100ms (3 << 18) /* pre-LPT */
7848#define PORTD_PULSE_DURATION_MASK (3 << 18) /* pre-LPT */
7849#define PORTD_HOTPLUG_STATUS_MASK (3 << 16)
b696519e
DL
7850#define PORTD_HOTPLUG_NO_DETECT (0 << 16)
7851#define PORTD_HOTPLUG_SHORT_DETECT (1 << 16)
7852#define PORTD_HOTPLUG_LONG_DETECT (2 << 16)
40bfd7a3 7853#define PORTC_HOTPLUG_ENABLE (1 << 12)
d252bf68 7854#define BXT_DDIC_HPD_INVERT (1 << 11)
40bfd7a3
VS
7855#define PORTC_PULSE_DURATION_2ms (0 << 10) /* pre-LPT */
7856#define PORTC_PULSE_DURATION_4_5ms (1 << 10) /* pre-LPT */
7857#define PORTC_PULSE_DURATION_6ms (2 << 10) /* pre-LPT */
7858#define PORTC_PULSE_DURATION_100ms (3 << 10) /* pre-LPT */
7859#define PORTC_PULSE_DURATION_MASK (3 << 10) /* pre-LPT */
7860#define PORTC_HOTPLUG_STATUS_MASK (3 << 8)
b696519e
DL
7861#define PORTC_HOTPLUG_NO_DETECT (0 << 8)
7862#define PORTC_HOTPLUG_SHORT_DETECT (1 << 8)
7863#define PORTC_HOTPLUG_LONG_DETECT (2 << 8)
40bfd7a3 7864#define PORTB_HOTPLUG_ENABLE (1 << 4)
d252bf68 7865#define BXT_DDIB_HPD_INVERT (1 << 3)
40bfd7a3
VS
7866#define PORTB_PULSE_DURATION_2ms (0 << 2) /* pre-LPT */
7867#define PORTB_PULSE_DURATION_4_5ms (1 << 2) /* pre-LPT */
7868#define PORTB_PULSE_DURATION_6ms (2 << 2) /* pre-LPT */
7869#define PORTB_PULSE_DURATION_100ms (3 << 2) /* pre-LPT */
7870#define PORTB_PULSE_DURATION_MASK (3 << 2) /* pre-LPT */
7871#define PORTB_HOTPLUG_STATUS_MASK (3 << 0)
b696519e
DL
7872#define PORTB_HOTPLUG_NO_DETECT (0 << 0)
7873#define PORTB_HOTPLUG_SHORT_DETECT (1 << 0)
7874#define PORTB_HOTPLUG_LONG_DETECT (2 << 0)
d252bf68
SS
7875#define BXT_DDI_HPD_INVERT_MASK (BXT_DDIA_HPD_INVERT | \
7876 BXT_DDIB_HPD_INVERT | \
7877 BXT_DDIC_HPD_INVERT)
b9055052 7878
f0f59a00 7879#define PCH_PORT_HOTPLUG2 _MMIO(0xc403C) /* SHOTPLUG_CTL2 SPT+ */
40bfd7a3
VS
7880#define PORTE_HOTPLUG_ENABLE (1 << 4)
7881#define PORTE_HOTPLUG_STATUS_MASK (3 << 0)
26951caf
XZ
7882#define PORTE_HOTPLUG_NO_DETECT (0 << 0)
7883#define PORTE_HOTPLUG_SHORT_DETECT (1 << 0)
7884#define PORTE_HOTPLUG_LONG_DETECT (2 << 0)
b9055052 7885
31604222
AS
7886/* This register is a reuse of PCH_PORT_HOTPLUG register. The
7887 * functionality covered in PCH_PORT_HOTPLUG is split into
7888 * SHOTPLUG_CTL_DDI and SHOTPLUG_CTL_TC.
7889 */
7890
7891#define SHOTPLUG_CTL_DDI _MMIO(0xc4030)
7892#define ICP_DDIB_HPD_ENABLE (1 << 7)
7893#define ICP_DDIB_HPD_STATUS_MASK (3 << 4)
7894#define ICP_DDIB_HPD_NO_DETECT (0 << 4)
7895#define ICP_DDIB_HPD_SHORT_DETECT (1 << 4)
7896#define ICP_DDIB_HPD_LONG_DETECT (2 << 4)
7897#define ICP_DDIB_HPD_SHORT_LONG_DETECT (3 << 4)
7898#define ICP_DDIA_HPD_ENABLE (1 << 3)
05f2f03d 7899#define ICP_DDIA_HPD_OP_DRIVE_1 (1 << 2)
31604222
AS
7900#define ICP_DDIA_HPD_STATUS_MASK (3 << 0)
7901#define ICP_DDIA_HPD_NO_DETECT (0 << 0)
7902#define ICP_DDIA_HPD_SHORT_DETECT (1 << 0)
7903#define ICP_DDIA_HPD_LONG_DETECT (2 << 0)
7904#define ICP_DDIA_HPD_SHORT_LONG_DETECT (3 << 0)
7905
7906#define SHOTPLUG_CTL_TC _MMIO(0xc4034)
7907#define ICP_TC_HPD_ENABLE(tc_port) (8 << (tc_port) * 4)
c7d2959f
AS
7908/* Icelake DSC Rate Control Range Parameter Registers */
7909#define DSCA_RC_RANGE_PARAMETERS_0 _MMIO(0x6B240)
7910#define DSCA_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6B240 + 4)
7911#define DSCC_RC_RANGE_PARAMETERS_0 _MMIO(0x6BA40)
7912#define DSCC_RC_RANGE_PARAMETERS_0_UDW _MMIO(0x6BA40 + 4)
7913#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB (0x78208)
7914#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB (0x78208 + 4)
7915#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB (0x78308)
7916#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB (0x78308 + 4)
7917#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC (0x78408)
7918#define _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC (0x78408 + 4)
7919#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC (0x78508)
7920#define _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC (0x78508 + 4)
7921#define ICL_DSC0_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7922 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PB, \
7923 _ICL_DSC0_RC_RANGE_PARAMETERS_0_PC)
7924#define ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7925 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PB, \
7926 _ICL_DSC0_RC_RANGE_PARAMETERS_0_UDW_PC)
7927#define ICL_DSC1_RC_RANGE_PARAMETERS_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7928 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PB, \
7929 _ICL_DSC1_RC_RANGE_PARAMETERS_0_PC)
7930#define ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7931 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PB, \
7932 _ICL_DSC1_RC_RANGE_PARAMETERS_0_UDW_PC)
7933#define RC_BPG_OFFSET_SHIFT 10
7934#define RC_MAX_QP_SHIFT 5
7935#define RC_MIN_QP_SHIFT 0
7936
7937#define DSCA_RC_RANGE_PARAMETERS_1 _MMIO(0x6B248)
7938#define DSCA_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6B248 + 4)
7939#define DSCC_RC_RANGE_PARAMETERS_1 _MMIO(0x6BA48)
7940#define DSCC_RC_RANGE_PARAMETERS_1_UDW _MMIO(0x6BA48 + 4)
7941#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB (0x78210)
7942#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB (0x78210 + 4)
7943#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB (0x78310)
7944#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB (0x78310 + 4)
7945#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC (0x78410)
7946#define _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC (0x78410 + 4)
7947#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC (0x78510)
7948#define _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC (0x78510 + 4)
7949#define ICL_DSC0_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7950 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PB, \
7951 _ICL_DSC0_RC_RANGE_PARAMETERS_1_PC)
7952#define ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7953 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PB, \
7954 _ICL_DSC0_RC_RANGE_PARAMETERS_1_UDW_PC)
7955#define ICL_DSC1_RC_RANGE_PARAMETERS_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7956 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PB, \
7957 _ICL_DSC1_RC_RANGE_PARAMETERS_1_PC)
7958#define ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7959 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PB, \
7960 _ICL_DSC1_RC_RANGE_PARAMETERS_1_UDW_PC)
7961
7962#define DSCA_RC_RANGE_PARAMETERS_2 _MMIO(0x6B250)
7963#define DSCA_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6B250 + 4)
7964#define DSCC_RC_RANGE_PARAMETERS_2 _MMIO(0x6BA50)
7965#define DSCC_RC_RANGE_PARAMETERS_2_UDW _MMIO(0x6BA50 + 4)
7966#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB (0x78218)
7967#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB (0x78218 + 4)
7968#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB (0x78318)
7969#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB (0x78318 + 4)
7970#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC (0x78418)
7971#define _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC (0x78418 + 4)
7972#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC (0x78518)
7973#define _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC (0x78518 + 4)
7974#define ICL_DSC0_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7975 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PB, \
7976 _ICL_DSC0_RC_RANGE_PARAMETERS_2_PC)
7977#define ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7978 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PB, \
7979 _ICL_DSC0_RC_RANGE_PARAMETERS_2_UDW_PC)
7980#define ICL_DSC1_RC_RANGE_PARAMETERS_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7981 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PB, \
7982 _ICL_DSC1_RC_RANGE_PARAMETERS_2_PC)
7983#define ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
7984 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PB, \
7985 _ICL_DSC1_RC_RANGE_PARAMETERS_2_UDW_PC)
7986
7987#define DSCA_RC_RANGE_PARAMETERS_3 _MMIO(0x6B258)
7988#define DSCA_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6B258 + 4)
7989#define DSCC_RC_RANGE_PARAMETERS_3 _MMIO(0x6BA58)
7990#define DSCC_RC_RANGE_PARAMETERS_3_UDW _MMIO(0x6BA58 + 4)
7991#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB (0x78220)
7992#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB (0x78220 + 4)
7993#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB (0x78320)
7994#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB (0x78320 + 4)
7995#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC (0x78420)
7996#define _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC (0x78420 + 4)
7997#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC (0x78520)
7998#define _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC (0x78520 + 4)
7999#define ICL_DSC0_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8000 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PB, \
8001 _ICL_DSC0_RC_RANGE_PARAMETERS_3_PC)
8002#define ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8003 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PB, \
8004 _ICL_DSC0_RC_RANGE_PARAMETERS_3_UDW_PC)
8005#define ICL_DSC1_RC_RANGE_PARAMETERS_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8006 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PB, \
8007 _ICL_DSC1_RC_RANGE_PARAMETERS_3_PC)
8008#define ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
8009 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PB, \
8010 _ICL_DSC1_RC_RANGE_PARAMETERS_3_UDW_PC)
8011
31604222
AS
8012#define ICP_TC_HPD_LONG_DETECT(tc_port) (2 << (tc_port) * 4)
8013#define ICP_TC_HPD_SHORT_DETECT(tc_port) (1 << (tc_port) * 4)
8014
9db4a9c7
JB
8015#define _PCH_DPLL_A 0xc6014
8016#define _PCH_DPLL_B 0xc6018
9e8789ec 8017#define PCH_DPLL(pll) _MMIO((pll) == 0 ? _PCH_DPLL_A : _PCH_DPLL_B)
b9055052 8018
9db4a9c7 8019#define _PCH_FPA0 0xc6040
5ee8ee86 8020#define FP_CB_TUNE (0x3 << 22)
9db4a9c7
JB
8021#define _PCH_FPA1 0xc6044
8022#define _PCH_FPB0 0xc6048
8023#define _PCH_FPB1 0xc604c
9e8789ec
PZ
8024#define PCH_FP0(pll) _MMIO((pll) == 0 ? _PCH_FPA0 : _PCH_FPB0)
8025#define PCH_FP1(pll) _MMIO((pll) == 0 ? _PCH_FPA1 : _PCH_FPB1)
b9055052 8026
f0f59a00 8027#define PCH_DPLL_TEST _MMIO(0xc606c)
b9055052 8028
f0f59a00 8029#define PCH_DREF_CONTROL _MMIO(0xC6200)
b9055052 8030#define DREF_CONTROL_MASK 0x7fc3
5ee8ee86
PZ
8031#define DREF_CPU_SOURCE_OUTPUT_DISABLE (0 << 13)
8032#define DREF_CPU_SOURCE_OUTPUT_DOWNSPREAD (2 << 13)
8033#define DREF_CPU_SOURCE_OUTPUT_NONSPREAD (3 << 13)
8034#define DREF_CPU_SOURCE_OUTPUT_MASK (3 << 13)
8035#define DREF_SSC_SOURCE_DISABLE (0 << 11)
8036#define DREF_SSC_SOURCE_ENABLE (2 << 11)
8037#define DREF_SSC_SOURCE_MASK (3 << 11)
8038#define DREF_NONSPREAD_SOURCE_DISABLE (0 << 9)
8039#define DREF_NONSPREAD_CK505_ENABLE (1 << 9)
8040#define DREF_NONSPREAD_SOURCE_ENABLE (2 << 9)
8041#define DREF_NONSPREAD_SOURCE_MASK (3 << 9)
8042#define DREF_SUPERSPREAD_SOURCE_DISABLE (0 << 7)
8043#define DREF_SUPERSPREAD_SOURCE_ENABLE (2 << 7)
8044#define DREF_SUPERSPREAD_SOURCE_MASK (3 << 7)
8045#define DREF_SSC4_DOWNSPREAD (0 << 6)
8046#define DREF_SSC4_CENTERSPREAD (1 << 6)
8047#define DREF_SSC1_DISABLE (0 << 1)
8048#define DREF_SSC1_ENABLE (1 << 1)
b9055052
ZW
8049#define DREF_SSC4_DISABLE (0)
8050#define DREF_SSC4_ENABLE (1)
8051
f0f59a00 8052#define PCH_RAWCLK_FREQ _MMIO(0xc6204)
b9055052 8053#define FDL_TP1_TIMER_SHIFT 12
5ee8ee86 8054#define FDL_TP1_TIMER_MASK (3 << 12)
b9055052 8055#define FDL_TP2_TIMER_SHIFT 10
5ee8ee86 8056#define FDL_TP2_TIMER_MASK (3 << 10)
b9055052 8057#define RAWCLK_FREQ_MASK 0x3ff
9d81a997
RV
8058#define CNP_RAWCLK_DIV_MASK (0x3ff << 16)
8059#define CNP_RAWCLK_DIV(div) ((div) << 16)
8060#define CNP_RAWCLK_FRAC_MASK (0xf << 26)
228a5cf3 8061#define CNP_RAWCLK_DEN(den) ((den) << 26)
4ef99abd 8062#define ICP_RAWCLK_NUM(num) ((num) << 11)
b9055052 8063
f0f59a00 8064#define PCH_DPLL_TMR_CFG _MMIO(0xc6208)
b9055052 8065
f0f59a00
VS
8066#define PCH_SSC4_PARMS _MMIO(0xc6210)
8067#define PCH_SSC4_AUX_PARMS _MMIO(0xc6214)
b9055052 8068
f0f59a00 8069#define PCH_DPLL_SEL _MMIO(0xc7000)
68d97538 8070#define TRANS_DPLLB_SEL(pipe) (1 << ((pipe) * 4))
11887397 8071#define TRANS_DPLLA_SEL(pipe) 0
68d97538 8072#define TRANS_DPLL_ENABLE(pipe) (1 << ((pipe) * 4 + 3))
8db9d77b 8073
b9055052
ZW
8074/* transcoder */
8075
275f01b2
DV
8076#define _PCH_TRANS_HTOTAL_A 0xe0000
8077#define TRANS_HTOTAL_SHIFT 16
8078#define TRANS_HACTIVE_SHIFT 0
8079#define _PCH_TRANS_HBLANK_A 0xe0004
8080#define TRANS_HBLANK_END_SHIFT 16
8081#define TRANS_HBLANK_START_SHIFT 0
8082#define _PCH_TRANS_HSYNC_A 0xe0008
8083#define TRANS_HSYNC_END_SHIFT 16
8084#define TRANS_HSYNC_START_SHIFT 0
8085#define _PCH_TRANS_VTOTAL_A 0xe000c
8086#define TRANS_VTOTAL_SHIFT 16
8087#define TRANS_VACTIVE_SHIFT 0
8088#define _PCH_TRANS_VBLANK_A 0xe0010
8089#define TRANS_VBLANK_END_SHIFT 16
8090#define TRANS_VBLANK_START_SHIFT 0
8091#define _PCH_TRANS_VSYNC_A 0xe0014
af7187b7 8092#define TRANS_VSYNC_END_SHIFT 16
275f01b2
DV
8093#define TRANS_VSYNC_START_SHIFT 0
8094#define _PCH_TRANS_VSYNCSHIFT_A 0xe0028
b9055052 8095
e3b95f1e
DV
8096#define _PCH_TRANSA_DATA_M1 0xe0030
8097#define _PCH_TRANSA_DATA_N1 0xe0034
8098#define _PCH_TRANSA_DATA_M2 0xe0038
8099#define _PCH_TRANSA_DATA_N2 0xe003c
8100#define _PCH_TRANSA_LINK_M1 0xe0040
8101#define _PCH_TRANSA_LINK_N1 0xe0044
8102#define _PCH_TRANSA_LINK_M2 0xe0048
8103#define _PCH_TRANSA_LINK_N2 0xe004c
9db4a9c7 8104
2dcbc34d 8105/* Per-transcoder DIP controls (PCH) */
b055c8f3
JB
8106#define _VIDEO_DIP_CTL_A 0xe0200
8107#define _VIDEO_DIP_DATA_A 0xe0208
8108#define _VIDEO_DIP_GCP_A 0xe0210
6d67415f
VS
8109#define GCP_COLOR_INDICATION (1 << 2)
8110#define GCP_DEFAULT_PHASE_ENABLE (1 << 1)
8111#define GCP_AV_MUTE (1 << 0)
b055c8f3
JB
8112
8113#define _VIDEO_DIP_CTL_B 0xe1200
8114#define _VIDEO_DIP_DATA_B 0xe1208
8115#define _VIDEO_DIP_GCP_B 0xe1210
8116
f0f59a00
VS
8117#define TVIDEO_DIP_CTL(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_CTL_A, _VIDEO_DIP_CTL_B)
8118#define TVIDEO_DIP_DATA(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_DATA_A, _VIDEO_DIP_DATA_B)
8119#define TVIDEO_DIP_GCP(pipe) _MMIO_PIPE(pipe, _VIDEO_DIP_GCP_A, _VIDEO_DIP_GCP_B)
b055c8f3 8120
2dcbc34d 8121/* Per-transcoder DIP controls (VLV) */
086f8e84
VS
8122#define _VLV_VIDEO_DIP_CTL_A (VLV_DISPLAY_BASE + 0x60200)
8123#define _VLV_VIDEO_DIP_DATA_A (VLV_DISPLAY_BASE + 0x60208)
8124#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_A (VLV_DISPLAY_BASE + 0x60210)
90b107c8 8125
086f8e84
VS
8126#define _VLV_VIDEO_DIP_CTL_B (VLV_DISPLAY_BASE + 0x61170)
8127#define _VLV_VIDEO_DIP_DATA_B (VLV_DISPLAY_BASE + 0x61174)
8128#define _VLV_VIDEO_DIP_GDCP_PAYLOAD_B (VLV_DISPLAY_BASE + 0x61178)
90b107c8 8129
086f8e84
VS
8130#define _CHV_VIDEO_DIP_CTL_C (VLV_DISPLAY_BASE + 0x611f0)
8131#define _CHV_VIDEO_DIP_DATA_C (VLV_DISPLAY_BASE + 0x611f4)
8132#define _CHV_VIDEO_DIP_GDCP_PAYLOAD_C (VLV_DISPLAY_BASE + 0x611f8)
2dcbc34d 8133
90b107c8 8134#define VLV_TVIDEO_DIP_CTL(pipe) \
f0f59a00 8135 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_CTL_A, \
086f8e84 8136 _VLV_VIDEO_DIP_CTL_B, _CHV_VIDEO_DIP_CTL_C)
90b107c8 8137#define VLV_TVIDEO_DIP_DATA(pipe) \
f0f59a00 8138 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_DATA_A, \
086f8e84 8139 _VLV_VIDEO_DIP_DATA_B, _CHV_VIDEO_DIP_DATA_C)
90b107c8 8140#define VLV_TVIDEO_DIP_GCP(pipe) \
f0f59a00 8141 _MMIO_PIPE3((pipe), _VLV_VIDEO_DIP_GDCP_PAYLOAD_A, \
086f8e84 8142 _VLV_VIDEO_DIP_GDCP_PAYLOAD_B, _CHV_VIDEO_DIP_GDCP_PAYLOAD_C)
90b107c8 8143
8c5f5f7c 8144/* Haswell DIP controls */
f0f59a00 8145
086f8e84
VS
8146#define _HSW_VIDEO_DIP_CTL_A 0x60200
8147#define _HSW_VIDEO_DIP_AVI_DATA_A 0x60220
8148#define _HSW_VIDEO_DIP_VS_DATA_A 0x60260
8149#define _HSW_VIDEO_DIP_SPD_DATA_A 0x602A0
8150#define _HSW_VIDEO_DIP_GMP_DATA_A 0x602E0
8151#define _HSW_VIDEO_DIP_VSC_DATA_A 0x60320
8152#define _HSW_VIDEO_DIP_AVI_ECC_A 0x60240
8153#define _HSW_VIDEO_DIP_VS_ECC_A 0x60280
8154#define _HSW_VIDEO_DIP_SPD_ECC_A 0x602C0
8155#define _HSW_VIDEO_DIP_GMP_ECC_A 0x60300
8156#define _HSW_VIDEO_DIP_VSC_ECC_A 0x60344
8157#define _HSW_VIDEO_DIP_GCP_A 0x60210
8158
8159#define _HSW_VIDEO_DIP_CTL_B 0x61200
8160#define _HSW_VIDEO_DIP_AVI_DATA_B 0x61220
8161#define _HSW_VIDEO_DIP_VS_DATA_B 0x61260
8162#define _HSW_VIDEO_DIP_SPD_DATA_B 0x612A0
8163#define _HSW_VIDEO_DIP_GMP_DATA_B 0x612E0
8164#define _HSW_VIDEO_DIP_VSC_DATA_B 0x61320
8165#define _HSW_VIDEO_DIP_BVI_ECC_B 0x61240
8166#define _HSW_VIDEO_DIP_VS_ECC_B 0x61280
8167#define _HSW_VIDEO_DIP_SPD_ECC_B 0x612C0
8168#define _HSW_VIDEO_DIP_GMP_ECC_B 0x61300
8169#define _HSW_VIDEO_DIP_VSC_ECC_B 0x61344
8170#define _HSW_VIDEO_DIP_GCP_B 0x61210
8c5f5f7c 8171
7af2be6d
AS
8172/* Icelake PPS_DATA and _ECC DIP Registers.
8173 * These are available for transcoders B,C and eDP.
8174 * Adding the _A so as to reuse the _MMIO_TRANS2
8175 * definition, with which it offsets to the right location.
8176 */
8177
8178#define _ICL_VIDEO_DIP_PPS_DATA_A 0x60350
8179#define _ICL_VIDEO_DIP_PPS_DATA_B 0x61350
8180#define _ICL_VIDEO_DIP_PPS_ECC_A 0x603D4
8181#define _ICL_VIDEO_DIP_PPS_ECC_B 0x613D4
8182
f0f59a00 8183#define HSW_TVIDEO_DIP_CTL(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_CTL_A)
5cb3c1a1 8184#define HSW_TVIDEO_DIP_GCP(trans) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GCP_A)
f0f59a00
VS
8185#define HSW_TVIDEO_DIP_AVI_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_AVI_DATA_A + (i) * 4)
8186#define HSW_TVIDEO_DIP_VS_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VS_DATA_A + (i) * 4)
8187#define HSW_TVIDEO_DIP_SPD_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_SPD_DATA_A + (i) * 4)
5cb3c1a1 8188#define HSW_TVIDEO_DIP_GMP_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_GMP_DATA_A + (i) * 4)
f0f59a00 8189#define HSW_TVIDEO_DIP_VSC_DATA(trans, i) _MMIO_TRANS2(trans, _HSW_VIDEO_DIP_VSC_DATA_A + (i) * 4)
7af2be6d
AS
8190#define ICL_VIDEO_DIP_PPS_DATA(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_DATA_A + (i) * 4)
8191#define ICL_VIDEO_DIP_PPS_ECC(trans, i) _MMIO_TRANS2(trans, _ICL_VIDEO_DIP_PPS_ECC_A + (i) * 4)
f0f59a00
VS
8192
8193#define _HSW_STEREO_3D_CTL_A 0x70020
5ee8ee86 8194#define S3D_ENABLE (1 << 31)
f0f59a00
VS
8195#define _HSW_STEREO_3D_CTL_B 0x71020
8196
8197#define HSW_STEREO_3D_CTL(trans) _MMIO_PIPE2(trans, _HSW_STEREO_3D_CTL_A)
3f51e471 8198
275f01b2
DV
8199#define _PCH_TRANS_HTOTAL_B 0xe1000
8200#define _PCH_TRANS_HBLANK_B 0xe1004
8201#define _PCH_TRANS_HSYNC_B 0xe1008
8202#define _PCH_TRANS_VTOTAL_B 0xe100c
8203#define _PCH_TRANS_VBLANK_B 0xe1010
8204#define _PCH_TRANS_VSYNC_B 0xe1014
f0f59a00 8205#define _PCH_TRANS_VSYNCSHIFT_B 0xe1028
275f01b2 8206
f0f59a00
VS
8207#define PCH_TRANS_HTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HTOTAL_A, _PCH_TRANS_HTOTAL_B)
8208#define PCH_TRANS_HBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HBLANK_A, _PCH_TRANS_HBLANK_B)
8209#define PCH_TRANS_HSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_HSYNC_A, _PCH_TRANS_HSYNC_B)
8210#define PCH_TRANS_VTOTAL(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VTOTAL_A, _PCH_TRANS_VTOTAL_B)
8211#define PCH_TRANS_VBLANK(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VBLANK_A, _PCH_TRANS_VBLANK_B)
8212#define PCH_TRANS_VSYNC(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNC_A, _PCH_TRANS_VSYNC_B)
8213#define PCH_TRANS_VSYNCSHIFT(pipe) _MMIO_PIPE(pipe, _PCH_TRANS_VSYNCSHIFT_A, _PCH_TRANS_VSYNCSHIFT_B)
9db4a9c7 8214
e3b95f1e
DV
8215#define _PCH_TRANSB_DATA_M1 0xe1030
8216#define _PCH_TRANSB_DATA_N1 0xe1034
8217#define _PCH_TRANSB_DATA_M2 0xe1038
8218#define _PCH_TRANSB_DATA_N2 0xe103c
8219#define _PCH_TRANSB_LINK_M1 0xe1040
8220#define _PCH_TRANSB_LINK_N1 0xe1044
8221#define _PCH_TRANSB_LINK_M2 0xe1048
8222#define _PCH_TRANSB_LINK_N2 0xe104c
8223
f0f59a00
VS
8224#define PCH_TRANS_DATA_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M1, _PCH_TRANSB_DATA_M1)
8225#define PCH_TRANS_DATA_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N1, _PCH_TRANSB_DATA_N1)
8226#define PCH_TRANS_DATA_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_M2, _PCH_TRANSB_DATA_M2)
8227#define PCH_TRANS_DATA_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_DATA_N2, _PCH_TRANSB_DATA_N2)
8228#define PCH_TRANS_LINK_M1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M1, _PCH_TRANSB_LINK_M1)
8229#define PCH_TRANS_LINK_N1(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N1, _PCH_TRANSB_LINK_N1)
8230#define PCH_TRANS_LINK_M2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_M2, _PCH_TRANSB_LINK_M2)
8231#define PCH_TRANS_LINK_N2(pipe) _MMIO_PIPE(pipe, _PCH_TRANSA_LINK_N2, _PCH_TRANSB_LINK_N2)
9db4a9c7 8232
ab9412ba
DV
8233#define _PCH_TRANSACONF 0xf0008
8234#define _PCH_TRANSBCONF 0xf1008
f0f59a00
VS
8235#define PCH_TRANSCONF(pipe) _MMIO_PIPE(pipe, _PCH_TRANSACONF, _PCH_TRANSBCONF)
8236#define LPT_TRANSCONF PCH_TRANSCONF(PIPE_A) /* lpt has only one transcoder */
5ee8ee86
PZ
8237#define TRANS_DISABLE (0 << 31)
8238#define TRANS_ENABLE (1 << 31)
8239#define TRANS_STATE_MASK (1 << 30)
8240#define TRANS_STATE_DISABLE (0 << 30)
8241#define TRANS_STATE_ENABLE (1 << 30)
8242#define TRANS_FSYNC_DELAY_HB1 (0 << 27)
8243#define TRANS_FSYNC_DELAY_HB2 (1 << 27)
8244#define TRANS_FSYNC_DELAY_HB3 (2 << 27)
8245#define TRANS_FSYNC_DELAY_HB4 (3 << 27)
8246#define TRANS_INTERLACE_MASK (7 << 21)
8247#define TRANS_PROGRESSIVE (0 << 21)
8248#define TRANS_INTERLACED (3 << 21)
8249#define TRANS_LEGACY_INTERLACED_ILK (2 << 21)
8250#define TRANS_8BPC (0 << 5)
8251#define TRANS_10BPC (1 << 5)
8252#define TRANS_6BPC (2 << 5)
8253#define TRANS_12BPC (3 << 5)
b9055052 8254
ce40141f
DV
8255#define _TRANSA_CHICKEN1 0xf0060
8256#define _TRANSB_CHICKEN1 0xf1060
f0f59a00 8257#define TRANS_CHICKEN1(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN1, _TRANSB_CHICKEN1)
5ee8ee86
PZ
8258#define TRANS_CHICKEN1_HDMIUNIT_GC_DISABLE (1 << 10)
8259#define TRANS_CHICKEN1_DP0UNIT_GC_DISABLE (1 << 4)
3bcf603f
JB
8260#define _TRANSA_CHICKEN2 0xf0064
8261#define _TRANSB_CHICKEN2 0xf1064
f0f59a00 8262#define TRANS_CHICKEN2(pipe) _MMIO_PIPE(pipe, _TRANSA_CHICKEN2, _TRANSB_CHICKEN2)
5ee8ee86
PZ
8263#define TRANS_CHICKEN2_TIMING_OVERRIDE (1 << 31)
8264#define TRANS_CHICKEN2_FDI_POLARITY_REVERSED (1 << 29)
8265#define TRANS_CHICKEN2_FRAME_START_DELAY_MASK (3 << 27)
8266#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_COUNTER (1 << 26)
8267#define TRANS_CHICKEN2_DISABLE_DEEP_COLOR_MODESWITCH (1 << 25)
3bcf603f 8268
f0f59a00 8269#define SOUTH_CHICKEN1 _MMIO(0xc2000)
291427f5
JB
8270#define FDIA_PHASE_SYNC_SHIFT_OVR 19
8271#define FDIA_PHASE_SYNC_SHIFT_EN 18
5ee8ee86
PZ
8272#define FDI_PHASE_SYNC_OVR(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_OVR - ((pipe) * 2)))
8273#define FDI_PHASE_SYNC_EN(pipe) (1 << (FDIA_PHASE_SYNC_SHIFT_EN - ((pipe) * 2)))
01a415fd 8274#define FDI_BC_BIFURCATION_SELECT (1 << 12)
3b92e263
RV
8275#define CHASSIS_CLK_REQ_DURATION_MASK (0xf << 8)
8276#define CHASSIS_CLK_REQ_DURATION(x) ((x) << 8)
5ee8ee86 8277#define SPT_PWM_GRANULARITY (1 << 0)
f0f59a00 8278#define SOUTH_CHICKEN2 _MMIO(0xc2004)
5ee8ee86
PZ
8279#define FDI_MPHY_IOSFSB_RESET_STATUS (1 << 13)
8280#define FDI_MPHY_IOSFSB_RESET_CTL (1 << 12)
8281#define LPT_PWM_GRANULARITY (1 << 5)
8282#define DPLS_EDP_PPS_FIX_DIS (1 << 0)
645c62a5 8283
f0f59a00
VS
8284#define _FDI_RXA_CHICKEN 0xc200c
8285#define _FDI_RXB_CHICKEN 0xc2010
5ee8ee86
PZ
8286#define FDI_RX_PHASE_SYNC_POINTER_OVR (1 << 1)
8287#define FDI_RX_PHASE_SYNC_POINTER_EN (1 << 0)
f0f59a00 8288#define FDI_RX_CHICKEN(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CHICKEN, _FDI_RXB_CHICKEN)
b9055052 8289
f0f59a00 8290#define SOUTH_DSPCLK_GATE_D _MMIO(0xc2020)
5ee8ee86
PZ
8291#define PCH_GMBUSUNIT_CLOCK_GATE_DISABLE (1 << 31)
8292#define PCH_DPLUNIT_CLOCK_GATE_DISABLE (1 << 30)
8293#define PCH_DPLSUNIT_CLOCK_GATE_DISABLE (1 << 29)
8294#define PCH_CPUNIT_CLOCK_GATE_DISABLE (1 << 14)
8295#define CNP_PWM_CGE_GATING_DISABLE (1 << 13)
8296#define PCH_LP_PARTITION_LEVEL_DISABLE (1 << 12)
382b0936 8297
b9055052 8298/* CPU: FDI_TX */
f0f59a00
VS
8299#define _FDI_TXA_CTL 0x60100
8300#define _FDI_TXB_CTL 0x61100
8301#define FDI_TX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_TXA_CTL, _FDI_TXB_CTL)
5ee8ee86
PZ
8302#define FDI_TX_DISABLE (0 << 31)
8303#define FDI_TX_ENABLE (1 << 31)
8304#define FDI_LINK_TRAIN_PATTERN_1 (0 << 28)
8305#define FDI_LINK_TRAIN_PATTERN_2 (1 << 28)
8306#define FDI_LINK_TRAIN_PATTERN_IDLE (2 << 28)
8307#define FDI_LINK_TRAIN_NONE (3 << 28)
8308#define FDI_LINK_TRAIN_VOLTAGE_0_4V (0 << 25)
8309#define FDI_LINK_TRAIN_VOLTAGE_0_6V (1 << 25)
8310#define FDI_LINK_TRAIN_VOLTAGE_0_8V (2 << 25)
8311#define FDI_LINK_TRAIN_VOLTAGE_1_2V (3 << 25)
8312#define FDI_LINK_TRAIN_PRE_EMPHASIS_NONE (0 << 22)
8313#define FDI_LINK_TRAIN_PRE_EMPHASIS_1_5X (1 << 22)
8314#define FDI_LINK_TRAIN_PRE_EMPHASIS_2X (2 << 22)
8315#define FDI_LINK_TRAIN_PRE_EMPHASIS_3X (3 << 22)
8db9d77b
ZW
8316/* ILK always use 400mV 0dB for voltage swing and pre-emphasis level.
8317 SNB has different settings. */
8318/* SNB A-stepping */
5ee8ee86
PZ
8319#define FDI_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8320#define FDI_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8321#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8322#define FDI_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8323/* SNB B-stepping */
5ee8ee86
PZ
8324#define FDI_LINK_TRAIN_400MV_0DB_SNB_B (0x0 << 22)
8325#define FDI_LINK_TRAIN_400MV_6DB_SNB_B (0x3a << 22)
8326#define FDI_LINK_TRAIN_600MV_3_5DB_SNB_B (0x39 << 22)
8327#define FDI_LINK_TRAIN_800MV_0DB_SNB_B (0x38 << 22)
8328#define FDI_LINK_TRAIN_VOL_EMP_MASK (0x3f << 22)
627eb5a3
DV
8329#define FDI_DP_PORT_WIDTH_SHIFT 19
8330#define FDI_DP_PORT_WIDTH_MASK (7 << FDI_DP_PORT_WIDTH_SHIFT)
8331#define FDI_DP_PORT_WIDTH(width) (((width) - 1) << FDI_DP_PORT_WIDTH_SHIFT)
5ee8ee86 8332#define FDI_TX_ENHANCE_FRAME_ENABLE (1 << 18)
f2b115e6 8333/* Ironlake: hardwired to 1 */
5ee8ee86 8334#define FDI_TX_PLL_ENABLE (1 << 14)
357555c0
JB
8335
8336/* Ivybridge has different bits for lolz */
5ee8ee86
PZ
8337#define FDI_LINK_TRAIN_PATTERN_1_IVB (0 << 8)
8338#define FDI_LINK_TRAIN_PATTERN_2_IVB (1 << 8)
8339#define FDI_LINK_TRAIN_PATTERN_IDLE_IVB (2 << 8)
8340#define FDI_LINK_TRAIN_NONE_IVB (3 << 8)
357555c0 8341
b9055052 8342/* both Tx and Rx */
5ee8ee86
PZ
8343#define FDI_COMPOSITE_SYNC (1 << 11)
8344#define FDI_LINK_TRAIN_AUTO (1 << 10)
8345#define FDI_SCRAMBLING_ENABLE (0 << 7)
8346#define FDI_SCRAMBLING_DISABLE (1 << 7)
b9055052
ZW
8347
8348/* FDI_RX, FDI_X is hard-wired to Transcoder_X */
9db4a9c7
JB
8349#define _FDI_RXA_CTL 0xf000c
8350#define _FDI_RXB_CTL 0xf100c
f0f59a00 8351#define FDI_RX_CTL(pipe) _MMIO_PIPE(pipe, _FDI_RXA_CTL, _FDI_RXB_CTL)
5ee8ee86 8352#define FDI_RX_ENABLE (1 << 31)
b9055052 8353/* train, dp width same as FDI_TX */
5ee8ee86
PZ
8354#define FDI_FS_ERRC_ENABLE (1 << 27)
8355#define FDI_FE_ERRC_ENABLE (1 << 26)
8356#define FDI_RX_POLARITY_REVERSED_LPT (1 << 16)
8357#define FDI_8BPC (0 << 16)
8358#define FDI_10BPC (1 << 16)
8359#define FDI_6BPC (2 << 16)
8360#define FDI_12BPC (3 << 16)
8361#define FDI_RX_LINK_REVERSAL_OVERRIDE (1 << 15)
8362#define FDI_DMI_LINK_REVERSE_MASK (1 << 14)
8363#define FDI_RX_PLL_ENABLE (1 << 13)
8364#define FDI_FS_ERR_CORRECT_ENABLE (1 << 11)
8365#define FDI_FE_ERR_CORRECT_ENABLE (1 << 10)
8366#define FDI_FS_ERR_REPORT_ENABLE (1 << 9)
8367#define FDI_FE_ERR_REPORT_ENABLE (1 << 8)
8368#define FDI_RX_ENHANCE_FRAME_ENABLE (1 << 6)
8369#define FDI_PCDCLK (1 << 4)
8db9d77b 8370/* CPT */
5ee8ee86
PZ
8371#define FDI_AUTO_TRAINING (1 << 10)
8372#define FDI_LINK_TRAIN_PATTERN_1_CPT (0 << 8)
8373#define FDI_LINK_TRAIN_PATTERN_2_CPT (1 << 8)
8374#define FDI_LINK_TRAIN_PATTERN_IDLE_CPT (2 << 8)
8375#define FDI_LINK_TRAIN_NORMAL_CPT (3 << 8)
8376#define FDI_LINK_TRAIN_PATTERN_MASK_CPT (3 << 8)
b9055052 8377
04945641
PZ
8378#define _FDI_RXA_MISC 0xf0010
8379#define _FDI_RXB_MISC 0xf1010
5ee8ee86
PZ
8380#define FDI_RX_PWRDN_LANE1_MASK (3 << 26)
8381#define FDI_RX_PWRDN_LANE1_VAL(x) ((x) << 26)
8382#define FDI_RX_PWRDN_LANE0_MASK (3 << 24)
8383#define FDI_RX_PWRDN_LANE0_VAL(x) ((x) << 24)
8384#define FDI_RX_TP1_TO_TP2_48 (2 << 20)
8385#define FDI_RX_TP1_TO_TP2_64 (3 << 20)
8386#define FDI_RX_FDI_DELAY_90 (0x90 << 0)
f0f59a00 8387#define FDI_RX_MISC(pipe) _MMIO_PIPE(pipe, _FDI_RXA_MISC, _FDI_RXB_MISC)
04945641 8388
f0f59a00
VS
8389#define _FDI_RXA_TUSIZE1 0xf0030
8390#define _FDI_RXA_TUSIZE2 0xf0038
8391#define _FDI_RXB_TUSIZE1 0xf1030
8392#define _FDI_RXB_TUSIZE2 0xf1038
8393#define FDI_RX_TUSIZE1(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE1, _FDI_RXB_TUSIZE1)
8394#define FDI_RX_TUSIZE2(pipe) _MMIO_PIPE(pipe, _FDI_RXA_TUSIZE2, _FDI_RXB_TUSIZE2)
b9055052
ZW
8395
8396/* FDI_RX interrupt register format */
5ee8ee86
PZ
8397#define FDI_RX_INTER_LANE_ALIGN (1 << 10)
8398#define FDI_RX_SYMBOL_LOCK (1 << 9) /* train 2 */
8399#define FDI_RX_BIT_LOCK (1 << 8) /* train 1 */
8400#define FDI_RX_TRAIN_PATTERN_2_FAIL (1 << 7)
8401#define FDI_RX_FS_CODE_ERR (1 << 6)
8402#define FDI_RX_FE_CODE_ERR (1 << 5)
8403#define FDI_RX_SYMBOL_ERR_RATE_ABOVE (1 << 4)
8404#define FDI_RX_HDCP_LINK_FAIL (1 << 3)
8405#define FDI_RX_PIXEL_FIFO_OVERFLOW (1 << 2)
8406#define FDI_RX_CROSS_CLOCK_OVERFLOW (1 << 1)
8407#define FDI_RX_SYMBOL_QUEUE_OVERFLOW (1 << 0)
b9055052 8408
f0f59a00
VS
8409#define _FDI_RXA_IIR 0xf0014
8410#define _FDI_RXA_IMR 0xf0018
8411#define _FDI_RXB_IIR 0xf1014
8412#define _FDI_RXB_IMR 0xf1018
8413#define FDI_RX_IIR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IIR, _FDI_RXB_IIR)
8414#define FDI_RX_IMR(pipe) _MMIO_PIPE(pipe, _FDI_RXA_IMR, _FDI_RXB_IMR)
b9055052 8415
f0f59a00
VS
8416#define FDI_PLL_CTL_1 _MMIO(0xfe000)
8417#define FDI_PLL_CTL_2 _MMIO(0xfe004)
b9055052 8418
f0f59a00 8419#define PCH_LVDS _MMIO(0xe1180)
b9055052
ZW
8420#define LVDS_DETECTED (1 << 1)
8421
f0f59a00
VS
8422#define _PCH_DP_B 0xe4100
8423#define PCH_DP_B _MMIO(_PCH_DP_B)
750a951f
VS
8424#define _PCH_DPB_AUX_CH_CTL 0xe4110
8425#define _PCH_DPB_AUX_CH_DATA1 0xe4114
8426#define _PCH_DPB_AUX_CH_DATA2 0xe4118
8427#define _PCH_DPB_AUX_CH_DATA3 0xe411c
8428#define _PCH_DPB_AUX_CH_DATA4 0xe4120
8429#define _PCH_DPB_AUX_CH_DATA5 0xe4124
5eb08b69 8430
f0f59a00
VS
8431#define _PCH_DP_C 0xe4200
8432#define PCH_DP_C _MMIO(_PCH_DP_C)
750a951f
VS
8433#define _PCH_DPC_AUX_CH_CTL 0xe4210
8434#define _PCH_DPC_AUX_CH_DATA1 0xe4214
8435#define _PCH_DPC_AUX_CH_DATA2 0xe4218
8436#define _PCH_DPC_AUX_CH_DATA3 0xe421c
8437#define _PCH_DPC_AUX_CH_DATA4 0xe4220
8438#define _PCH_DPC_AUX_CH_DATA5 0xe4224
5eb08b69 8439
f0f59a00
VS
8440#define _PCH_DP_D 0xe4300
8441#define PCH_DP_D _MMIO(_PCH_DP_D)
750a951f
VS
8442#define _PCH_DPD_AUX_CH_CTL 0xe4310
8443#define _PCH_DPD_AUX_CH_DATA1 0xe4314
8444#define _PCH_DPD_AUX_CH_DATA2 0xe4318
8445#define _PCH_DPD_AUX_CH_DATA3 0xe431c
8446#define _PCH_DPD_AUX_CH_DATA4 0xe4320
8447#define _PCH_DPD_AUX_CH_DATA5 0xe4324
8448
bdabdb63
VS
8449#define PCH_DP_AUX_CH_CTL(aux_ch) _MMIO_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_CTL, _PCH_DPC_AUX_CH_CTL)
8450#define PCH_DP_AUX_CH_DATA(aux_ch, i) _MMIO(_PORT((aux_ch) - AUX_CH_B, _PCH_DPB_AUX_CH_DATA1, _PCH_DPC_AUX_CH_DATA1) + (i) * 4) /* 5 registers */
5eb08b69 8451
8db9d77b 8452/* CPT */
086f8e84
VS
8453#define _TRANS_DP_CTL_A 0xe0300
8454#define _TRANS_DP_CTL_B 0xe1300
8455#define _TRANS_DP_CTL_C 0xe2300
f0f59a00 8456#define TRANS_DP_CTL(pipe) _MMIO_PIPE(pipe, _TRANS_DP_CTL_A, _TRANS_DP_CTL_B)
5ee8ee86 8457#define TRANS_DP_OUTPUT_ENABLE (1 << 31)
f67dc6d8
VS
8458#define TRANS_DP_PORT_SEL_MASK (3 << 29)
8459#define TRANS_DP_PORT_SEL_NONE (3 << 29)
8460#define TRANS_DP_PORT_SEL(port) (((port) - PORT_B) << 29)
5ee8ee86
PZ
8461#define TRANS_DP_AUDIO_ONLY (1 << 26)
8462#define TRANS_DP_ENH_FRAMING (1 << 18)
8463#define TRANS_DP_8BPC (0 << 9)
8464#define TRANS_DP_10BPC (1 << 9)
8465#define TRANS_DP_6BPC (2 << 9)
8466#define TRANS_DP_12BPC (3 << 9)
8467#define TRANS_DP_BPC_MASK (3 << 9)
8468#define TRANS_DP_VSYNC_ACTIVE_HIGH (1 << 4)
8db9d77b 8469#define TRANS_DP_VSYNC_ACTIVE_LOW 0
5ee8ee86 8470#define TRANS_DP_HSYNC_ACTIVE_HIGH (1 << 3)
8db9d77b 8471#define TRANS_DP_HSYNC_ACTIVE_LOW 0
5ee8ee86 8472#define TRANS_DP_SYNC_MASK (3 << 3)
8db9d77b
ZW
8473
8474/* SNB eDP training params */
8475/* SNB A-stepping */
5ee8ee86
PZ
8476#define EDP_LINK_TRAIN_400MV_0DB_SNB_A (0x38 << 22)
8477#define EDP_LINK_TRAIN_400MV_6DB_SNB_A (0x02 << 22)
8478#define EDP_LINK_TRAIN_600MV_3_5DB_SNB_A (0x01 << 22)
8479#define EDP_LINK_TRAIN_800MV_0DB_SNB_A (0x0 << 22)
8db9d77b 8480/* SNB B-stepping */
5ee8ee86
PZ
8481#define EDP_LINK_TRAIN_400_600MV_0DB_SNB_B (0x0 << 22)
8482#define EDP_LINK_TRAIN_400MV_3_5DB_SNB_B (0x1 << 22)
8483#define EDP_LINK_TRAIN_400_600MV_6DB_SNB_B (0x3a << 22)
8484#define EDP_LINK_TRAIN_600_800MV_3_5DB_SNB_B (0x39 << 22)
8485#define EDP_LINK_TRAIN_800_1200MV_0DB_SNB_B (0x38 << 22)
8486#define EDP_LINK_TRAIN_VOL_EMP_MASK_SNB (0x3f << 22)
8db9d77b 8487
1a2eb460 8488/* IVB */
5ee8ee86
PZ
8489#define EDP_LINK_TRAIN_400MV_0DB_IVB (0x24 << 22)
8490#define EDP_LINK_TRAIN_400MV_3_5DB_IVB (0x2a << 22)
8491#define EDP_LINK_TRAIN_400MV_6DB_IVB (0x2f << 22)
8492#define EDP_LINK_TRAIN_600MV_0DB_IVB (0x30 << 22)
8493#define EDP_LINK_TRAIN_600MV_3_5DB_IVB (0x36 << 22)
8494#define EDP_LINK_TRAIN_800MV_0DB_IVB (0x38 << 22)
8495#define EDP_LINK_TRAIN_800MV_3_5DB_IVB (0x3e << 22)
1a2eb460
KP
8496
8497/* legacy values */
5ee8ee86
PZ
8498#define EDP_LINK_TRAIN_500MV_0DB_IVB (0x00 << 22)
8499#define EDP_LINK_TRAIN_1000MV_0DB_IVB (0x20 << 22)
8500#define EDP_LINK_TRAIN_500MV_3_5DB_IVB (0x02 << 22)
8501#define EDP_LINK_TRAIN_1000MV_3_5DB_IVB (0x22 << 22)
8502#define EDP_LINK_TRAIN_1000MV_6DB_IVB (0x23 << 22)
1a2eb460 8503
5ee8ee86 8504#define EDP_LINK_TRAIN_VOL_EMP_MASK_IVB (0x3f << 22)
1a2eb460 8505
f0f59a00 8506#define VLV_PMWGICZ _MMIO(0x1300a4)
9e72b46c 8507
274008e8
SAK
8508#define RC6_LOCATION _MMIO(0xD40)
8509#define RC6_CTX_IN_DRAM (1 << 0)
8510#define RC6_CTX_BASE _MMIO(0xD48)
8511#define RC6_CTX_BASE_MASK 0xFFFFFFF0
8512#define PWRCTX_MAXCNT_RCSUNIT _MMIO(0x2054)
8513#define PWRCTX_MAXCNT_VCSUNIT0 _MMIO(0x12054)
8514#define PWRCTX_MAXCNT_BCSUNIT _MMIO(0x22054)
8515#define PWRCTX_MAXCNT_VECSUNIT _MMIO(0x1A054)
8516#define PWRCTX_MAXCNT_VCSUNIT1 _MMIO(0x1C054)
8517#define IDLE_TIME_MASK 0xFFFFF
f0f59a00
VS
8518#define FORCEWAKE _MMIO(0xA18C)
8519#define FORCEWAKE_VLV _MMIO(0x1300b0)
8520#define FORCEWAKE_ACK_VLV _MMIO(0x1300b4)
8521#define FORCEWAKE_MEDIA_VLV _MMIO(0x1300b8)
8522#define FORCEWAKE_ACK_MEDIA_VLV _MMIO(0x1300bc)
8523#define FORCEWAKE_ACK_HSW _MMIO(0x130044)
8524#define FORCEWAKE_ACK _MMIO(0x130090)
8525#define VLV_GTLC_WAKE_CTRL _MMIO(0x130090)
981a5aea
ID
8526#define VLV_GTLC_RENDER_CTX_EXISTS (1 << 25)
8527#define VLV_GTLC_MEDIA_CTX_EXISTS (1 << 24)
8528#define VLV_GTLC_ALLOWWAKEREQ (1 << 0)
8529
f0f59a00 8530#define VLV_GTLC_PW_STATUS _MMIO(0x130094)
981a5aea
ID
8531#define VLV_GTLC_ALLOWWAKEACK (1 << 0)
8532#define VLV_GTLC_ALLOWWAKEERR (1 << 1)
8533#define VLV_GTLC_PW_MEDIA_STATUS_MASK (1 << 5)
8534#define VLV_GTLC_PW_RENDER_STATUS_MASK (1 << 7)
f0f59a00
VS
8535#define FORCEWAKE_MT _MMIO(0xa188) /* multi-threaded */
8536#define FORCEWAKE_MEDIA_GEN9 _MMIO(0xa270)
a89a70a8
DCS
8537#define FORCEWAKE_MEDIA_VDBOX_GEN11(n) _MMIO(0xa540 + (n) * 4)
8538#define FORCEWAKE_MEDIA_VEBOX_GEN11(n) _MMIO(0xa560 + (n) * 4)
f0f59a00
VS
8539#define FORCEWAKE_RENDER_GEN9 _MMIO(0xa278)
8540#define FORCEWAKE_BLITTER_GEN9 _MMIO(0xa188)
8541#define FORCEWAKE_ACK_MEDIA_GEN9 _MMIO(0x0D88)
a89a70a8
DCS
8542#define FORCEWAKE_ACK_MEDIA_VDBOX_GEN11(n) _MMIO(0x0D50 + (n) * 4)
8543#define FORCEWAKE_ACK_MEDIA_VEBOX_GEN11(n) _MMIO(0x0D70 + (n) * 4)
f0f59a00
VS
8544#define FORCEWAKE_ACK_RENDER_GEN9 _MMIO(0x0D84)
8545#define FORCEWAKE_ACK_BLITTER_GEN9 _MMIO(0x130044)
71306303
MK
8546#define FORCEWAKE_KERNEL BIT(0)
8547#define FORCEWAKE_USER BIT(1)
8548#define FORCEWAKE_KERNEL_FALLBACK BIT(15)
f0f59a00
VS
8549#define FORCEWAKE_MT_ACK _MMIO(0x130040)
8550#define ECOBUS _MMIO(0xa180)
5ee8ee86 8551#define FORCEWAKE_MT_ENABLE (1 << 5)
f0f59a00 8552#define VLV_SPAREG2H _MMIO(0xA194)
f2dd7578
AG
8553#define GEN9_PWRGT_DOMAIN_STATUS _MMIO(0xA2A0)
8554#define GEN9_PWRGT_MEDIA_STATUS_MASK (1 << 0)
8555#define GEN9_PWRGT_RENDER_STATUS_MASK (1 << 1)
8fd26859 8556
f0f59a00 8557#define GTFIFODBG _MMIO(0x120000)
297b32ec
VS
8558#define GT_FIFO_SBDEDICATE_FREE_ENTRY_CHV (0x1f << 20)
8559#define GT_FIFO_FREE_ENTRIES_CHV (0x7f << 13)
5ee8ee86
PZ
8560#define GT_FIFO_SBDROPERR (1 << 6)
8561#define GT_FIFO_BLOBDROPERR (1 << 5)
8562#define GT_FIFO_SB_READ_ABORTERR (1 << 4)
8563#define GT_FIFO_DROPERR (1 << 3)
8564#define GT_FIFO_OVFERR (1 << 2)
8565#define GT_FIFO_IAWRERR (1 << 1)
8566#define GT_FIFO_IARDERR (1 << 0)
dd202c6d 8567
f0f59a00 8568#define GTFIFOCTL _MMIO(0x120008)
46520e2b 8569#define GT_FIFO_FREE_ENTRIES_MASK 0x7f
95736720 8570#define GT_FIFO_NUM_RESERVED_ENTRIES 20
a04f90a3
D
8571#define GT_FIFO_CTL_BLOCK_ALL_POLICY_STALL (1 << 12)
8572#define GT_FIFO_CTL_RC6_POLICY_STALL (1 << 11)
91355834 8573
f0f59a00 8574#define HSW_IDICR _MMIO(0x9008)
05e21cc4 8575#define IDIHASHMSK(x) (((x) & 0x3f) << 16)
3accaf7e 8576#define HSW_EDRAM_CAP _MMIO(0x120010)
2db59d53 8577#define EDRAM_ENABLED 0x1
c02e85a0
MK
8578#define EDRAM_NUM_BANKS(cap) (((cap) >> 1) & 0xf)
8579#define EDRAM_WAYS_IDX(cap) (((cap) >> 5) & 0x7)
8580#define EDRAM_SETS_IDX(cap) (((cap) >> 8) & 0x3)
05e21cc4 8581
f0f59a00 8582#define GEN6_UCGCTL1 _MMIO(0x9400)
8aeb7f62 8583# define GEN6_GAMUNIT_CLOCK_GATE_DISABLE (1 << 22)
e4443e45 8584# define GEN6_EU_TCUNIT_CLOCK_GATE_DISABLE (1 << 16)
80e829fa 8585# define GEN6_BLBUNIT_CLOCK_GATE_DISABLE (1 << 5)
de4a8bd1 8586# define GEN6_CSUNIT_CLOCK_GATE_DISABLE (1 << 7)
80e829fa 8587
f0f59a00 8588#define GEN6_UCGCTL2 _MMIO(0x9404)
f9fc42f4 8589# define GEN6_VFUNIT_CLOCK_GATE_DISABLE (1 << 31)
0f846f81 8590# define GEN7_VDSUNIT_CLOCK_GATE_DISABLE (1 << 30)
6edaa7fc 8591# define GEN7_TDLUNIT_CLOCK_GATE_DISABLE (1 << 22)
eae66b50 8592# define GEN6_RCZUNIT_CLOCK_GATE_DISABLE (1 << 13)
406478dc 8593# define GEN6_RCPBUNIT_CLOCK_GATE_DISABLE (1 << 12)
9ca1d10d 8594# define GEN6_RCCUNIT_CLOCK_GATE_DISABLE (1 << 11)
406478dc 8595
f0f59a00 8596#define GEN6_UCGCTL3 _MMIO(0x9408)
d7965152 8597# define GEN6_OACSUNIT_CLOCK_GATE_DISABLE (1 << 20)
9e72b46c 8598
f0f59a00 8599#define GEN7_UCGCTL4 _MMIO(0x940c)
5ee8ee86
PZ
8600#define GEN7_L3BANK2X_CLOCK_GATE_DISABLE (1 << 25)
8601#define GEN8_EU_GAUNIT_CLOCK_GATE_DISABLE (1 << 14)
e3f33d46 8602
f0f59a00
VS
8603#define GEN6_RCGCTL1 _MMIO(0x9410)
8604#define GEN6_RCGCTL2 _MMIO(0x9414)
8605#define GEN6_RSTCTL _MMIO(0x9420)
9e72b46c 8606
f0f59a00 8607#define GEN8_UCGCTL6 _MMIO(0x9430)
5ee8ee86
PZ
8608#define GEN8_GAPSUNIT_CLOCK_GATE_DISABLE (1 << 24)
8609#define GEN8_SDEUNIT_CLOCK_GATE_DISABLE (1 << 14)
8610#define GEN8_HDCUNIT_CLOCK_GATE_DISABLE_HDCREQ (1 << 28)
4f1ca9e9 8611
f0f59a00
VS
8612#define GEN6_GFXPAUSE _MMIO(0xA000)
8613#define GEN6_RPNSWREQ _MMIO(0xA008)
5ee8ee86
PZ
8614#define GEN6_TURBO_DISABLE (1 << 31)
8615#define GEN6_FREQUENCY(x) ((x) << 25)
8616#define HSW_FREQUENCY(x) ((x) << 24)
8617#define GEN9_FREQUENCY(x) ((x) << 23)
8618#define GEN6_OFFSET(x) ((x) << 19)
8619#define GEN6_AGGRESSIVE_TURBO (0 << 15)
f0f59a00
VS
8620#define GEN6_RC_VIDEO_FREQ _MMIO(0xA00C)
8621#define GEN6_RC_CONTROL _MMIO(0xA090)
5ee8ee86
PZ
8622#define GEN6_RC_CTL_RC6pp_ENABLE (1 << 16)
8623#define GEN6_RC_CTL_RC6p_ENABLE (1 << 17)
8624#define GEN6_RC_CTL_RC6_ENABLE (1 << 18)
8625#define GEN6_RC_CTL_RC1e_ENABLE (1 << 20)
8626#define GEN6_RC_CTL_RC7_ENABLE (1 << 22)
8627#define VLV_RC_CTL_CTX_RST_PARALLEL (1 << 24)
8628#define GEN7_RC_CTL_TO_MODE (1 << 28)
8629#define GEN6_RC_CTL_EI_MODE(x) ((x) << 27)
8630#define GEN6_RC_CTL_HW_ENABLE (1 << 31)
f0f59a00
VS
8631#define GEN6_RP_DOWN_TIMEOUT _MMIO(0xA010)
8632#define GEN6_RP_INTERRUPT_LIMITS _MMIO(0xA014)
8633#define GEN6_RPSTAT1 _MMIO(0xA01C)
ccab5c82 8634#define GEN6_CAGF_SHIFT 8
f82855d3 8635#define HSW_CAGF_SHIFT 7
de43ae9d 8636#define GEN9_CAGF_SHIFT 23
ccab5c82 8637#define GEN6_CAGF_MASK (0x7f << GEN6_CAGF_SHIFT)
f82855d3 8638#define HSW_CAGF_MASK (0x7f << HSW_CAGF_SHIFT)
de43ae9d 8639#define GEN9_CAGF_MASK (0x1ff << GEN9_CAGF_SHIFT)
f0f59a00 8640#define GEN6_RP_CONTROL _MMIO(0xA024)
5ee8ee86
PZ
8641#define GEN6_RP_MEDIA_TURBO (1 << 11)
8642#define GEN6_RP_MEDIA_MODE_MASK (3 << 9)
8643#define GEN6_RP_MEDIA_HW_TURBO_MODE (3 << 9)
8644#define GEN6_RP_MEDIA_HW_NORMAL_MODE (2 << 9)
8645#define GEN6_RP_MEDIA_HW_MODE (1 << 9)
8646#define GEN6_RP_MEDIA_SW_MODE (0 << 9)
8647#define GEN6_RP_MEDIA_IS_GFX (1 << 8)
8648#define GEN6_RP_ENABLE (1 << 7)
8649#define GEN6_RP_UP_IDLE_MIN (0x1 << 3)
8650#define GEN6_RP_UP_BUSY_AVG (0x2 << 3)
8651#define GEN6_RP_UP_BUSY_CONT (0x4 << 3)
8652#define GEN6_RP_DOWN_IDLE_AVG (0x2 << 0)
8653#define GEN6_RP_DOWN_IDLE_CONT (0x1 << 0)
f0f59a00
VS
8654#define GEN6_RP_UP_THRESHOLD _MMIO(0xA02C)
8655#define GEN6_RP_DOWN_THRESHOLD _MMIO(0xA030)
8656#define GEN6_RP_CUR_UP_EI _MMIO(0xA050)
7466c291
CW
8657#define GEN6_RP_EI_MASK 0xffffff
8658#define GEN6_CURICONT_MASK GEN6_RP_EI_MASK
f0f59a00 8659#define GEN6_RP_CUR_UP _MMIO(0xA054)
7466c291 8660#define GEN6_CURBSYTAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8661#define GEN6_RP_PREV_UP _MMIO(0xA058)
8662#define GEN6_RP_CUR_DOWN_EI _MMIO(0xA05C)
7466c291 8663#define GEN6_CURIAVG_MASK GEN6_RP_EI_MASK
f0f59a00
VS
8664#define GEN6_RP_CUR_DOWN _MMIO(0xA060)
8665#define GEN6_RP_PREV_DOWN _MMIO(0xA064)
8666#define GEN6_RP_UP_EI _MMIO(0xA068)
8667#define GEN6_RP_DOWN_EI _MMIO(0xA06C)
8668#define GEN6_RP_IDLE_HYSTERSIS _MMIO(0xA070)
8669#define GEN6_RPDEUHWTC _MMIO(0xA080)
8670#define GEN6_RPDEUC _MMIO(0xA084)
8671#define GEN6_RPDEUCSW _MMIO(0xA088)
8672#define GEN6_RC_STATE _MMIO(0xA094)
fc619841
ID
8673#define RC_SW_TARGET_STATE_SHIFT 16
8674#define RC_SW_TARGET_STATE_MASK (7 << RC_SW_TARGET_STATE_SHIFT)
f0f59a00
VS
8675#define GEN6_RC1_WAKE_RATE_LIMIT _MMIO(0xA098)
8676#define GEN6_RC6_WAKE_RATE_LIMIT _MMIO(0xA09C)
8677#define GEN6_RC6pp_WAKE_RATE_LIMIT _MMIO(0xA0A0)
0aab201b 8678#define GEN10_MEDIA_WAKE_RATE_LIMIT _MMIO(0xA0A0)
f0f59a00
VS
8679#define GEN6_RC_EVALUATION_INTERVAL _MMIO(0xA0A8)
8680#define GEN6_RC_IDLE_HYSTERSIS _MMIO(0xA0AC)
8681#define GEN6_RC_SLEEP _MMIO(0xA0B0)
8682#define GEN6_RCUBMABDTMR _MMIO(0xA0B0)
8683#define GEN6_RC1e_THRESHOLD _MMIO(0xA0B4)
8684#define GEN6_RC6_THRESHOLD _MMIO(0xA0B8)
8685#define GEN6_RC6p_THRESHOLD _MMIO(0xA0BC)
8686#define VLV_RCEDATA _MMIO(0xA0BC)
8687#define GEN6_RC6pp_THRESHOLD _MMIO(0xA0C0)
8688#define GEN6_PMINTRMSK _MMIO(0xA168)
5ee8ee86
PZ
8689#define GEN8_PMINTR_DISABLE_REDIRECT_TO_GUC (1 << 31)
8690#define ARAT_EXPIRED_INTRMSK (1 << 9)
fc619841 8691#define GEN8_MISC_CTRL0 _MMIO(0xA180)
f0f59a00
VS
8692#define VLV_PWRDWNUPCTL _MMIO(0xA294)
8693#define GEN9_MEDIA_PG_IDLE_HYSTERESIS _MMIO(0xA0C4)
8694#define GEN9_RENDER_PG_IDLE_HYSTERESIS _MMIO(0xA0C8)
8695#define GEN9_PG_ENABLE _MMIO(0xA210)
2ea74141
MK
8696#define GEN9_RENDER_PG_ENABLE REG_BIT(0)
8697#define GEN9_MEDIA_PG_ENABLE REG_BIT(1)
8698#define GEN11_MEDIA_SAMPLER_PG_ENABLE REG_BIT(2)
fc619841
ID
8699#define GEN8_PUSHBUS_CONTROL _MMIO(0xA248)
8700#define GEN8_PUSHBUS_ENABLE _MMIO(0xA250)
8701#define GEN8_PUSHBUS_SHIFT _MMIO(0xA25C)
8fd26859 8702
f0f59a00 8703#define VLV_CHICKEN_3 _MMIO(VLV_DISPLAY_BASE + 0x7040C)
a9da9bce
GS
8704#define PIXEL_OVERLAP_CNT_MASK (3 << 30)
8705#define PIXEL_OVERLAP_CNT_SHIFT 30
8706
f0f59a00
VS
8707#define GEN6_PMISR _MMIO(0x44020)
8708#define GEN6_PMIMR _MMIO(0x44024) /* rps_lock */
8709#define GEN6_PMIIR _MMIO(0x44028)
8710#define GEN6_PMIER _MMIO(0x4402C)
5ee8ee86
PZ
8711#define GEN6_PM_MBOX_EVENT (1 << 25)
8712#define GEN6_PM_THERMAL_EVENT (1 << 24)
917dc6b5
MK
8713
8714/*
8715 * For Gen11 these are in the upper word of the GPM_WGBOXPERF
8716 * registers. Shifting is handled on accessing the imr and ier.
8717 */
5ee8ee86
PZ
8718#define GEN6_PM_RP_DOWN_TIMEOUT (1 << 6)
8719#define GEN6_PM_RP_UP_THRESHOLD (1 << 5)
8720#define GEN6_PM_RP_DOWN_THRESHOLD (1 << 4)
8721#define GEN6_PM_RP_UP_EI_EXPIRED (1 << 2)
8722#define GEN6_PM_RP_DOWN_EI_EXPIRED (1 << 1)
4668f695
CW
8723#define GEN6_PM_RPS_EVENTS (GEN6_PM_RP_UP_EI_EXPIRED | \
8724 GEN6_PM_RP_UP_THRESHOLD | \
8725 GEN6_PM_RP_DOWN_EI_EXPIRED | \
8726 GEN6_PM_RP_DOWN_THRESHOLD | \
4912d041 8727 GEN6_PM_RP_DOWN_TIMEOUT)
8fd26859 8728
f0f59a00 8729#define GEN7_GT_SCRATCH(i) _MMIO(0x4F100 + (i) * 4)
9e72b46c
ID
8730#define GEN7_GT_SCRATCH_REG_NUM 8
8731
f0f59a00 8732#define VLV_GTLC_SURVIVABILITY_REG _MMIO(0x130098)
5ee8ee86
PZ
8733#define VLV_GFX_CLK_STATUS_BIT (1 << 3)
8734#define VLV_GFX_CLK_FORCE_ON_BIT (1 << 2)
76c3552f 8735
f0f59a00
VS
8736#define GEN6_GT_GFX_RC6_LOCKED _MMIO(0x138104)
8737#define VLV_COUNTER_CONTROL _MMIO(0x138104)
5ee8ee86
PZ
8738#define VLV_COUNT_RANGE_HIGH (1 << 15)
8739#define VLV_MEDIA_RC0_COUNT_EN (1 << 5)
8740#define VLV_RENDER_RC0_COUNT_EN (1 << 4)
8741#define VLV_MEDIA_RC6_COUNT_EN (1 << 1)
8742#define VLV_RENDER_RC6_COUNT_EN (1 << 0)
f0f59a00
VS
8743#define GEN6_GT_GFX_RC6 _MMIO(0x138108)
8744#define VLV_GT_RENDER_RC6 _MMIO(0x138108)
8745#define VLV_GT_MEDIA_RC6 _MMIO(0x13810C)
9cc19be5 8746
f0f59a00
VS
8747#define GEN6_GT_GFX_RC6p _MMIO(0x13810C)
8748#define GEN6_GT_GFX_RC6pp _MMIO(0x138110)
8749#define VLV_RENDER_C0_COUNT _MMIO(0x138118)
8750#define VLV_MEDIA_C0_COUNT _MMIO(0x13811C)
cce66a28 8751
f0f59a00 8752#define GEN6_PCODE_MAILBOX _MMIO(0x138124)
5ee8ee86 8753#define GEN6_PCODE_READY (1 << 31)
87660502
L
8754#define GEN6_PCODE_ERROR_MASK 0xFF
8755#define GEN6_PCODE_SUCCESS 0x0
8756#define GEN6_PCODE_ILLEGAL_CMD 0x1
8757#define GEN6_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x2
8758#define GEN6_PCODE_TIMEOUT 0x3
8759#define GEN6_PCODE_UNIMPLEMENTED_CMD 0xFF
8760#define GEN7_PCODE_TIMEOUT 0x2
8761#define GEN7_PCODE_ILLEGAL_DATA 0x3
8762#define GEN7_PCODE_MIN_FREQ_TABLE_GT_RATIO_OUT_OF_RANGE 0x10
3e8ddd9e
VS
8763#define GEN6_PCODE_WRITE_RC6VIDS 0x4
8764#define GEN6_PCODE_READ_RC6VIDS 0x5
9043ae02
DL
8765#define GEN6_ENCODE_RC6_VID(mv) (((mv) - 245) / 5)
8766#define GEN6_DECODE_RC6_VID(vids) (((vids) * 5) + 245)
b432e5cf 8767#define BDW_PCODE_DISPLAY_FREQ_CHANGE_REQ 0x18
57520bc5
DL
8768#define GEN9_PCODE_READ_MEM_LATENCY 0x6
8769#define GEN9_MEM_LATENCY_LEVEL_MASK 0xFF
8770#define GEN9_MEM_LATENCY_LEVEL_1_5_SHIFT 8
8771#define GEN9_MEM_LATENCY_LEVEL_2_6_SHIFT 16
8772#define GEN9_MEM_LATENCY_LEVEL_3_7_SHIFT 24
ee5e5e7a 8773#define SKL_PCODE_LOAD_HDCP_KEYS 0x5
5d96d8af
DL
8774#define SKL_PCODE_CDCLK_CONTROL 0x7
8775#define SKL_CDCLK_PREPARE_FOR_CHANGE 0x3
8776#define SKL_CDCLK_READY_FOR_CHANGE 0x1
9043ae02
DL
8777#define GEN6_PCODE_WRITE_MIN_FREQ_TABLE 0x8
8778#define GEN6_PCODE_READ_MIN_FREQ_TABLE 0x9
8779#define GEN6_READ_OC_PARAMS 0xc
515b2392
PZ
8780#define GEN6_PCODE_READ_D_COMP 0x10
8781#define GEN6_PCODE_WRITE_D_COMP 0x11
f8437dd1 8782#define HSW_PCODE_DE_WRITE_FREQ_REQ 0x17
2a114cc1 8783#define DISPLAY_IPS_CONTROL 0x19
61843f0e
VS
8784 /* See also IPS_CTL */
8785#define IPS_PCODE_CONTROL (1 << 30)
3e8ddd9e 8786#define HSW_PCODE_DYNAMIC_DUTY_CYCLE_CONTROL 0x1A
656d1b89
L
8787#define GEN9_PCODE_SAGV_CONTROL 0x21
8788#define GEN9_SAGV_DISABLE 0x0
8789#define GEN9_SAGV_IS_DISABLED 0x1
8790#define GEN9_SAGV_ENABLE 0x3
f0f59a00 8791#define GEN6_PCODE_DATA _MMIO(0x138128)
23b2f8bb 8792#define GEN6_PCODE_FREQ_IA_RATIO_SHIFT 8
3ebecd07 8793#define GEN6_PCODE_FREQ_RING_RATIO_SHIFT 16
f0f59a00 8794#define GEN6_PCODE_DATA1 _MMIO(0x13812C)
8fd26859 8795
f0f59a00 8796#define GEN6_GT_CORE_STATUS _MMIO(0x138060)
5ee8ee86 8797#define GEN6_CORE_CPD_STATE_MASK (7 << 4)
4d85529d
BW
8798#define GEN6_RCn_MASK 7
8799#define GEN6_RC0 0
8800#define GEN6_RC3 2
8801#define GEN6_RC6 3
8802#define GEN6_RC7 4
8803
f0f59a00 8804#define GEN8_GT_SLICE_INFO _MMIO(0x138064)
91bedd34
ŁD
8805#define GEN8_LSLICESTAT_MASK 0x7
8806
f0f59a00
VS
8807#define CHV_POWER_SS0_SIG1 _MMIO(0xa720)
8808#define CHV_POWER_SS1_SIG1 _MMIO(0xa728)
5ee8ee86
PZ
8809#define CHV_SS_PG_ENABLE (1 << 1)
8810#define CHV_EU08_PG_ENABLE (1 << 9)
8811#define CHV_EU19_PG_ENABLE (1 << 17)
8812#define CHV_EU210_PG_ENABLE (1 << 25)
5575f03a 8813
f0f59a00
VS
8814#define CHV_POWER_SS0_SIG2 _MMIO(0xa724)
8815#define CHV_POWER_SS1_SIG2 _MMIO(0xa72c)
5ee8ee86 8816#define CHV_EU311_PG_ENABLE (1 << 1)
5575f03a 8817
5ee8ee86 8818#define GEN9_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + (slice) * 0x4)
f8c3dcf9
RV
8819#define GEN10_SLICE_PGCTL_ACK(slice) _MMIO(0x804c + ((slice) / 3) * 0x34 + \
8820 ((slice) % 3) * 0x4)
7f992aba 8821#define GEN9_PGCTL_SLICE_ACK (1 << 0)
5ee8ee86 8822#define GEN9_PGCTL_SS_ACK(subslice) (1 << (2 + (subslice) * 2))
f8c3dcf9 8823#define GEN10_PGCTL_VALID_SS_MASK(slice) ((slice) == 0 ? 0x7F : 0x1F)
7f992aba 8824
5ee8ee86 8825#define GEN9_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + (slice) * 0x8)
f8c3dcf9
RV
8826#define GEN10_SS01_EU_PGCTL_ACK(slice) _MMIO(0x805c + ((slice) / 3) * 0x30 + \
8827 ((slice) % 3) * 0x8)
5ee8ee86 8828#define GEN9_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + (slice) * 0x8)
f8c3dcf9
RV
8829#define GEN10_SS23_EU_PGCTL_ACK(slice) _MMIO(0x8060 + ((slice) / 3) * 0x30 + \
8830 ((slice) % 3) * 0x8)
7f992aba
JM
8831#define GEN9_PGCTL_SSA_EU08_ACK (1 << 0)
8832#define GEN9_PGCTL_SSA_EU19_ACK (1 << 2)
8833#define GEN9_PGCTL_SSA_EU210_ACK (1 << 4)
8834#define GEN9_PGCTL_SSA_EU311_ACK (1 << 6)
8835#define GEN9_PGCTL_SSB_EU08_ACK (1 << 8)
8836#define GEN9_PGCTL_SSB_EU19_ACK (1 << 10)
8837#define GEN9_PGCTL_SSB_EU210_ACK (1 << 12)
8838#define GEN9_PGCTL_SSB_EU311_ACK (1 << 14)
8839
f0f59a00 8840#define GEN7_MISCCPCTL _MMIO(0x9424)
5ee8ee86
PZ
8841#define GEN7_DOP_CLOCK_GATE_ENABLE (1 << 0)
8842#define GEN8_DOP_CLOCK_GATE_CFCLK_ENABLE (1 << 2)
8843#define GEN8_DOP_CLOCK_GATE_GUC_ENABLE (1 << 4)
8844#define GEN8_DOP_CLOCK_GATE_MEDIA_ENABLE (1 << 6)
e3689190 8845
5bcebe76
OM
8846#define GEN8_GARBCNTL _MMIO(0xB004)
8847#define GEN9_GAPS_TSV_CREDIT_DISABLE (1 << 7)
8848#define GEN11_ARBITRATION_PRIO_ORDER_MASK (0x3f << 22)
d41bab68
OM
8849#define GEN11_HASH_CTRL_EXCL_MASK (0x7f << 0)
8850#define GEN11_HASH_CTRL_EXCL_BIT0 (1 << 0)
8851
8852#define GEN11_GLBLINVL _MMIO(0xB404)
8853#define GEN11_BANK_HASH_ADDR_EXCL_MASK (0x7f << 5)
8854#define GEN11_BANK_HASH_ADDR_EXCL_BIT0 (1 << 5)
245d9667 8855
d65dc3e4
OM
8856#define GEN10_DFR_RATIO_EN_AND_CHICKEN _MMIO(0x9550)
8857#define DFR_DISABLE (1 << 9)
8858
f4a35714
OM
8859#define GEN11_GACB_PERF_CTRL _MMIO(0x4B80)
8860#define GEN11_HASH_CTRL_MASK (0x3 << 12 | 0xf << 0)
8861#define GEN11_HASH_CTRL_BIT0 (1 << 0)
8862#define GEN11_HASH_CTRL_BIT4 (1 << 12)
8863
6b967dc3
OM
8864#define GEN11_LSN_UNSLCVC _MMIO(0xB43C)
8865#define GEN11_LSN_UNSLCVC_GAFS_HALF_CL2_MAXALLOC (1 << 9)
8866#define GEN11_LSN_UNSLCVC_GAFS_HALF_SF_MAXALLOC (1 << 7)
8867
f57f9371
OM
8868#define GEN10_SAMPLER_MODE _MMIO(0xE18C)
8869
e3689190 8870/* IVYBRIDGE DPF */
f0f59a00 8871#define GEN7_L3CDERRST1(slice) _MMIO(0xB008 + (slice) * 0x200) /* L3CD Error Status 1 */
5ee8ee86
PZ
8872#define GEN7_L3CDERRST1_ROW_MASK (0x7ff << 14)
8873#define GEN7_PARITY_ERROR_VALID (1 << 13)
8874#define GEN7_L3CDERRST1_BANK_MASK (3 << 11)
8875#define GEN7_L3CDERRST1_SUBBANK_MASK (7 << 8)
e3689190 8876#define GEN7_PARITY_ERROR_ROW(reg) \
9e8789ec 8877 (((reg) & GEN7_L3CDERRST1_ROW_MASK) >> 14)
e3689190 8878#define GEN7_PARITY_ERROR_BANK(reg) \
9e8789ec 8879 (((reg) & GEN7_L3CDERRST1_BANK_MASK) >> 11)
e3689190 8880#define GEN7_PARITY_ERROR_SUBBANK(reg) \
9e8789ec 8881 (((reg) & GEN7_L3CDERRST1_SUBBANK_MASK) >> 8)
5ee8ee86 8882#define GEN7_L3CDERRST1_ENABLE (1 << 7)
e3689190 8883
f0f59a00 8884#define GEN7_L3LOG(slice, i) _MMIO(0xB070 + (slice) * 0x200 + (i) * 4)
b9524a1e
BW
8885#define GEN7_L3LOG_SIZE 0x80
8886
f0f59a00
VS
8887#define GEN7_HALF_SLICE_CHICKEN1 _MMIO(0xe100) /* IVB GT1 + VLV */
8888#define GEN7_HALF_SLICE_CHICKEN1_GT2 _MMIO(0xf100)
5ee8ee86
PZ
8889#define GEN7_MAX_PS_THREAD_DEP (8 << 12)
8890#define GEN7_SINGLE_SUBSCAN_DISPATCH_ENABLE (1 << 10)
8891#define GEN7_SBE_SS_CACHE_DISPATCH_PORT_SHARING_DISABLE (1 << 4)
8892#define GEN7_PSD_SINGLE_PORT_DISPATCH_ENABLE (1 << 3)
12f3382b 8893
f0f59a00 8894#define GEN9_HALF_SLICE_CHICKEN5 _MMIO(0xe188)
5ee8ee86
PZ
8895#define GEN9_DG_MIRROR_FIX_ENABLE (1 << 5)
8896#define GEN9_CCS_TLB_PREFETCH_ENABLE (1 << 3)
3ca5da43 8897
f0f59a00 8898#define GEN8_ROW_CHICKEN _MMIO(0xe4f0)
5ee8ee86
PZ
8899#define FLOW_CONTROL_ENABLE (1 << 15)
8900#define PARTIAL_INSTRUCTION_SHOOTDOWN_DISABLE (1 << 8)
8901#define STALL_DOP_GATING_DISABLE (1 << 5)
8902#define THROTTLE_12_5 (7 << 2)
8903#define DISABLE_EARLY_EOT (1 << 1)
c8966e10 8904
f0f59a00
VS
8905#define GEN7_ROW_CHICKEN2 _MMIO(0xe4f4)
8906#define GEN7_ROW_CHICKEN2_GT2 _MMIO(0xf4f4)
3c7ab278
OM
8907#define DOP_CLOCK_GATING_DISABLE (1 << 0)
8908#define PUSH_CONSTANT_DEREF_DISABLE (1 << 8)
8909#define GEN11_TDL_CLOCK_GATING_FIX_DISABLE (1 << 1)
8ab43976 8910
f0f59a00 8911#define HSW_ROW_CHICKEN3 _MMIO(0xe49c)
f3fc4884
FJ
8912#define HSW_ROW_CHICKEN3_L3_GLOBAL_ATOMICS_DISABLE (1 << 6)
8913
f0f59a00 8914#define HALF_SLICE_CHICKEN2 _MMIO(0xe180)
5ee8ee86 8915#define GEN8_ST_PO_DISABLE (1 << 13)
6b6d5626 8916
f0f59a00 8917#define HALF_SLICE_CHICKEN3 _MMIO(0xe184)
5ee8ee86
PZ
8918#define HSW_SAMPLE_C_PERFORMANCE (1 << 9)
8919#define GEN8_CENTROID_PIXEL_OPT_DIS (1 << 8)
8920#define GEN9_DISABLE_OCL_OOB_SUPPRESS_LOGIC (1 << 5)
8921#define CNL_FAST_ANISO_L1_BANKING_FIX (1 << 4)
8922#define GEN8_SAMPLER_POWER_BYPASS_DIS (1 << 1)
fd392b60 8923
f0f59a00 8924#define GEN9_HALF_SLICE_CHICKEN7 _MMIO(0xe194)
5ee8ee86
PZ
8925#define GEN9_SAMPLER_HASH_COMPRESSED_READ_ADDR (1 << 8)
8926#define GEN9_ENABLE_YV12_BUGFIX (1 << 4)
8927#define GEN9_ENABLE_GPGPU_PREEMPTION (1 << 2)
cac23df4 8928
c46f111f 8929/* Audio */
ed5eb1b7 8930#define G4X_AUD_VID_DID _MMIO(DISPLAY_MMIO_BASE(dev_priv) + 0x62020)
c46f111f
JN
8931#define INTEL_AUDIO_DEVCL 0x808629FB
8932#define INTEL_AUDIO_DEVBLC 0x80862801
8933#define INTEL_AUDIO_DEVCTG 0x80862802
e0dac65e 8934
f0f59a00 8935#define G4X_AUD_CNTL_ST _MMIO(0x620B4)
c46f111f
JN
8936#define G4X_ELDV_DEVCL_DEVBLC (1 << 13)
8937#define G4X_ELDV_DEVCTG (1 << 14)
8938#define G4X_ELD_ADDR_MASK (0xf << 5)
8939#define G4X_ELD_ACK (1 << 4)
f0f59a00 8940#define G4X_HDMIW_HDMIEDID _MMIO(0x6210C)
e0dac65e 8941
c46f111f
JN
8942#define _IBX_HDMIW_HDMIEDID_A 0xE2050
8943#define _IBX_HDMIW_HDMIEDID_B 0xE2150
f0f59a00
VS
8944#define IBX_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _IBX_HDMIW_HDMIEDID_A, \
8945 _IBX_HDMIW_HDMIEDID_B)
c46f111f
JN
8946#define _IBX_AUD_CNTL_ST_A 0xE20B4
8947#define _IBX_AUD_CNTL_ST_B 0xE21B4
f0f59a00
VS
8948#define IBX_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CNTL_ST_A, \
8949 _IBX_AUD_CNTL_ST_B)
c46f111f
JN
8950#define IBX_ELD_BUFFER_SIZE_MASK (0x1f << 10)
8951#define IBX_ELD_ADDRESS_MASK (0x1f << 5)
8952#define IBX_ELD_ACK (1 << 4)
f0f59a00 8953#define IBX_AUD_CNTL_ST2 _MMIO(0xE20C0)
82910ac6
JN
8954#define IBX_CP_READY(port) ((1 << 1) << (((port) - 1) * 4))
8955#define IBX_ELD_VALID(port) ((1 << 0) << (((port) - 1) * 4))
1202b4c6 8956
c46f111f
JN
8957#define _CPT_HDMIW_HDMIEDID_A 0xE5050
8958#define _CPT_HDMIW_HDMIEDID_B 0xE5150
f0f59a00 8959#define CPT_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _CPT_HDMIW_HDMIEDID_A, _CPT_HDMIW_HDMIEDID_B)
c46f111f
JN
8960#define _CPT_AUD_CNTL_ST_A 0xE50B4
8961#define _CPT_AUD_CNTL_ST_B 0xE51B4
f0f59a00
VS
8962#define CPT_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CNTL_ST_A, _CPT_AUD_CNTL_ST_B)
8963#define CPT_AUD_CNTRL_ST2 _MMIO(0xE50C0)
e0dac65e 8964
c46f111f
JN
8965#define _VLV_HDMIW_HDMIEDID_A (VLV_DISPLAY_BASE + 0x62050)
8966#define _VLV_HDMIW_HDMIEDID_B (VLV_DISPLAY_BASE + 0x62150)
f0f59a00 8967#define VLV_HDMIW_HDMIEDID(pipe) _MMIO_PIPE(pipe, _VLV_HDMIW_HDMIEDID_A, _VLV_HDMIW_HDMIEDID_B)
c46f111f
JN
8968#define _VLV_AUD_CNTL_ST_A (VLV_DISPLAY_BASE + 0x620B4)
8969#define _VLV_AUD_CNTL_ST_B (VLV_DISPLAY_BASE + 0x621B4)
f0f59a00
VS
8970#define VLV_AUD_CNTL_ST(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CNTL_ST_A, _VLV_AUD_CNTL_ST_B)
8971#define VLV_AUD_CNTL_ST2 _MMIO(VLV_DISPLAY_BASE + 0x620C0)
9ca2fe73 8972
ae662d31
EA
8973/* These are the 4 32-bit write offset registers for each stream
8974 * output buffer. It determines the offset from the
8975 * 3DSTATE_SO_BUFFERs that the next streamed vertex output goes to.
8976 */
f0f59a00 8977#define GEN7_SO_WRITE_OFFSET(n) _MMIO(0x5280 + (n) * 4)
ae662d31 8978
c46f111f
JN
8979#define _IBX_AUD_CONFIG_A 0xe2000
8980#define _IBX_AUD_CONFIG_B 0xe2100
f0f59a00 8981#define IBX_AUD_CFG(pipe) _MMIO_PIPE(pipe, _IBX_AUD_CONFIG_A, _IBX_AUD_CONFIG_B)
c46f111f
JN
8982#define _CPT_AUD_CONFIG_A 0xe5000
8983#define _CPT_AUD_CONFIG_B 0xe5100
f0f59a00 8984#define CPT_AUD_CFG(pipe) _MMIO_PIPE(pipe, _CPT_AUD_CONFIG_A, _CPT_AUD_CONFIG_B)
c46f111f
JN
8985#define _VLV_AUD_CONFIG_A (VLV_DISPLAY_BASE + 0x62000)
8986#define _VLV_AUD_CONFIG_B (VLV_DISPLAY_BASE + 0x62100)
f0f59a00 8987#define VLV_AUD_CFG(pipe) _MMIO_PIPE(pipe, _VLV_AUD_CONFIG_A, _VLV_AUD_CONFIG_B)
9ca2fe73 8988
b6daa025
WF
8989#define AUD_CONFIG_N_VALUE_INDEX (1 << 29)
8990#define AUD_CONFIG_N_PROG_ENABLE (1 << 28)
8991#define AUD_CONFIG_UPPER_N_SHIFT 20
c46f111f 8992#define AUD_CONFIG_UPPER_N_MASK (0xff << 20)
b6daa025 8993#define AUD_CONFIG_LOWER_N_SHIFT 4
c46f111f 8994#define AUD_CONFIG_LOWER_N_MASK (0xfff << 4)
2561389a
JN
8995#define AUD_CONFIG_N_MASK (AUD_CONFIG_UPPER_N_MASK | AUD_CONFIG_LOWER_N_MASK)
8996#define AUD_CONFIG_N(n) \
8997 (((((n) >> 12) & 0xff) << AUD_CONFIG_UPPER_N_SHIFT) | \
8998 (((n) & 0xfff) << AUD_CONFIG_LOWER_N_SHIFT))
b6daa025 8999#define AUD_CONFIG_PIXEL_CLOCK_HDMI_SHIFT 16
1a91510d
JN
9000#define AUD_CONFIG_PIXEL_CLOCK_HDMI_MASK (0xf << 16)
9001#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25175 (0 << 16)
9002#define AUD_CONFIG_PIXEL_CLOCK_HDMI_25200 (1 << 16)
9003#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27000 (2 << 16)
9004#define AUD_CONFIG_PIXEL_CLOCK_HDMI_27027 (3 << 16)
9005#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54000 (4 << 16)
9006#define AUD_CONFIG_PIXEL_CLOCK_HDMI_54054 (5 << 16)
9007#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74176 (6 << 16)
9008#define AUD_CONFIG_PIXEL_CLOCK_HDMI_74250 (7 << 16)
9009#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148352 (8 << 16)
9010#define AUD_CONFIG_PIXEL_CLOCK_HDMI_148500 (9 << 16)
b6daa025
WF
9011#define AUD_CONFIG_DISABLE_NCTS (1 << 3)
9012
9a78b6cc 9013/* HSW Audio */
c46f111f
JN
9014#define _HSW_AUD_CONFIG_A 0x65000
9015#define _HSW_AUD_CONFIG_B 0x65100
f0f59a00 9016#define HSW_AUD_CFG(pipe) _MMIO_PIPE(pipe, _HSW_AUD_CONFIG_A, _HSW_AUD_CONFIG_B)
c46f111f
JN
9017
9018#define _HSW_AUD_MISC_CTRL_A 0x65010
9019#define _HSW_AUD_MISC_CTRL_B 0x65110
f0f59a00 9020#define HSW_AUD_MISC_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_MISC_CTRL_A, _HSW_AUD_MISC_CTRL_B)
c46f111f 9021
6014ac12
LY
9022#define _HSW_AUD_M_CTS_ENABLE_A 0x65028
9023#define _HSW_AUD_M_CTS_ENABLE_B 0x65128
9024#define HSW_AUD_M_CTS_ENABLE(pipe) _MMIO_PIPE(pipe, _HSW_AUD_M_CTS_ENABLE_A, _HSW_AUD_M_CTS_ENABLE_B)
9025#define AUD_M_CTS_M_VALUE_INDEX (1 << 21)
9026#define AUD_M_CTS_M_PROG_ENABLE (1 << 20)
9027#define AUD_CONFIG_M_MASK 0xfffff
9028
c46f111f
JN
9029#define _HSW_AUD_DIP_ELD_CTRL_ST_A 0x650b4
9030#define _HSW_AUD_DIP_ELD_CTRL_ST_B 0x651b4
f0f59a00 9031#define HSW_AUD_DIP_ELD_CTRL(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIP_ELD_CTRL_ST_A, _HSW_AUD_DIP_ELD_CTRL_ST_B)
9a78b6cc
WX
9032
9033/* Audio Digital Converter */
c46f111f
JN
9034#define _HSW_AUD_DIG_CNVT_1 0x65080
9035#define _HSW_AUD_DIG_CNVT_2 0x65180
f0f59a00 9036#define AUD_DIG_CNVT(pipe) _MMIO_PIPE(pipe, _HSW_AUD_DIG_CNVT_1, _HSW_AUD_DIG_CNVT_2)
c46f111f
JN
9037#define DIP_PORT_SEL_MASK 0x3
9038
9039#define _HSW_AUD_EDID_DATA_A 0x65050
9040#define _HSW_AUD_EDID_DATA_B 0x65150
f0f59a00 9041#define HSW_AUD_EDID_DATA(pipe) _MMIO_PIPE(pipe, _HSW_AUD_EDID_DATA_A, _HSW_AUD_EDID_DATA_B)
c46f111f 9042
f0f59a00
VS
9043#define HSW_AUD_PIPE_CONV_CFG _MMIO(0x6507c)
9044#define HSW_AUD_PIN_ELD_CP_VLD _MMIO(0x650c0)
82910ac6
JN
9045#define AUDIO_INACTIVE(trans) ((1 << 3) << ((trans) * 4))
9046#define AUDIO_OUTPUT_ENABLE(trans) ((1 << 2) << ((trans) * 4))
9047#define AUDIO_CP_READY(trans) ((1 << 1) << ((trans) * 4))
9048#define AUDIO_ELD_VALID(trans) ((1 << 0) << ((trans) * 4))
9a78b6cc 9049
f0f59a00 9050#define HSW_AUD_CHICKENBIT _MMIO(0x65f10)
632f3ab9
LH
9051#define SKL_AUD_CODEC_WAKE_SIGNAL (1 << 15)
9052
9c3a16c8 9053/*
75e39688
ID
9054 * HSW - ICL power wells
9055 *
9056 * Platforms have up to 3 power well control register sets, each set
9057 * controlling up to 16 power wells via a request/status HW flag tuple:
9058 * - main (HSW_PWR_WELL_CTL[1-4])
9059 * - AUX (ICL_PWR_WELL_CTL_AUX[1-4])
9060 * - DDI (ICL_PWR_WELL_CTL_DDI[1-4])
9061 * Each control register set consists of up to 4 registers used by different
9062 * sources that can request a power well to be enabled:
9063 * - BIOS (HSW_PWR_WELL_CTL1/ICL_PWR_WELL_CTL_AUX1/ICL_PWR_WELL_CTL_DDI1)
9064 * - DRIVER (HSW_PWR_WELL_CTL2/ICL_PWR_WELL_CTL_AUX2/ICL_PWR_WELL_CTL_DDI2)
9065 * - KVMR (HSW_PWR_WELL_CTL3) (only in the main register set)
9066 * - DEBUG (HSW_PWR_WELL_CTL4/ICL_PWR_WELL_CTL_AUX4/ICL_PWR_WELL_CTL_DDI4)
9c3a16c8 9067 */
75e39688
ID
9068#define HSW_PWR_WELL_CTL1 _MMIO(0x45400)
9069#define HSW_PWR_WELL_CTL2 _MMIO(0x45404)
9070#define HSW_PWR_WELL_CTL3 _MMIO(0x45408)
9071#define HSW_PWR_WELL_CTL4 _MMIO(0x4540C)
9072#define HSW_PWR_WELL_CTL_REQ(pw_idx) (0x2 << ((pw_idx) * 2))
9073#define HSW_PWR_WELL_CTL_STATE(pw_idx) (0x1 << ((pw_idx) * 2))
9074
9075/* HSW/BDW power well */
9076#define HSW_PW_CTL_IDX_GLOBAL 15
9077
9078/* SKL/BXT/GLK/CNL power wells */
9079#define SKL_PW_CTL_IDX_PW_2 15
9080#define SKL_PW_CTL_IDX_PW_1 14
9081#define CNL_PW_CTL_IDX_AUX_F 12
9082#define CNL_PW_CTL_IDX_AUX_D 11
9083#define GLK_PW_CTL_IDX_AUX_C 10
9084#define GLK_PW_CTL_IDX_AUX_B 9
9085#define GLK_PW_CTL_IDX_AUX_A 8
9086#define CNL_PW_CTL_IDX_DDI_F 6
9087#define SKL_PW_CTL_IDX_DDI_D 4
9088#define SKL_PW_CTL_IDX_DDI_C 3
9089#define SKL_PW_CTL_IDX_DDI_B 2
9090#define SKL_PW_CTL_IDX_DDI_A_E 1
9091#define GLK_PW_CTL_IDX_DDI_A 1
9092#define SKL_PW_CTL_IDX_MISC_IO 0
9093
9094/* ICL - power wells */
9095#define ICL_PW_CTL_IDX_PW_4 3
9096#define ICL_PW_CTL_IDX_PW_3 2
9097#define ICL_PW_CTL_IDX_PW_2 1
9098#define ICL_PW_CTL_IDX_PW_1 0
9099
9100#define ICL_PWR_WELL_CTL_AUX1 _MMIO(0x45440)
9101#define ICL_PWR_WELL_CTL_AUX2 _MMIO(0x45444)
9102#define ICL_PWR_WELL_CTL_AUX4 _MMIO(0x4544C)
9103#define ICL_PW_CTL_IDX_AUX_TBT4 11
9104#define ICL_PW_CTL_IDX_AUX_TBT3 10
9105#define ICL_PW_CTL_IDX_AUX_TBT2 9
9106#define ICL_PW_CTL_IDX_AUX_TBT1 8
9107#define ICL_PW_CTL_IDX_AUX_F 5
9108#define ICL_PW_CTL_IDX_AUX_E 4
9109#define ICL_PW_CTL_IDX_AUX_D 3
9110#define ICL_PW_CTL_IDX_AUX_C 2
9111#define ICL_PW_CTL_IDX_AUX_B 1
9112#define ICL_PW_CTL_IDX_AUX_A 0
9113
9114#define ICL_PWR_WELL_CTL_DDI1 _MMIO(0x45450)
9115#define ICL_PWR_WELL_CTL_DDI2 _MMIO(0x45454)
9116#define ICL_PWR_WELL_CTL_DDI4 _MMIO(0x4545C)
9117#define ICL_PW_CTL_IDX_DDI_F 5
9118#define ICL_PW_CTL_IDX_DDI_E 4
9119#define ICL_PW_CTL_IDX_DDI_D 3
9120#define ICL_PW_CTL_IDX_DDI_C 2
9121#define ICL_PW_CTL_IDX_DDI_B 1
9122#define ICL_PW_CTL_IDX_DDI_A 0
9123
9124/* HSW - power well misc debug registers */
f0f59a00 9125#define HSW_PWR_WELL_CTL5 _MMIO(0x45410)
5ee8ee86
PZ
9126#define HSW_PWR_WELL_ENABLE_SINGLE_STEP (1 << 31)
9127#define HSW_PWR_WELL_PWR_GATE_OVERRIDE (1 << 20)
9128#define HSW_PWR_WELL_FORCE_ON (1 << 19)
f0f59a00 9129#define HSW_PWR_WELL_CTL6 _MMIO(0x45414)
9eb3a752 9130
94dd5138 9131/* SKL Fuse Status */
b2891eb2
ID
9132enum skl_power_gate {
9133 SKL_PG0,
9134 SKL_PG1,
9135 SKL_PG2,
1a260e11
ID
9136 ICL_PG3,
9137 ICL_PG4,
b2891eb2
ID
9138};
9139
f0f59a00 9140#define SKL_FUSE_STATUS _MMIO(0x42000)
5ee8ee86 9141#define SKL_FUSE_DOWNLOAD_STATUS (1 << 31)
75e39688
ID
9142/*
9143 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9144 * SKL_DISP_PW1_IDX..SKL_DISP_PW2_IDX -> PG1..PG2
9145 */
9146#define SKL_PW_CTL_IDX_TO_PG(pw_idx) \
9147 ((pw_idx) - SKL_PW_CTL_IDX_PW_1 + SKL_PG1)
9148/*
9149 * PG0 is HW controlled, so doesn't have a corresponding power well control knob
9150 * ICL_DISP_PW1_IDX..ICL_DISP_PW4_IDX -> PG1..PG4
9151 */
9152#define ICL_PW_CTL_IDX_TO_PG(pw_idx) \
9153 ((pw_idx) - ICL_PW_CTL_IDX_PW_1 + SKL_PG1)
b2891eb2 9154#define SKL_FUSE_PG_DIST_STATUS(pg) (1 << (27 - (pg)))
94dd5138 9155
75e39688 9156#define _CNL_AUX_REG_IDX(pw_idx) ((pw_idx) - GLK_PW_CTL_IDX_AUX_B)
ddd39e4b
LDM
9157#define _CNL_AUX_ANAOVRD1_B 0x162250
9158#define _CNL_AUX_ANAOVRD1_C 0x162210
9159#define _CNL_AUX_ANAOVRD1_D 0x1622D0
b1ae6a8b 9160#define _CNL_AUX_ANAOVRD1_F 0x162A90
75e39688 9161#define CNL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_CNL_AUX_REG_IDX(pw_idx), \
ddd39e4b
LDM
9162 _CNL_AUX_ANAOVRD1_B, \
9163 _CNL_AUX_ANAOVRD1_C, \
b1ae6a8b
RV
9164 _CNL_AUX_ANAOVRD1_D, \
9165 _CNL_AUX_ANAOVRD1_F))
5ee8ee86
PZ
9166#define CNL_AUX_ANAOVRD1_ENABLE (1 << 16)
9167#define CNL_AUX_ANAOVRD1_LDO_BYPASS (1 << 23)
ddd39e4b 9168
ffd7e32d
LDM
9169#define _ICL_AUX_REG_IDX(pw_idx) ((pw_idx) - ICL_PW_CTL_IDX_AUX_A)
9170#define _ICL_AUX_ANAOVRD1_A 0x162398
9171#define _ICL_AUX_ANAOVRD1_B 0x6C398
9172#define ICL_AUX_ANAOVRD1(pw_idx) _MMIO(_PICK(_ICL_AUX_REG_IDX(pw_idx), \
9173 _ICL_AUX_ANAOVRD1_A, \
9174 _ICL_AUX_ANAOVRD1_B))
9175#define ICL_AUX_ANAOVRD1_LDO_BYPASS (1 << 7)
9176#define ICL_AUX_ANAOVRD1_ENABLE (1 << 0)
9177
ee5e5e7a 9178/* HDCP Key Registers */
2834d9df 9179#define HDCP_KEY_CONF _MMIO(0x66c00)
ee5e5e7a
SP
9180#define HDCP_AKSV_SEND_TRIGGER BIT(31)
9181#define HDCP_CLEAR_KEYS_TRIGGER BIT(30)
fdddd08c 9182#define HDCP_KEY_LOAD_TRIGGER BIT(8)
2834d9df
R
9183#define HDCP_KEY_STATUS _MMIO(0x66c04)
9184#define HDCP_FUSE_IN_PROGRESS BIT(7)
ee5e5e7a 9185#define HDCP_FUSE_ERROR BIT(6)
2834d9df
R
9186#define HDCP_FUSE_DONE BIT(5)
9187#define HDCP_KEY_LOAD_STATUS BIT(1)
ee5e5e7a 9188#define HDCP_KEY_LOAD_DONE BIT(0)
2834d9df
R
9189#define HDCP_AKSV_LO _MMIO(0x66c10)
9190#define HDCP_AKSV_HI _MMIO(0x66c14)
ee5e5e7a
SP
9191
9192/* HDCP Repeater Registers */
2834d9df
R
9193#define HDCP_REP_CTL _MMIO(0x66d00)
9194#define HDCP_DDIB_REP_PRESENT BIT(30)
9195#define HDCP_DDIA_REP_PRESENT BIT(29)
9196#define HDCP_DDIC_REP_PRESENT BIT(28)
9197#define HDCP_DDID_REP_PRESENT BIT(27)
9198#define HDCP_DDIF_REP_PRESENT BIT(26)
9199#define HDCP_DDIE_REP_PRESENT BIT(25)
ee5e5e7a
SP
9200#define HDCP_DDIB_SHA1_M0 (1 << 20)
9201#define HDCP_DDIA_SHA1_M0 (2 << 20)
9202#define HDCP_DDIC_SHA1_M0 (3 << 20)
9203#define HDCP_DDID_SHA1_M0 (4 << 20)
9204#define HDCP_DDIF_SHA1_M0 (5 << 20)
9205#define HDCP_DDIE_SHA1_M0 (6 << 20) /* Bspec says 5? */
2834d9df 9206#define HDCP_SHA1_BUSY BIT(16)
ee5e5e7a
SP
9207#define HDCP_SHA1_READY BIT(17)
9208#define HDCP_SHA1_COMPLETE BIT(18)
9209#define HDCP_SHA1_V_MATCH BIT(19)
9210#define HDCP_SHA1_TEXT_32 (1 << 1)
9211#define HDCP_SHA1_COMPLETE_HASH (2 << 1)
9212#define HDCP_SHA1_TEXT_24 (4 << 1)
9213#define HDCP_SHA1_TEXT_16 (5 << 1)
9214#define HDCP_SHA1_TEXT_8 (6 << 1)
9215#define HDCP_SHA1_TEXT_0 (7 << 1)
9216#define HDCP_SHA_V_PRIME_H0 _MMIO(0x66d04)
9217#define HDCP_SHA_V_PRIME_H1 _MMIO(0x66d08)
9218#define HDCP_SHA_V_PRIME_H2 _MMIO(0x66d0C)
9219#define HDCP_SHA_V_PRIME_H3 _MMIO(0x66d10)
9220#define HDCP_SHA_V_PRIME_H4 _MMIO(0x66d14)
9e8789ec 9221#define HDCP_SHA_V_PRIME(h) _MMIO((0x66d04 + (h) * 4))
2834d9df 9222#define HDCP_SHA_TEXT _MMIO(0x66d18)
ee5e5e7a
SP
9223
9224/* HDCP Auth Registers */
9225#define _PORTA_HDCP_AUTHENC 0x66800
9226#define _PORTB_HDCP_AUTHENC 0x66500
9227#define _PORTC_HDCP_AUTHENC 0x66600
9228#define _PORTD_HDCP_AUTHENC 0x66700
9229#define _PORTE_HDCP_AUTHENC 0x66A00
9230#define _PORTF_HDCP_AUTHENC 0x66900
9231#define _PORT_HDCP_AUTHENC(port, x) _MMIO(_PICK(port, \
9232 _PORTA_HDCP_AUTHENC, \
9233 _PORTB_HDCP_AUTHENC, \
9234 _PORTC_HDCP_AUTHENC, \
9235 _PORTD_HDCP_AUTHENC, \
9236 _PORTE_HDCP_AUTHENC, \
9e8789ec 9237 _PORTF_HDCP_AUTHENC) + (x))
2834d9df
R
9238#define PORT_HDCP_CONF(port) _PORT_HDCP_AUTHENC(port, 0x0)
9239#define HDCP_CONF_CAPTURE_AN BIT(0)
9240#define HDCP_CONF_AUTH_AND_ENC (BIT(1) | BIT(0))
9241#define PORT_HDCP_ANINIT(port) _PORT_HDCP_AUTHENC(port, 0x4)
9242#define PORT_HDCP_ANLO(port) _PORT_HDCP_AUTHENC(port, 0x8)
9243#define PORT_HDCP_ANHI(port) _PORT_HDCP_AUTHENC(port, 0xC)
9244#define PORT_HDCP_BKSVLO(port) _PORT_HDCP_AUTHENC(port, 0x10)
9245#define PORT_HDCP_BKSVHI(port) _PORT_HDCP_AUTHENC(port, 0x14)
9246#define PORT_HDCP_RPRIME(port) _PORT_HDCP_AUTHENC(port, 0x18)
9247#define PORT_HDCP_STATUS(port) _PORT_HDCP_AUTHENC(port, 0x1C)
ee5e5e7a
SP
9248#define HDCP_STATUS_STREAM_A_ENC BIT(31)
9249#define HDCP_STATUS_STREAM_B_ENC BIT(30)
9250#define HDCP_STATUS_STREAM_C_ENC BIT(29)
9251#define HDCP_STATUS_STREAM_D_ENC BIT(28)
9252#define HDCP_STATUS_AUTH BIT(21)
9253#define HDCP_STATUS_ENC BIT(20)
2834d9df
R
9254#define HDCP_STATUS_RI_MATCH BIT(19)
9255#define HDCP_STATUS_R0_READY BIT(18)
9256#define HDCP_STATUS_AN_READY BIT(17)
ee5e5e7a 9257#define HDCP_STATUS_CIPHER BIT(16)
9e8789ec 9258#define HDCP_STATUS_FRAME_CNT(x) (((x) >> 8) & 0xff)
ee5e5e7a 9259
3ab0a6ed
R
9260/* HDCP2.2 Registers */
9261#define _PORTA_HDCP2_BASE 0x66800
9262#define _PORTB_HDCP2_BASE 0x66500
9263#define _PORTC_HDCP2_BASE 0x66600
9264#define _PORTD_HDCP2_BASE 0x66700
9265#define _PORTE_HDCP2_BASE 0x66A00
9266#define _PORTF_HDCP2_BASE 0x66900
9267#define _PORT_HDCP2_BASE(port, x) _MMIO(_PICK((port), \
9268 _PORTA_HDCP2_BASE, \
9269 _PORTB_HDCP2_BASE, \
9270 _PORTC_HDCP2_BASE, \
9271 _PORTD_HDCP2_BASE, \
9272 _PORTE_HDCP2_BASE, \
9273 _PORTF_HDCP2_BASE) + (x))
9274
9275#define HDCP2_AUTH_DDI(port) _PORT_HDCP2_BASE(port, 0x98)
9276#define AUTH_LINK_AUTHENTICATED BIT(31)
9277#define AUTH_LINK_TYPE BIT(30)
9278#define AUTH_FORCE_CLR_INPUTCTR BIT(19)
9279#define AUTH_CLR_KEYS BIT(18)
9280
9281#define HDCP2_CTL_DDI(port) _PORT_HDCP2_BASE(port, 0xB0)
9282#define CTL_LINK_ENCRYPTION_REQ BIT(31)
9283
9284#define HDCP2_STATUS_DDI(port) _PORT_HDCP2_BASE(port, 0xB4)
9285#define STREAM_ENCRYPTION_STATUS_A BIT(31)
9286#define STREAM_ENCRYPTION_STATUS_B BIT(30)
9287#define STREAM_ENCRYPTION_STATUS_C BIT(29)
9288#define LINK_TYPE_STATUS BIT(22)
9289#define LINK_AUTH_STATUS BIT(21)
9290#define LINK_ENCRYPTION_STATUS BIT(20)
9291
e7e104c3 9292/* Per-pipe DDI Function Control */
086f8e84
VS
9293#define _TRANS_DDI_FUNC_CTL_A 0x60400
9294#define _TRANS_DDI_FUNC_CTL_B 0x61400
9295#define _TRANS_DDI_FUNC_CTL_C 0x62400
9296#define _TRANS_DDI_FUNC_CTL_EDP 0x6F400
49edbd49
MC
9297#define _TRANS_DDI_FUNC_CTL_DSI0 0x6b400
9298#define _TRANS_DDI_FUNC_CTL_DSI1 0x6bc00
f0f59a00 9299#define TRANS_DDI_FUNC_CTL(tran) _MMIO_TRANS2(tran, _TRANS_DDI_FUNC_CTL_A)
a57c774a 9300
5ee8ee86 9301#define TRANS_DDI_FUNC_ENABLE (1 << 31)
e7e104c3 9302/* Those bits are ignored by pipe EDP since it can only connect to DDI A */
5ee8ee86 9303#define TRANS_DDI_PORT_MASK (7 << 28)
26804afd 9304#define TRANS_DDI_PORT_SHIFT 28
5ee8ee86
PZ
9305#define TRANS_DDI_SELECT_PORT(x) ((x) << 28)
9306#define TRANS_DDI_PORT_NONE (0 << 28)
9307#define TRANS_DDI_MODE_SELECT_MASK (7 << 24)
9308#define TRANS_DDI_MODE_SELECT_HDMI (0 << 24)
9309#define TRANS_DDI_MODE_SELECT_DVI (1 << 24)
9310#define TRANS_DDI_MODE_SELECT_DP_SST (2 << 24)
9311#define TRANS_DDI_MODE_SELECT_DP_MST (3 << 24)
9312#define TRANS_DDI_MODE_SELECT_FDI (4 << 24)
9313#define TRANS_DDI_BPC_MASK (7 << 20)
9314#define TRANS_DDI_BPC_8 (0 << 20)
9315#define TRANS_DDI_BPC_10 (1 << 20)
9316#define TRANS_DDI_BPC_6 (2 << 20)
9317#define TRANS_DDI_BPC_12 (3 << 20)
9318#define TRANS_DDI_PVSYNC (1 << 17)
9319#define TRANS_DDI_PHSYNC (1 << 16)
9320#define TRANS_DDI_EDP_INPUT_MASK (7 << 12)
9321#define TRANS_DDI_EDP_INPUT_A_ON (0 << 12)
9322#define TRANS_DDI_EDP_INPUT_A_ONOFF (4 << 12)
9323#define TRANS_DDI_EDP_INPUT_B_ONOFF (5 << 12)
9324#define TRANS_DDI_EDP_INPUT_C_ONOFF (6 << 12)
9325#define TRANS_DDI_HDCP_SIGNALLING (1 << 9)
9326#define TRANS_DDI_DP_VC_PAYLOAD_ALLOC (1 << 8)
9327#define TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE (1 << 7)
9328#define TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ (1 << 6)
9329#define TRANS_DDI_BFI_ENABLE (1 << 4)
9330#define TRANS_DDI_HIGH_TMDS_CHAR_RATE (1 << 4)
9331#define TRANS_DDI_HDMI_SCRAMBLING (1 << 0)
15953637
SS
9332#define TRANS_DDI_HDMI_SCRAMBLING_MASK (TRANS_DDI_HDMI_SCRAMBLER_CTS_ENABLE \
9333 | TRANS_DDI_HDMI_SCRAMBLER_RESET_FREQ \
9334 | TRANS_DDI_HDMI_SCRAMBLING)
e7e104c3 9335
49edbd49
MC
9336#define _TRANS_DDI_FUNC_CTL2_A 0x60404
9337#define _TRANS_DDI_FUNC_CTL2_B 0x61404
9338#define _TRANS_DDI_FUNC_CTL2_C 0x62404
9339#define _TRANS_DDI_FUNC_CTL2_EDP 0x6f404
9340#define _TRANS_DDI_FUNC_CTL2_DSI0 0x6b404
9341#define _TRANS_DDI_FUNC_CTL2_DSI1 0x6bc04
9342#define TRANS_DDI_FUNC_CTL2(tran) _MMIO_TRANS2(tran, \
9343 _TRANS_DDI_FUNC_CTL2_A)
9344#define PORT_SYNC_MODE_ENABLE (1 << 4)
7264aebb 9345#define PORT_SYNC_MODE_MASTER_SELECT(x) ((x) << 0)
49edbd49
MC
9346#define PORT_SYNC_MODE_MASTER_SELECT_MASK (0x7 << 0)
9347#define PORT_SYNC_MODE_MASTER_SELECT_SHIFT 0
9348
0e87f667 9349/* DisplayPort Transport Control */
086f8e84
VS
9350#define _DP_TP_CTL_A 0x64040
9351#define _DP_TP_CTL_B 0x64140
f0f59a00 9352#define DP_TP_CTL(port) _MMIO_PORT(port, _DP_TP_CTL_A, _DP_TP_CTL_B)
5ee8ee86 9353#define DP_TP_CTL_ENABLE (1 << 31)
5c44b938 9354#define DP_TP_CTL_FEC_ENABLE (1 << 30)
5ee8ee86
PZ
9355#define DP_TP_CTL_MODE_SST (0 << 27)
9356#define DP_TP_CTL_MODE_MST (1 << 27)
9357#define DP_TP_CTL_FORCE_ACT (1 << 25)
9358#define DP_TP_CTL_ENHANCED_FRAME_ENABLE (1 << 18)
9359#define DP_TP_CTL_FDI_AUTOTRAIN (1 << 15)
9360#define DP_TP_CTL_LINK_TRAIN_MASK (7 << 8)
9361#define DP_TP_CTL_LINK_TRAIN_PAT1 (0 << 8)
9362#define DP_TP_CTL_LINK_TRAIN_PAT2 (1 << 8)
9363#define DP_TP_CTL_LINK_TRAIN_PAT3 (4 << 8)
9364#define DP_TP_CTL_LINK_TRAIN_PAT4 (5 << 8)
9365#define DP_TP_CTL_LINK_TRAIN_IDLE (2 << 8)
9366#define DP_TP_CTL_LINK_TRAIN_NORMAL (3 << 8)
9367#define DP_TP_CTL_SCRAMBLE_DISABLE (1 << 7)
0e87f667 9368
e411b2c1 9369/* DisplayPort Transport Status */
086f8e84
VS
9370#define _DP_TP_STATUS_A 0x64044
9371#define _DP_TP_STATUS_B 0x64144
f0f59a00 9372#define DP_TP_STATUS(port) _MMIO_PORT(port, _DP_TP_STATUS_A, _DP_TP_STATUS_B)
5c44b938 9373#define DP_TP_STATUS_FEC_ENABLE_LIVE (1 << 28)
5ee8ee86
PZ
9374#define DP_TP_STATUS_IDLE_DONE (1 << 25)
9375#define DP_TP_STATUS_ACT_SENT (1 << 24)
9376#define DP_TP_STATUS_MODE_STATUS_MST (1 << 23)
9377#define DP_TP_STATUS_AUTOTRAIN_DONE (1 << 12)
01b887c3
DA
9378#define DP_TP_STATUS_PAYLOAD_MAPPING_VC2 (3 << 8)
9379#define DP_TP_STATUS_PAYLOAD_MAPPING_VC1 (3 << 4)
9380#define DP_TP_STATUS_PAYLOAD_MAPPING_VC0 (3 << 0)
e411b2c1 9381
03f896a1 9382/* DDI Buffer Control */
086f8e84
VS
9383#define _DDI_BUF_CTL_A 0x64000
9384#define _DDI_BUF_CTL_B 0x64100
f0f59a00 9385#define DDI_BUF_CTL(port) _MMIO_PORT(port, _DDI_BUF_CTL_A, _DDI_BUF_CTL_B)
5ee8ee86 9386#define DDI_BUF_CTL_ENABLE (1 << 31)
c5fe6a06 9387#define DDI_BUF_TRANS_SELECT(n) ((n) << 24)
5ee8ee86
PZ
9388#define DDI_BUF_EMP_MASK (0xf << 24)
9389#define DDI_BUF_PORT_REVERSAL (1 << 16)
9390#define DDI_BUF_IS_IDLE (1 << 7)
9391#define DDI_A_4_LANES (1 << 4)
17aa6be9 9392#define DDI_PORT_WIDTH(width) (((width) - 1) << 1)
90a6b7b0
VS
9393#define DDI_PORT_WIDTH_MASK (7 << 1)
9394#define DDI_PORT_WIDTH_SHIFT 1
5ee8ee86 9395#define DDI_INIT_DISPLAY_DETECTED (1 << 0)
03f896a1 9396
bb879a44 9397/* DDI Buffer Translations */
086f8e84
VS
9398#define _DDI_BUF_TRANS_A 0x64E00
9399#define _DDI_BUF_TRANS_B 0x64E60
f0f59a00 9400#define DDI_BUF_TRANS_LO(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8)
c110ae6c 9401#define DDI_BUF_BALANCE_LEG_ENABLE (1 << 31)
f0f59a00 9402#define DDI_BUF_TRANS_HI(port, i) _MMIO(_PORT(port, _DDI_BUF_TRANS_A, _DDI_BUF_TRANS_B) + (i) * 8 + 4)
bb879a44 9403
7501a4d8
ED
9404/* Sideband Interface (SBI) is programmed indirectly, via
9405 * SBI_ADDR, which contains the register offset; and SBI_DATA,
9406 * which contains the payload */
f0f59a00
VS
9407#define SBI_ADDR _MMIO(0xC6000)
9408#define SBI_DATA _MMIO(0xC6004)
9409#define SBI_CTL_STAT _MMIO(0xC6008)
5ee8ee86
PZ
9410#define SBI_CTL_DEST_ICLK (0x0 << 16)
9411#define SBI_CTL_DEST_MPHY (0x1 << 16)
9412#define SBI_CTL_OP_IORD (0x2 << 8)
9413#define SBI_CTL_OP_IOWR (0x3 << 8)
9414#define SBI_CTL_OP_CRRD (0x6 << 8)
9415#define SBI_CTL_OP_CRWR (0x7 << 8)
9416#define SBI_RESPONSE_FAIL (0x1 << 1)
9417#define SBI_RESPONSE_SUCCESS (0x0 << 1)
9418#define SBI_BUSY (0x1 << 0)
9419#define SBI_READY (0x0 << 0)
52f025ef 9420
ccf1c867 9421/* SBI offsets */
f7be2c21 9422#define SBI_SSCDIVINTPHASE 0x0200
5e49cea6 9423#define SBI_SSCDIVINTPHASE6 0x0600
8802e5b6 9424#define SBI_SSCDIVINTPHASE_DIVSEL_SHIFT 1
5ee8ee86
PZ
9425#define SBI_SSCDIVINTPHASE_DIVSEL_MASK (0x7f << 1)
9426#define SBI_SSCDIVINTPHASE_DIVSEL(x) ((x) << 1)
8802e5b6 9427#define SBI_SSCDIVINTPHASE_INCVAL_SHIFT 8
5ee8ee86
PZ
9428#define SBI_SSCDIVINTPHASE_INCVAL_MASK (0x7f << 8)
9429#define SBI_SSCDIVINTPHASE_INCVAL(x) ((x) << 8)
9430#define SBI_SSCDIVINTPHASE_DIR(x) ((x) << 15)
9431#define SBI_SSCDIVINTPHASE_PROPAGATE (1 << 0)
f7be2c21 9432#define SBI_SSCDITHPHASE 0x0204
5e49cea6 9433#define SBI_SSCCTL 0x020c
ccf1c867 9434#define SBI_SSCCTL6 0x060C
5ee8ee86
PZ
9435#define SBI_SSCCTL_PATHALT (1 << 3)
9436#define SBI_SSCCTL_DISABLE (1 << 0)
ccf1c867 9437#define SBI_SSCAUXDIV6 0x0610
8802e5b6 9438#define SBI_SSCAUXDIV_FINALDIV2SEL_SHIFT 4
5ee8ee86
PZ
9439#define SBI_SSCAUXDIV_FINALDIV2SEL_MASK (1 << 4)
9440#define SBI_SSCAUXDIV_FINALDIV2SEL(x) ((x) << 4)
5e49cea6 9441#define SBI_DBUFF0 0x2a00
2fa86a1f 9442#define SBI_GEN0 0x1f00
5ee8ee86 9443#define SBI_GEN0_CFG_BUFFENABLE_DISABLE (1 << 0)
ccf1c867 9444
52f025ef 9445/* LPT PIXCLK_GATE */
f0f59a00 9446#define PIXCLK_GATE _MMIO(0xC6020)
5ee8ee86
PZ
9447#define PIXCLK_GATE_UNGATE (1 << 0)
9448#define PIXCLK_GATE_GATE (0 << 0)
52f025ef 9449
e93ea06a 9450/* SPLL */
f0f59a00 9451#define SPLL_CTL _MMIO(0x46020)
5ee8ee86
PZ
9452#define SPLL_PLL_ENABLE (1 << 31)
9453#define SPLL_PLL_SSC (1 << 28)
9454#define SPLL_PLL_NON_SSC (2 << 28)
9455#define SPLL_PLL_LCPLL (3 << 28)
9456#define SPLL_PLL_REF_MASK (3 << 28)
9457#define SPLL_PLL_FREQ_810MHz (0 << 26)
9458#define SPLL_PLL_FREQ_1350MHz (1 << 26)
9459#define SPLL_PLL_FREQ_2700MHz (2 << 26)
9460#define SPLL_PLL_FREQ_MASK (3 << 26)
e93ea06a 9461
4dffc404 9462/* WRPLL */
086f8e84
VS
9463#define _WRPLL_CTL1 0x46040
9464#define _WRPLL_CTL2 0x46060
f0f59a00 9465#define WRPLL_CTL(pll) _MMIO_PIPE(pll, _WRPLL_CTL1, _WRPLL_CTL2)
5ee8ee86
PZ
9466#define WRPLL_PLL_ENABLE (1 << 31)
9467#define WRPLL_PLL_SSC (1 << 28)
9468#define WRPLL_PLL_NON_SSC (2 << 28)
9469#define WRPLL_PLL_LCPLL (3 << 28)
9470#define WRPLL_PLL_REF_MASK (3 << 28)
ef4d084f 9471/* WRPLL divider programming */
5ee8ee86 9472#define WRPLL_DIVIDER_REFERENCE(x) ((x) << 0)
11578553 9473#define WRPLL_DIVIDER_REF_MASK (0xff)
5ee8ee86
PZ
9474#define WRPLL_DIVIDER_POST(x) ((x) << 8)
9475#define WRPLL_DIVIDER_POST_MASK (0x3f << 8)
11578553 9476#define WRPLL_DIVIDER_POST_SHIFT 8
5ee8ee86 9477#define WRPLL_DIVIDER_FEEDBACK(x) ((x) << 16)
11578553 9478#define WRPLL_DIVIDER_FB_SHIFT 16
5ee8ee86 9479#define WRPLL_DIVIDER_FB_MASK (0xff << 16)
4dffc404 9480
fec9181c 9481/* Port clock selection */
086f8e84
VS
9482#define _PORT_CLK_SEL_A 0x46100
9483#define _PORT_CLK_SEL_B 0x46104
f0f59a00 9484#define PORT_CLK_SEL(port) _MMIO_PORT(port, _PORT_CLK_SEL_A, _PORT_CLK_SEL_B)
5ee8ee86
PZ
9485#define PORT_CLK_SEL_LCPLL_2700 (0 << 29)
9486#define PORT_CLK_SEL_LCPLL_1350 (1 << 29)
9487#define PORT_CLK_SEL_LCPLL_810 (2 << 29)
9488#define PORT_CLK_SEL_SPLL (3 << 29)
9489#define PORT_CLK_SEL_WRPLL(pll) (((pll) + 4) << 29)
9490#define PORT_CLK_SEL_WRPLL1 (4 << 29)
9491#define PORT_CLK_SEL_WRPLL2 (5 << 29)
9492#define PORT_CLK_SEL_NONE (7 << 29)
9493#define PORT_CLK_SEL_MASK (7 << 29)
fec9181c 9494
78b60ce7
PZ
9495/* On ICL+ this is the same as PORT_CLK_SEL, but all bits change. */
9496#define DDI_CLK_SEL(port) PORT_CLK_SEL(port)
9497#define DDI_CLK_SEL_NONE (0x0 << 28)
9498#define DDI_CLK_SEL_MG (0x8 << 28)
1fa11ee2
PZ
9499#define DDI_CLK_SEL_TBT_162 (0xC << 28)
9500#define DDI_CLK_SEL_TBT_270 (0xD << 28)
9501#define DDI_CLK_SEL_TBT_540 (0xE << 28)
9502#define DDI_CLK_SEL_TBT_810 (0xF << 28)
78b60ce7
PZ
9503#define DDI_CLK_SEL_MASK (0xF << 28)
9504
bb523fc0 9505/* Transcoder clock selection */
086f8e84
VS
9506#define _TRANS_CLK_SEL_A 0x46140
9507#define _TRANS_CLK_SEL_B 0x46144
f0f59a00 9508#define TRANS_CLK_SEL(tran) _MMIO_TRANS(tran, _TRANS_CLK_SEL_A, _TRANS_CLK_SEL_B)
bb523fc0 9509/* For each transcoder, we need to select the corresponding port clock */
5ee8ee86
PZ
9510#define TRANS_CLK_SEL_DISABLED (0x0 << 29)
9511#define TRANS_CLK_SEL_PORT(x) (((x) + 1) << 29)
fec9181c 9512
7f1052a8
VS
9513#define CDCLK_FREQ _MMIO(0x46200)
9514
086f8e84
VS
9515#define _TRANSA_MSA_MISC 0x60410
9516#define _TRANSB_MSA_MISC 0x61410
9517#define _TRANSC_MSA_MISC 0x62410
9518#define _TRANS_EDP_MSA_MISC 0x6f410
f0f59a00 9519#define TRANS_MSA_MISC(tran) _MMIO_TRANS2(tran, _TRANSA_MSA_MISC)
a57c774a 9520
5ee8ee86 9521#define TRANS_MSA_SYNC_CLK (1 << 0)
668b6c17
SS
9522#define TRANS_MSA_SAMPLING_444 (2 << 1)
9523#define TRANS_MSA_CLRSP_YCBCR (2 << 3)
5ee8ee86
PZ
9524#define TRANS_MSA_6_BPC (0 << 5)
9525#define TRANS_MSA_8_BPC (1 << 5)
9526#define TRANS_MSA_10_BPC (2 << 5)
9527#define TRANS_MSA_12_BPC (3 << 5)
9528#define TRANS_MSA_16_BPC (4 << 5)
dc5977da 9529#define TRANS_MSA_CEA_RANGE (1 << 3)
dae84799 9530
90e8d31c 9531/* LCPLL Control */
f0f59a00 9532#define LCPLL_CTL _MMIO(0x130040)
5ee8ee86
PZ
9533#define LCPLL_PLL_DISABLE (1 << 31)
9534#define LCPLL_PLL_LOCK (1 << 30)
9535#define LCPLL_CLK_FREQ_MASK (3 << 26)
9536#define LCPLL_CLK_FREQ_450 (0 << 26)
9537#define LCPLL_CLK_FREQ_54O_BDW (1 << 26)
9538#define LCPLL_CLK_FREQ_337_5_BDW (2 << 26)
9539#define LCPLL_CLK_FREQ_675_BDW (3 << 26)
9540#define LCPLL_CD_CLOCK_DISABLE (1 << 25)
9541#define LCPLL_ROOT_CD_CLOCK_DISABLE (1 << 24)
9542#define LCPLL_CD2X_CLOCK_DISABLE (1 << 23)
9543#define LCPLL_POWER_DOWN_ALLOW (1 << 22)
9544#define LCPLL_CD_SOURCE_FCLK (1 << 21)
9545#define LCPLL_CD_SOURCE_FCLK_DONE (1 << 19)
be256dc7 9546
326ac39b
S
9547/*
9548 * SKL Clocks
9549 */
9550
9551/* CDCLK_CTL */
f0f59a00 9552#define CDCLK_CTL _MMIO(0x46000)
186a277e
PZ
9553#define CDCLK_FREQ_SEL_MASK (3 << 26)
9554#define CDCLK_FREQ_450_432 (0 << 26)
9555#define CDCLK_FREQ_540 (1 << 26)
9556#define CDCLK_FREQ_337_308 (2 << 26)
9557#define CDCLK_FREQ_675_617 (3 << 26)
9558#define BXT_CDCLK_CD2X_DIV_SEL_MASK (3 << 22)
9559#define BXT_CDCLK_CD2X_DIV_SEL_1 (0 << 22)
9560#define BXT_CDCLK_CD2X_DIV_SEL_1_5 (1 << 22)
9561#define BXT_CDCLK_CD2X_DIV_SEL_2 (2 << 22)
9562#define BXT_CDCLK_CD2X_DIV_SEL_4 (3 << 22)
9563#define BXT_CDCLK_CD2X_PIPE(pipe) ((pipe) << 20)
9564#define CDCLK_DIVMUX_CD_OVERRIDE (1 << 19)
7fe62757 9565#define BXT_CDCLK_CD2X_PIPE_NONE BXT_CDCLK_CD2X_PIPE(3)
186a277e
PZ
9566#define ICL_CDCLK_CD2X_PIPE_NONE (7 << 19)
9567#define BXT_CDCLK_SSA_PRECHARGE_ENABLE (1 << 16)
7fe62757 9568#define CDCLK_FREQ_DECIMAL_MASK (0x7ff)
f8437dd1 9569
326ac39b 9570/* LCPLL_CTL */
f0f59a00
VS
9571#define LCPLL1_CTL _MMIO(0x46010)
9572#define LCPLL2_CTL _MMIO(0x46014)
5ee8ee86 9573#define LCPLL_PLL_ENABLE (1 << 31)
326ac39b
S
9574
9575/* DPLL control1 */
f0f59a00 9576#define DPLL_CTRL1 _MMIO(0x6C058)
5ee8ee86
PZ
9577#define DPLL_CTRL1_HDMI_MODE(id) (1 << ((id) * 6 + 5))
9578#define DPLL_CTRL1_SSC(id) (1 << ((id) * 6 + 4))
9579#define DPLL_CTRL1_LINK_RATE_MASK(id) (7 << ((id) * 6 + 1))
9580#define DPLL_CTRL1_LINK_RATE_SHIFT(id) ((id) * 6 + 1)
9581#define DPLL_CTRL1_LINK_RATE(linkrate, id) ((linkrate) << ((id) * 6 + 1))
9582#define DPLL_CTRL1_OVERRIDE(id) (1 << ((id) * 6))
71cd8423
DL
9583#define DPLL_CTRL1_LINK_RATE_2700 0
9584#define DPLL_CTRL1_LINK_RATE_1350 1
9585#define DPLL_CTRL1_LINK_RATE_810 2
9586#define DPLL_CTRL1_LINK_RATE_1620 3
9587#define DPLL_CTRL1_LINK_RATE_1080 4
9588#define DPLL_CTRL1_LINK_RATE_2160 5
326ac39b
S
9589
9590/* DPLL control2 */
f0f59a00 9591#define DPLL_CTRL2 _MMIO(0x6C05C)
5ee8ee86
PZ
9592#define DPLL_CTRL2_DDI_CLK_OFF(port) (1 << ((port) + 15))
9593#define DPLL_CTRL2_DDI_CLK_SEL_MASK(port) (3 << ((port) * 3 + 1))
9594#define DPLL_CTRL2_DDI_CLK_SEL_SHIFT(port) ((port) * 3 + 1)
9595#define DPLL_CTRL2_DDI_CLK_SEL(clk, port) ((clk) << ((port) * 3 + 1))
9596#define DPLL_CTRL2_DDI_SEL_OVERRIDE(port) (1 << ((port) * 3))
326ac39b
S
9597
9598/* DPLL Status */
f0f59a00 9599#define DPLL_STATUS _MMIO(0x6C060)
5ee8ee86 9600#define DPLL_LOCK(id) (1 << ((id) * 8))
326ac39b
S
9601
9602/* DPLL cfg */
086f8e84
VS
9603#define _DPLL1_CFGCR1 0x6C040
9604#define _DPLL2_CFGCR1 0x6C048
9605#define _DPLL3_CFGCR1 0x6C050
5ee8ee86
PZ
9606#define DPLL_CFGCR1_FREQ_ENABLE (1 << 31)
9607#define DPLL_CFGCR1_DCO_FRACTION_MASK (0x7fff << 9)
9608#define DPLL_CFGCR1_DCO_FRACTION(x) ((x) << 9)
326ac39b
S
9609#define DPLL_CFGCR1_DCO_INTEGER_MASK (0x1ff)
9610
086f8e84
VS
9611#define _DPLL1_CFGCR2 0x6C044
9612#define _DPLL2_CFGCR2 0x6C04C
9613#define _DPLL3_CFGCR2 0x6C054
5ee8ee86
PZ
9614#define DPLL_CFGCR2_QDIV_RATIO_MASK (0xff << 8)
9615#define DPLL_CFGCR2_QDIV_RATIO(x) ((x) << 8)
9616#define DPLL_CFGCR2_QDIV_MODE(x) ((x) << 7)
9617#define DPLL_CFGCR2_KDIV_MASK (3 << 5)
9618#define DPLL_CFGCR2_KDIV(x) ((x) << 5)
9619#define DPLL_CFGCR2_KDIV_5 (0 << 5)
9620#define DPLL_CFGCR2_KDIV_2 (1 << 5)
9621#define DPLL_CFGCR2_KDIV_3 (2 << 5)
9622#define DPLL_CFGCR2_KDIV_1 (3 << 5)
9623#define DPLL_CFGCR2_PDIV_MASK (7 << 2)
9624#define DPLL_CFGCR2_PDIV(x) ((x) << 2)
9625#define DPLL_CFGCR2_PDIV_1 (0 << 2)
9626#define DPLL_CFGCR2_PDIV_2 (1 << 2)
9627#define DPLL_CFGCR2_PDIV_3 (2 << 2)
9628#define DPLL_CFGCR2_PDIV_7 (4 << 2)
326ac39b
S
9629#define DPLL_CFGCR2_CENTRAL_FREQ_MASK (3)
9630
da3b891b 9631#define DPLL_CFGCR1(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR1, _DPLL2_CFGCR1)
f0f59a00 9632#define DPLL_CFGCR2(id) _MMIO_PIPE((id) - SKL_DPLL1, _DPLL1_CFGCR2, _DPLL2_CFGCR2)
540e732c 9633
555e38d2
RV
9634/*
9635 * CNL Clocks
9636 */
9637#define DPCLKA_CFGCR0 _MMIO(0x6C200)
78b60ce7 9638#define DPCLKA_CFGCR0_ICL _MMIO(0x164280)
376faf8a 9639#define DPCLKA_CFGCR0_DDI_CLK_OFF(port) (1 << ((port) == PORT_F ? 23 : \
5ee8ee86 9640 (port) + 10))
bb1c7edc
MK
9641#define ICL_DPCLKA_CFGCR0_DDI_CLK_OFF(port) (1 << ((port) + 10))
9642#define ICL_DPCLKA_CFGCR0_TC_CLK_OFF(tc_port) (1 << ((tc_port) == PORT_TC4 ? \
9643 21 : (tc_port) + 12))
376faf8a 9644#define DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port) ((port) == PORT_F ? 21 : \
5ee8ee86 9645 (port) * 2)
376faf8a
RV
9646#define DPCLKA_CFGCR0_DDI_CLK_SEL_MASK(port) (3 << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
9647#define DPCLKA_CFGCR0_DDI_CLK_SEL(pll, port) ((pll) << DPCLKA_CFGCR0_DDI_CLK_SEL_SHIFT(port))
555e38d2 9648
a927c927
RV
9649/* CNL PLL */
9650#define DPLL0_ENABLE 0x46010
9651#define DPLL1_ENABLE 0x46014
9652#define PLL_ENABLE (1 << 31)
9653#define PLL_LOCK (1 << 30)
9654#define PLL_POWER_ENABLE (1 << 27)
9655#define PLL_POWER_STATE (1 << 26)
9656#define CNL_DPLL_ENABLE(pll) _MMIO_PLL(pll, DPLL0_ENABLE, DPLL1_ENABLE)
9657
1fa11ee2
PZ
9658#define TBT_PLL_ENABLE _MMIO(0x46020)
9659
78b60ce7
PZ
9660#define _MG_PLL1_ENABLE 0x46030
9661#define _MG_PLL2_ENABLE 0x46034
9662#define _MG_PLL3_ENABLE 0x46038
9663#define _MG_PLL4_ENABLE 0x4603C
9664/* Bits are the same as DPLL0_ENABLE */
584fca11 9665#define MG_PLL_ENABLE(tc_port) _MMIO_PORT((tc_port), _MG_PLL1_ENABLE, \
78b60ce7
PZ
9666 _MG_PLL2_ENABLE)
9667
9668#define _MG_REFCLKIN_CTL_PORT1 0x16892C
9669#define _MG_REFCLKIN_CTL_PORT2 0x16992C
9670#define _MG_REFCLKIN_CTL_PORT3 0x16A92C
9671#define _MG_REFCLKIN_CTL_PORT4 0x16B92C
9672#define MG_REFCLKIN_CTL_OD_2_MUX(x) ((x) << 8)
bd99ce08 9673#define MG_REFCLKIN_CTL_OD_2_MUX_MASK (0x7 << 8)
584fca11
LDM
9674#define MG_REFCLKIN_CTL(tc_port) _MMIO_PORT((tc_port), \
9675 _MG_REFCLKIN_CTL_PORT1, \
9676 _MG_REFCLKIN_CTL_PORT2)
78b60ce7
PZ
9677
9678#define _MG_CLKTOP2_CORECLKCTL1_PORT1 0x1688D8
9679#define _MG_CLKTOP2_CORECLKCTL1_PORT2 0x1698D8
9680#define _MG_CLKTOP2_CORECLKCTL1_PORT3 0x16A8D8
9681#define _MG_CLKTOP2_CORECLKCTL1_PORT4 0x16B8D8
9682#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO(x) ((x) << 16)
bd99ce08 9683#define MG_CLKTOP2_CORECLKCTL1_B_DIVRATIO_MASK (0xff << 16)
78b60ce7 9684#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO(x) ((x) << 8)
bd99ce08 9685#define MG_CLKTOP2_CORECLKCTL1_A_DIVRATIO_MASK (0xff << 8)
584fca11
LDM
9686#define MG_CLKTOP2_CORECLKCTL1(tc_port) _MMIO_PORT((tc_port), \
9687 _MG_CLKTOP2_CORECLKCTL1_PORT1, \
9688 _MG_CLKTOP2_CORECLKCTL1_PORT2)
78b60ce7
PZ
9689
9690#define _MG_CLKTOP2_HSCLKCTL_PORT1 0x1688D4
9691#define _MG_CLKTOP2_HSCLKCTL_PORT2 0x1698D4
9692#define _MG_CLKTOP2_HSCLKCTL_PORT3 0x16A8D4
9693#define _MG_CLKTOP2_HSCLKCTL_PORT4 0x16B8D4
9694#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL(x) ((x) << 16)
bd99ce08 9695#define MG_CLKTOP2_HSCLKCTL_CORE_INPUTSEL_MASK (0x1 << 16)
78b60ce7 9696#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL(x) ((x) << 14)
bd99ce08 9697#define MG_CLKTOP2_HSCLKCTL_TLINEDRV_CLKSEL_MASK (0x3 << 14)
bd99ce08 9698#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_MASK (0x3 << 12)
bcaad532
MN
9699#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_2 (0 << 12)
9700#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_3 (1 << 12)
9701#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_5 (2 << 12)
9702#define MG_CLKTOP2_HSCLKCTL_HSDIV_RATIO_7 (3 << 12)
78b60ce7 9703#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO(x) ((x) << 8)
7b19f544 9704#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_SHIFT 8
bd99ce08 9705#define MG_CLKTOP2_HSCLKCTL_DSDIV_RATIO_MASK (0xf << 8)
584fca11
LDM
9706#define MG_CLKTOP2_HSCLKCTL(tc_port) _MMIO_PORT((tc_port), \
9707 _MG_CLKTOP2_HSCLKCTL_PORT1, \
9708 _MG_CLKTOP2_HSCLKCTL_PORT2)
78b60ce7
PZ
9709
9710#define _MG_PLL_DIV0_PORT1 0x168A00
9711#define _MG_PLL_DIV0_PORT2 0x169A00
9712#define _MG_PLL_DIV0_PORT3 0x16AA00
9713#define _MG_PLL_DIV0_PORT4 0x16BA00
9714#define MG_PLL_DIV0_FRACNEN_H (1 << 30)
7b19f544
MN
9715#define MG_PLL_DIV0_FBDIV_FRAC_MASK (0x3fffff << 8)
9716#define MG_PLL_DIV0_FBDIV_FRAC_SHIFT 8
78b60ce7 9717#define MG_PLL_DIV0_FBDIV_FRAC(x) ((x) << 8)
7b19f544 9718#define MG_PLL_DIV0_FBDIV_INT_MASK (0xff << 0)
78b60ce7 9719#define MG_PLL_DIV0_FBDIV_INT(x) ((x) << 0)
584fca11
LDM
9720#define MG_PLL_DIV0(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV0_PORT1, \
9721 _MG_PLL_DIV0_PORT2)
78b60ce7
PZ
9722
9723#define _MG_PLL_DIV1_PORT1 0x168A04
9724#define _MG_PLL_DIV1_PORT2 0x169A04
9725#define _MG_PLL_DIV1_PORT3 0x16AA04
9726#define _MG_PLL_DIV1_PORT4 0x16BA04
9727#define MG_PLL_DIV1_IREF_NDIVRATIO(x) ((x) << 16)
9728#define MG_PLL_DIV1_DITHER_DIV_1 (0 << 12)
9729#define MG_PLL_DIV1_DITHER_DIV_2 (1 << 12)
9730#define MG_PLL_DIV1_DITHER_DIV_4 (2 << 12)
9731#define MG_PLL_DIV1_DITHER_DIV_8 (3 << 12)
9732#define MG_PLL_DIV1_NDIVRATIO(x) ((x) << 4)
7b19f544 9733#define MG_PLL_DIV1_FBPREDIV_MASK (0xf << 0)
78b60ce7 9734#define MG_PLL_DIV1_FBPREDIV(x) ((x) << 0)
584fca11
LDM
9735#define MG_PLL_DIV1(tc_port) _MMIO_PORT((tc_port), _MG_PLL_DIV1_PORT1, \
9736 _MG_PLL_DIV1_PORT2)
78b60ce7
PZ
9737
9738#define _MG_PLL_LF_PORT1 0x168A08
9739#define _MG_PLL_LF_PORT2 0x169A08
9740#define _MG_PLL_LF_PORT3 0x16AA08
9741#define _MG_PLL_LF_PORT4 0x16BA08
9742#define MG_PLL_LF_TDCTARGETCNT(x) ((x) << 24)
9743#define MG_PLL_LF_AFCCNTSEL_256 (0 << 20)
9744#define MG_PLL_LF_AFCCNTSEL_512 (1 << 20)
9745#define MG_PLL_LF_GAINCTRL(x) ((x) << 16)
9746#define MG_PLL_LF_INT_COEFF(x) ((x) << 8)
9747#define MG_PLL_LF_PROP_COEFF(x) ((x) << 0)
584fca11
LDM
9748#define MG_PLL_LF(tc_port) _MMIO_PORT((tc_port), _MG_PLL_LF_PORT1, \
9749 _MG_PLL_LF_PORT2)
78b60ce7
PZ
9750
9751#define _MG_PLL_FRAC_LOCK_PORT1 0x168A0C
9752#define _MG_PLL_FRAC_LOCK_PORT2 0x169A0C
9753#define _MG_PLL_FRAC_LOCK_PORT3 0x16AA0C
9754#define _MG_PLL_FRAC_LOCK_PORT4 0x16BA0C
9755#define MG_PLL_FRAC_LOCK_TRUELOCK_CRIT_32 (1 << 18)
9756#define MG_PLL_FRAC_LOCK_EARLYLOCK_CRIT_32 (1 << 16)
9757#define MG_PLL_FRAC_LOCK_LOCKTHRESH(x) ((x) << 11)
9758#define MG_PLL_FRAC_LOCK_DCODITHEREN (1 << 10)
9759#define MG_PLL_FRAC_LOCK_FEEDFWRDCAL_EN (1 << 8)
9760#define MG_PLL_FRAC_LOCK_FEEDFWRDGAIN(x) ((x) << 0)
584fca11
LDM
9761#define MG_PLL_FRAC_LOCK(tc_port) _MMIO_PORT((tc_port), \
9762 _MG_PLL_FRAC_LOCK_PORT1, \
9763 _MG_PLL_FRAC_LOCK_PORT2)
78b60ce7
PZ
9764
9765#define _MG_PLL_SSC_PORT1 0x168A10
9766#define _MG_PLL_SSC_PORT2 0x169A10
9767#define _MG_PLL_SSC_PORT3 0x16AA10
9768#define _MG_PLL_SSC_PORT4 0x16BA10
9769#define MG_PLL_SSC_EN (1 << 28)
9770#define MG_PLL_SSC_TYPE(x) ((x) << 26)
9771#define MG_PLL_SSC_STEPLENGTH(x) ((x) << 16)
9772#define MG_PLL_SSC_STEPNUM(x) ((x) << 10)
9773#define MG_PLL_SSC_FLLEN (1 << 9)
9774#define MG_PLL_SSC_STEPSIZE(x) ((x) << 0)
584fca11
LDM
9775#define MG_PLL_SSC(tc_port) _MMIO_PORT((tc_port), _MG_PLL_SSC_PORT1, \
9776 _MG_PLL_SSC_PORT2)
78b60ce7
PZ
9777
9778#define _MG_PLL_BIAS_PORT1 0x168A14
9779#define _MG_PLL_BIAS_PORT2 0x169A14
9780#define _MG_PLL_BIAS_PORT3 0x16AA14
9781#define _MG_PLL_BIAS_PORT4 0x16BA14
9782#define MG_PLL_BIAS_BIAS_GB_SEL(x) ((x) << 30)
bd99ce08 9783#define MG_PLL_BIAS_BIAS_GB_SEL_MASK (0x3 << 30)
78b60ce7 9784#define MG_PLL_BIAS_INIT_DCOAMP(x) ((x) << 24)
bd99ce08 9785#define MG_PLL_BIAS_INIT_DCOAMP_MASK (0x3f << 24)
78b60ce7 9786#define MG_PLL_BIAS_BIAS_BONUS(x) ((x) << 16)
bd99ce08 9787#define MG_PLL_BIAS_BIAS_BONUS_MASK (0xff << 16)
78b60ce7
PZ
9788#define MG_PLL_BIAS_BIASCAL_EN (1 << 15)
9789#define MG_PLL_BIAS_CTRIM(x) ((x) << 8)
bd99ce08 9790#define MG_PLL_BIAS_CTRIM_MASK (0x1f << 8)
78b60ce7 9791#define MG_PLL_BIAS_VREF_RDAC(x) ((x) << 5)
bd99ce08 9792#define MG_PLL_BIAS_VREF_RDAC_MASK (0x7 << 5)
78b60ce7 9793#define MG_PLL_BIAS_IREFTRIM(x) ((x) << 0)
bd99ce08 9794#define MG_PLL_BIAS_IREFTRIM_MASK (0x1f << 0)
584fca11
LDM
9795#define MG_PLL_BIAS(tc_port) _MMIO_PORT((tc_port), _MG_PLL_BIAS_PORT1, \
9796 _MG_PLL_BIAS_PORT2)
78b60ce7
PZ
9797
9798#define _MG_PLL_TDC_COLDST_BIAS_PORT1 0x168A18
9799#define _MG_PLL_TDC_COLDST_BIAS_PORT2 0x169A18
9800#define _MG_PLL_TDC_COLDST_BIAS_PORT3 0x16AA18
9801#define _MG_PLL_TDC_COLDST_BIAS_PORT4 0x16BA18
9802#define MG_PLL_TDC_COLDST_IREFINT_EN (1 << 27)
9803#define MG_PLL_TDC_COLDST_REFBIAS_START_PULSE_W(x) ((x) << 17)
9804#define MG_PLL_TDC_COLDST_COLDSTART (1 << 16)
9805#define MG_PLL_TDC_TDCOVCCORR_EN (1 << 2)
9806#define MG_PLL_TDC_TDCSEL(x) ((x) << 0)
584fca11
LDM
9807#define MG_PLL_TDC_COLDST_BIAS(tc_port) _MMIO_PORT((tc_port), \
9808 _MG_PLL_TDC_COLDST_BIAS_PORT1, \
9809 _MG_PLL_TDC_COLDST_BIAS_PORT2)
78b60ce7 9810
a927c927
RV
9811#define _CNL_DPLL0_CFGCR0 0x6C000
9812#define _CNL_DPLL1_CFGCR0 0x6C080
9813#define DPLL_CFGCR0_HDMI_MODE (1 << 30)
9814#define DPLL_CFGCR0_SSC_ENABLE (1 << 29)
78b60ce7 9815#define DPLL_CFGCR0_SSC_ENABLE_ICL (1 << 25)
a927c927
RV
9816#define DPLL_CFGCR0_LINK_RATE_MASK (0xf << 25)
9817#define DPLL_CFGCR0_LINK_RATE_2700 (0 << 25)
9818#define DPLL_CFGCR0_LINK_RATE_1350 (1 << 25)
9819#define DPLL_CFGCR0_LINK_RATE_810 (2 << 25)
9820#define DPLL_CFGCR0_LINK_RATE_1620 (3 << 25)
9821#define DPLL_CFGCR0_LINK_RATE_1080 (4 << 25)
9822#define DPLL_CFGCR0_LINK_RATE_2160 (5 << 25)
9823#define DPLL_CFGCR0_LINK_RATE_3240 (6 << 25)
9824#define DPLL_CFGCR0_LINK_RATE_4050 (7 << 25)
9825#define DPLL_CFGCR0_DCO_FRACTION_MASK (0x7fff << 10)
442aa277 9826#define DPLL_CFGCR0_DCO_FRACTION_SHIFT (10)
a927c927
RV
9827#define DPLL_CFGCR0_DCO_FRACTION(x) ((x) << 10)
9828#define DPLL_CFGCR0_DCO_INTEGER_MASK (0x3ff)
9829#define CNL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR0, _CNL_DPLL1_CFGCR0)
9830
9831#define _CNL_DPLL0_CFGCR1 0x6C004
9832#define _CNL_DPLL1_CFGCR1 0x6C084
9833#define DPLL_CFGCR1_QDIV_RATIO_MASK (0xff << 10)
a9701a89 9834#define DPLL_CFGCR1_QDIV_RATIO_SHIFT (10)
a927c927 9835#define DPLL_CFGCR1_QDIV_RATIO(x) ((x) << 10)
51c83cfa 9836#define DPLL_CFGCR1_QDIV_MODE_SHIFT (9)
a927c927
RV
9837#define DPLL_CFGCR1_QDIV_MODE(x) ((x) << 9)
9838#define DPLL_CFGCR1_KDIV_MASK (7 << 6)
51c83cfa 9839#define DPLL_CFGCR1_KDIV_SHIFT (6)
a927c927
RV
9840#define DPLL_CFGCR1_KDIV(x) ((x) << 6)
9841#define DPLL_CFGCR1_KDIV_1 (1 << 6)
9842#define DPLL_CFGCR1_KDIV_2 (2 << 6)
2ee7fd1e 9843#define DPLL_CFGCR1_KDIV_3 (4 << 6)
a927c927 9844#define DPLL_CFGCR1_PDIV_MASK (0xf << 2)
51c83cfa 9845#define DPLL_CFGCR1_PDIV_SHIFT (2)
a927c927
RV
9846#define DPLL_CFGCR1_PDIV(x) ((x) << 2)
9847#define DPLL_CFGCR1_PDIV_2 (1 << 2)
9848#define DPLL_CFGCR1_PDIV_3 (2 << 2)
9849#define DPLL_CFGCR1_PDIV_5 (4 << 2)
9850#define DPLL_CFGCR1_PDIV_7 (8 << 2)
9851#define DPLL_CFGCR1_CENTRAL_FREQ (3 << 0)
78b60ce7 9852#define DPLL_CFGCR1_CENTRAL_FREQ_8400 (3 << 0)
a927c927
RV
9853#define CNL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _CNL_DPLL0_CFGCR1, _CNL_DPLL1_CFGCR1)
9854
78b60ce7
PZ
9855#define _ICL_DPLL0_CFGCR0 0x164000
9856#define _ICL_DPLL1_CFGCR0 0x164080
9857#define ICL_DPLL_CFGCR0(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR0, \
9858 _ICL_DPLL1_CFGCR0)
9859
9860#define _ICL_DPLL0_CFGCR1 0x164004
9861#define _ICL_DPLL1_CFGCR1 0x164084
9862#define ICL_DPLL_CFGCR1(pll) _MMIO_PLL(pll, _ICL_DPLL0_CFGCR1, \
9863 _ICL_DPLL1_CFGCR1)
9864
f8437dd1 9865/* BXT display engine PLL */
f0f59a00 9866#define BXT_DE_PLL_CTL _MMIO(0x6d000)
f8437dd1
VK
9867#define BXT_DE_PLL_RATIO(x) (x) /* {60,65,100} * 19.2MHz */
9868#define BXT_DE_PLL_RATIO_MASK 0xff
9869
f0f59a00 9870#define BXT_DE_PLL_ENABLE _MMIO(0x46070)
f8437dd1
VK
9871#define BXT_DE_PLL_PLL_ENABLE (1 << 31)
9872#define BXT_DE_PLL_LOCK (1 << 30)
945f2672
VS
9873#define CNL_CDCLK_PLL_RATIO(x) (x)
9874#define CNL_CDCLK_PLL_RATIO_MASK 0xff
f8437dd1 9875
664326f8 9876/* GEN9 DC */
f0f59a00 9877#define DC_STATE_EN _MMIO(0x45504)
13ae3a0d 9878#define DC_STATE_DISABLE 0
5ee8ee86
PZ
9879#define DC_STATE_EN_UPTO_DC5 (1 << 0)
9880#define DC_STATE_EN_DC9 (1 << 3)
9881#define DC_STATE_EN_UPTO_DC6 (2 << 0)
6b457d31
SK
9882#define DC_STATE_EN_UPTO_DC5_DC6_MASK 0x3
9883
f0f59a00 9884#define DC_STATE_DEBUG _MMIO(0x45520)
5ee8ee86
PZ
9885#define DC_STATE_DEBUG_MASK_CORES (1 << 0)
9886#define DC_STATE_DEBUG_MASK_MEMORY_UP (1 << 1)
6b457d31 9887
cbfa59d4
MK
9888#define BXT_P_CR_MC_BIOS_REQ_0_0_0 _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x7114)
9889#define BXT_REQ_DATA_MASK 0x3F
9890#define BXT_DRAM_CHANNEL_ACTIVE_SHIFT 12
9891#define BXT_DRAM_CHANNEL_ACTIVE_MASK (0xF << 12)
9892#define BXT_MEMORY_FREQ_MULTIPLIER_HZ 133333333
9893
9894#define BXT_D_CR_DRP0_DUNIT8 0x1000
9895#define BXT_D_CR_DRP0_DUNIT9 0x1200
9896#define BXT_D_CR_DRP0_DUNIT_START 8
9897#define BXT_D_CR_DRP0_DUNIT_END 11
9898#define BXT_D_CR_DRP0_DUNIT(x) _MMIO(MCHBAR_MIRROR_BASE_SNB + \
9899 _PICK_EVEN((x) - 8, BXT_D_CR_DRP0_DUNIT8,\
9900 BXT_D_CR_DRP0_DUNIT9))
9901#define BXT_DRAM_RANK_MASK 0x3
9902#define BXT_DRAM_RANK_SINGLE 0x1
9903#define BXT_DRAM_RANK_DUAL 0x3
9904#define BXT_DRAM_WIDTH_MASK (0x3 << 4)
9905#define BXT_DRAM_WIDTH_SHIFT 4
9906#define BXT_DRAM_WIDTH_X8 (0x0 << 4)
9907#define BXT_DRAM_WIDTH_X16 (0x1 << 4)
9908#define BXT_DRAM_WIDTH_X32 (0x2 << 4)
9909#define BXT_DRAM_WIDTH_X64 (0x3 << 4)
9910#define BXT_DRAM_SIZE_MASK (0x7 << 6)
9911#define BXT_DRAM_SIZE_SHIFT 6
8860343c
VS
9912#define BXT_DRAM_SIZE_4GBIT (0x0 << 6)
9913#define BXT_DRAM_SIZE_6GBIT (0x1 << 6)
9914#define BXT_DRAM_SIZE_8GBIT (0x2 << 6)
9915#define BXT_DRAM_SIZE_12GBIT (0x3 << 6)
9916#define BXT_DRAM_SIZE_16GBIT (0x4 << 6)
b185a352
VS
9917#define BXT_DRAM_TYPE_MASK (0x7 << 22)
9918#define BXT_DRAM_TYPE_SHIFT 22
9919#define BXT_DRAM_TYPE_DDR3 (0x0 << 22)
9920#define BXT_DRAM_TYPE_LPDDR3 (0x1 << 22)
9921#define BXT_DRAM_TYPE_LPDDR4 (0x2 << 22)
9922#define BXT_DRAM_TYPE_DDR4 (0x4 << 22)
cbfa59d4 9923
5771caf8
MK
9924#define SKL_MEMORY_FREQ_MULTIPLIER_HZ 266666666
9925#define SKL_MC_BIOS_DATA_0_0_0_MCHBAR_PCU _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5E04)
9926#define SKL_REQ_DATA_MASK (0xF << 0)
9927
b185a352
VS
9928#define SKL_MAD_INTER_CHANNEL_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5000)
9929#define SKL_DRAM_DDR_TYPE_MASK (0x3 << 0)
9930#define SKL_DRAM_DDR_TYPE_DDR4 (0 << 0)
9931#define SKL_DRAM_DDR_TYPE_DDR3 (1 << 0)
9932#define SKL_DRAM_DDR_TYPE_LPDDR3 (2 << 0)
9933#define SKL_DRAM_DDR_TYPE_LPDDR4 (3 << 0)
9934
5771caf8
MK
9935#define SKL_MAD_DIMM_CH0_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x500C)
9936#define SKL_MAD_DIMM_CH1_0_0_0_MCHBAR_MCMAIN _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5010)
9937#define SKL_DRAM_S_SHIFT 16
9938#define SKL_DRAM_SIZE_MASK 0x3F
9939#define SKL_DRAM_WIDTH_MASK (0x3 << 8)
9940#define SKL_DRAM_WIDTH_SHIFT 8
9941#define SKL_DRAM_WIDTH_X8 (0x0 << 8)
9942#define SKL_DRAM_WIDTH_X16 (0x1 << 8)
9943#define SKL_DRAM_WIDTH_X32 (0x2 << 8)
9944#define SKL_DRAM_RANK_MASK (0x1 << 10)
9945#define SKL_DRAM_RANK_SHIFT 10
6d9c1e92
VS
9946#define SKL_DRAM_RANK_1 (0x0 << 10)
9947#define SKL_DRAM_RANK_2 (0x1 << 10)
9948#define SKL_DRAM_RANK_MASK (0x1 << 10)
9949#define CNL_DRAM_SIZE_MASK 0x7F
9950#define CNL_DRAM_WIDTH_MASK (0x3 << 7)
9951#define CNL_DRAM_WIDTH_SHIFT 7
9952#define CNL_DRAM_WIDTH_X8 (0x0 << 7)
9953#define CNL_DRAM_WIDTH_X16 (0x1 << 7)
9954#define CNL_DRAM_WIDTH_X32 (0x2 << 7)
9955#define CNL_DRAM_RANK_MASK (0x3 << 9)
9956#define CNL_DRAM_RANK_SHIFT 9
9957#define CNL_DRAM_RANK_1 (0x0 << 9)
9958#define CNL_DRAM_RANK_2 (0x1 << 9)
9959#define CNL_DRAM_RANK_3 (0x2 << 9)
9960#define CNL_DRAM_RANK_4 (0x3 << 9)
5771caf8 9961
9ccd5aeb
PZ
9962/* Please see hsw_read_dcomp() and hsw_write_dcomp() before using this register,
9963 * since on HSW we can't write to it using I915_WRITE. */
f0f59a00
VS
9964#define D_COMP_HSW _MMIO(MCHBAR_MIRROR_BASE_SNB + 0x5F0C)
9965#define D_COMP_BDW _MMIO(0x138144)
5ee8ee86
PZ
9966#define D_COMP_RCOMP_IN_PROGRESS (1 << 9)
9967#define D_COMP_COMP_FORCE (1 << 8)
9968#define D_COMP_COMP_DISABLE (1 << 0)
90e8d31c 9969
69e94b7e 9970/* Pipe WM_LINETIME - watermark line time */
086f8e84
VS
9971#define _PIPE_WM_LINETIME_A 0x45270
9972#define _PIPE_WM_LINETIME_B 0x45274
f0f59a00 9973#define PIPE_WM_LINETIME(pipe) _MMIO_PIPE(pipe, _PIPE_WM_LINETIME_A, _PIPE_WM_LINETIME_B)
5e49cea6
PZ
9974#define PIPE_WM_LINETIME_MASK (0x1ff)
9975#define PIPE_WM_LINETIME_TIME(x) ((x))
5ee8ee86
PZ
9976#define PIPE_WM_LINETIME_IPS_LINETIME_MASK (0x1ff << 16)
9977#define PIPE_WM_LINETIME_IPS_LINETIME(x) ((x) << 16)
96d6e350
ED
9978
9979/* SFUSE_STRAP */
f0f59a00 9980#define SFUSE_STRAP _MMIO(0xc2014)
5ee8ee86
PZ
9981#define SFUSE_STRAP_FUSE_LOCK (1 << 13)
9982#define SFUSE_STRAP_RAW_FREQUENCY (1 << 8)
9983#define SFUSE_STRAP_DISPLAY_DISABLED (1 << 7)
9984#define SFUSE_STRAP_CRT_DISABLED (1 << 6)
9985#define SFUSE_STRAP_DDIF_DETECTED (1 << 3)
9986#define SFUSE_STRAP_DDIB_DETECTED (1 << 2)
9987#define SFUSE_STRAP_DDIC_DETECTED (1 << 1)
9988#define SFUSE_STRAP_DDID_DETECTED (1 << 0)
96d6e350 9989
f0f59a00 9990#define WM_MISC _MMIO(0x45260)
801bcfff
PZ
9991#define WM_MISC_DATA_PARTITION_5_6 (1 << 0)
9992
f0f59a00 9993#define WM_DBG _MMIO(0x45280)
5ee8ee86
PZ
9994#define WM_DBG_DISALLOW_MULTIPLE_LP (1 << 0)
9995#define WM_DBG_DISALLOW_MAXFIFO (1 << 1)
9996#define WM_DBG_DISALLOW_SPRITE (1 << 2)
1544d9d5 9997
86d3efce
VS
9998/* pipe CSC */
9999#define _PIPE_A_CSC_COEFF_RY_GY 0x49010
10000#define _PIPE_A_CSC_COEFF_BY 0x49014
10001#define _PIPE_A_CSC_COEFF_RU_GU 0x49018
10002#define _PIPE_A_CSC_COEFF_BU 0x4901c
10003#define _PIPE_A_CSC_COEFF_RV_GV 0x49020
10004#define _PIPE_A_CSC_COEFF_BV 0x49024
255fcfbc 10005
86d3efce 10006#define _PIPE_A_CSC_MODE 0x49028
255fcfbc 10007#define ICL_CSC_ENABLE (1 << 31)
a91de580 10008#define ICL_OUTPUT_CSC_ENABLE (1 << 30)
255fcfbc
US
10009#define CSC_BLACK_SCREEN_OFFSET (1 << 2)
10010#define CSC_POSITION_BEFORE_GAMMA (1 << 1)
10011#define CSC_MODE_YUV_TO_RGB (1 << 0)
10012
86d3efce
VS
10013#define _PIPE_A_CSC_PREOFF_HI 0x49030
10014#define _PIPE_A_CSC_PREOFF_ME 0x49034
10015#define _PIPE_A_CSC_PREOFF_LO 0x49038
10016#define _PIPE_A_CSC_POSTOFF_HI 0x49040
10017#define _PIPE_A_CSC_POSTOFF_ME 0x49044
10018#define _PIPE_A_CSC_POSTOFF_LO 0x49048
10019
10020#define _PIPE_B_CSC_COEFF_RY_GY 0x49110
10021#define _PIPE_B_CSC_COEFF_BY 0x49114
10022#define _PIPE_B_CSC_COEFF_RU_GU 0x49118
10023#define _PIPE_B_CSC_COEFF_BU 0x4911c
10024#define _PIPE_B_CSC_COEFF_RV_GV 0x49120
10025#define _PIPE_B_CSC_COEFF_BV 0x49124
10026#define _PIPE_B_CSC_MODE 0x49128
10027#define _PIPE_B_CSC_PREOFF_HI 0x49130
10028#define _PIPE_B_CSC_PREOFF_ME 0x49134
10029#define _PIPE_B_CSC_PREOFF_LO 0x49138
10030#define _PIPE_B_CSC_POSTOFF_HI 0x49140
10031#define _PIPE_B_CSC_POSTOFF_ME 0x49144
10032#define _PIPE_B_CSC_POSTOFF_LO 0x49148
10033
f0f59a00
VS
10034#define PIPE_CSC_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RY_GY, _PIPE_B_CSC_COEFF_RY_GY)
10035#define PIPE_CSC_COEFF_BY(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BY, _PIPE_B_CSC_COEFF_BY)
10036#define PIPE_CSC_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RU_GU, _PIPE_B_CSC_COEFF_RU_GU)
10037#define PIPE_CSC_COEFF_BU(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BU, _PIPE_B_CSC_COEFF_BU)
10038#define PIPE_CSC_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_RV_GV, _PIPE_B_CSC_COEFF_RV_GV)
10039#define PIPE_CSC_COEFF_BV(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_COEFF_BV, _PIPE_B_CSC_COEFF_BV)
10040#define PIPE_CSC_MODE(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_MODE, _PIPE_B_CSC_MODE)
10041#define PIPE_CSC_PREOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_HI, _PIPE_B_CSC_PREOFF_HI)
10042#define PIPE_CSC_PREOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_ME, _PIPE_B_CSC_PREOFF_ME)
10043#define PIPE_CSC_PREOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_PREOFF_LO, _PIPE_B_CSC_PREOFF_LO)
10044#define PIPE_CSC_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_HI, _PIPE_B_CSC_POSTOFF_HI)
10045#define PIPE_CSC_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_ME, _PIPE_B_CSC_POSTOFF_ME)
10046#define PIPE_CSC_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, _PIPE_A_CSC_POSTOFF_LO, _PIPE_B_CSC_POSTOFF_LO)
86d3efce 10047
a91de580
US
10048/* Pipe Output CSC */
10049#define _PIPE_A_OUTPUT_CSC_COEFF_RY_GY 0x49050
10050#define _PIPE_A_OUTPUT_CSC_COEFF_BY 0x49054
10051#define _PIPE_A_OUTPUT_CSC_COEFF_RU_GU 0x49058
10052#define _PIPE_A_OUTPUT_CSC_COEFF_BU 0x4905c
10053#define _PIPE_A_OUTPUT_CSC_COEFF_RV_GV 0x49060
10054#define _PIPE_A_OUTPUT_CSC_COEFF_BV 0x49064
10055#define _PIPE_A_OUTPUT_CSC_PREOFF_HI 0x49068
10056#define _PIPE_A_OUTPUT_CSC_PREOFF_ME 0x4906c
10057#define _PIPE_A_OUTPUT_CSC_PREOFF_LO 0x49070
10058#define _PIPE_A_OUTPUT_CSC_POSTOFF_HI 0x49074
10059#define _PIPE_A_OUTPUT_CSC_POSTOFF_ME 0x49078
10060#define _PIPE_A_OUTPUT_CSC_POSTOFF_LO 0x4907c
10061
10062#define _PIPE_B_OUTPUT_CSC_COEFF_RY_GY 0x49150
10063#define _PIPE_B_OUTPUT_CSC_COEFF_BY 0x49154
10064#define _PIPE_B_OUTPUT_CSC_COEFF_RU_GU 0x49158
10065#define _PIPE_B_OUTPUT_CSC_COEFF_BU 0x4915c
10066#define _PIPE_B_OUTPUT_CSC_COEFF_RV_GV 0x49160
10067#define _PIPE_B_OUTPUT_CSC_COEFF_BV 0x49164
10068#define _PIPE_B_OUTPUT_CSC_PREOFF_HI 0x49168
10069#define _PIPE_B_OUTPUT_CSC_PREOFF_ME 0x4916c
10070#define _PIPE_B_OUTPUT_CSC_PREOFF_LO 0x49170
10071#define _PIPE_B_OUTPUT_CSC_POSTOFF_HI 0x49174
10072#define _PIPE_B_OUTPUT_CSC_POSTOFF_ME 0x49178
10073#define _PIPE_B_OUTPUT_CSC_POSTOFF_LO 0x4917c
10074
10075#define PIPE_CSC_OUTPUT_COEFF_RY_GY(pipe) _MMIO_PIPE(pipe,\
10076 _PIPE_A_OUTPUT_CSC_COEFF_RY_GY,\
10077 _PIPE_B_OUTPUT_CSC_COEFF_RY_GY)
10078#define PIPE_CSC_OUTPUT_COEFF_BY(pipe) _MMIO_PIPE(pipe, \
10079 _PIPE_A_OUTPUT_CSC_COEFF_BY, \
10080 _PIPE_B_OUTPUT_CSC_COEFF_BY)
10081#define PIPE_CSC_OUTPUT_COEFF_RU_GU(pipe) _MMIO_PIPE(pipe, \
10082 _PIPE_A_OUTPUT_CSC_COEFF_RU_GU, \
10083 _PIPE_B_OUTPUT_CSC_COEFF_RU_GU)
10084#define PIPE_CSC_OUTPUT_COEFF_BU(pipe) _MMIO_PIPE(pipe, \
10085 _PIPE_A_OUTPUT_CSC_COEFF_BU, \
10086 _PIPE_B_OUTPUT_CSC_COEFF_BU)
10087#define PIPE_CSC_OUTPUT_COEFF_RV_GV(pipe) _MMIO_PIPE(pipe, \
10088 _PIPE_A_OUTPUT_CSC_COEFF_RV_GV, \
10089 _PIPE_B_OUTPUT_CSC_COEFF_RV_GV)
10090#define PIPE_CSC_OUTPUT_COEFF_BV(pipe) _MMIO_PIPE(pipe, \
10091 _PIPE_A_OUTPUT_CSC_COEFF_BV, \
10092 _PIPE_B_OUTPUT_CSC_COEFF_BV)
10093#define PIPE_CSC_OUTPUT_PREOFF_HI(pipe) _MMIO_PIPE(pipe, \
10094 _PIPE_A_OUTPUT_CSC_PREOFF_HI, \
10095 _PIPE_B_OUTPUT_CSC_PREOFF_HI)
10096#define PIPE_CSC_OUTPUT_PREOFF_ME(pipe) _MMIO_PIPE(pipe, \
10097 _PIPE_A_OUTPUT_CSC_PREOFF_ME, \
10098 _PIPE_B_OUTPUT_CSC_PREOFF_ME)
10099#define PIPE_CSC_OUTPUT_PREOFF_LO(pipe) _MMIO_PIPE(pipe, \
10100 _PIPE_A_OUTPUT_CSC_PREOFF_LO, \
10101 _PIPE_B_OUTPUT_CSC_PREOFF_LO)
10102#define PIPE_CSC_OUTPUT_POSTOFF_HI(pipe) _MMIO_PIPE(pipe, \
10103 _PIPE_A_OUTPUT_CSC_POSTOFF_HI, \
10104 _PIPE_B_OUTPUT_CSC_POSTOFF_HI)
10105#define PIPE_CSC_OUTPUT_POSTOFF_ME(pipe) _MMIO_PIPE(pipe, \
10106 _PIPE_A_OUTPUT_CSC_POSTOFF_ME, \
10107 _PIPE_B_OUTPUT_CSC_POSTOFF_ME)
10108#define PIPE_CSC_OUTPUT_POSTOFF_LO(pipe) _MMIO_PIPE(pipe, \
10109 _PIPE_A_OUTPUT_CSC_POSTOFF_LO, \
10110 _PIPE_B_OUTPUT_CSC_POSTOFF_LO)
10111
82cf435b
LL
10112/* pipe degamma/gamma LUTs on IVB+ */
10113#define _PAL_PREC_INDEX_A 0x4A400
10114#define _PAL_PREC_INDEX_B 0x4AC00
10115#define _PAL_PREC_INDEX_C 0x4B400
10116#define PAL_PREC_10_12_BIT (0 << 31)
10117#define PAL_PREC_SPLIT_MODE (1 << 31)
10118#define PAL_PREC_AUTO_INCREMENT (1 << 15)
2fcb2066 10119#define PAL_PREC_INDEX_VALUE_MASK (0x3ff << 0)
5bda1aca 10120#define PAL_PREC_INDEX_VALUE(x) ((x) << 0)
82cf435b
LL
10121#define _PAL_PREC_DATA_A 0x4A404
10122#define _PAL_PREC_DATA_B 0x4AC04
10123#define _PAL_PREC_DATA_C 0x4B404
10124#define _PAL_PREC_GC_MAX_A 0x4A410
10125#define _PAL_PREC_GC_MAX_B 0x4AC10
10126#define _PAL_PREC_GC_MAX_C 0x4B410
10127#define _PAL_PREC_EXT_GC_MAX_A 0x4A420
10128#define _PAL_PREC_EXT_GC_MAX_B 0x4AC20
10129#define _PAL_PREC_EXT_GC_MAX_C 0x4B420
9751bafc
ACO
10130#define _PAL_PREC_EXT2_GC_MAX_A 0x4A430
10131#define _PAL_PREC_EXT2_GC_MAX_B 0x4AC30
10132#define _PAL_PREC_EXT2_GC_MAX_C 0x4B430
82cf435b
LL
10133
10134#define PREC_PAL_INDEX(pipe) _MMIO_PIPE(pipe, _PAL_PREC_INDEX_A, _PAL_PREC_INDEX_B)
10135#define PREC_PAL_DATA(pipe) _MMIO_PIPE(pipe, _PAL_PREC_DATA_A, _PAL_PREC_DATA_B)
10136#define PREC_PAL_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_GC_MAX_A, _PAL_PREC_GC_MAX_B) + (i) * 4)
10137#define PREC_PAL_EXT_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT_GC_MAX_A, _PAL_PREC_EXT_GC_MAX_B) + (i) * 4)
502da13a 10138#define PREC_PAL_EXT2_GC_MAX(pipe, i) _MMIO(_PIPE(pipe, _PAL_PREC_EXT2_GC_MAX_A, _PAL_PREC_EXT2_GC_MAX_B) + (i) * 4)
82cf435b 10139
9751bafc
ACO
10140#define _PRE_CSC_GAMC_INDEX_A 0x4A484
10141#define _PRE_CSC_GAMC_INDEX_B 0x4AC84
10142#define _PRE_CSC_GAMC_INDEX_C 0x4B484
10143#define PRE_CSC_GAMC_AUTO_INCREMENT (1 << 10)
10144#define _PRE_CSC_GAMC_DATA_A 0x4A488
10145#define _PRE_CSC_GAMC_DATA_B 0x4AC88
10146#define _PRE_CSC_GAMC_DATA_C 0x4B488
10147
10148#define PRE_CSC_GAMC_INDEX(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_INDEX_A, _PRE_CSC_GAMC_INDEX_B)
10149#define PRE_CSC_GAMC_DATA(pipe) _MMIO_PIPE(pipe, _PRE_CSC_GAMC_DATA_A, _PRE_CSC_GAMC_DATA_B)
10150
29dc3739
LL
10151/* pipe CSC & degamma/gamma LUTs on CHV */
10152#define _CGM_PIPE_A_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x67900)
10153#define _CGM_PIPE_A_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x67904)
10154#define _CGM_PIPE_A_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x67908)
10155#define _CGM_PIPE_A_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6790C)
10156#define _CGM_PIPE_A_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x67910)
10157#define _CGM_PIPE_A_DEGAMMA (VLV_DISPLAY_BASE + 0x66000)
10158#define _CGM_PIPE_A_GAMMA (VLV_DISPLAY_BASE + 0x67000)
10159#define _CGM_PIPE_A_MODE (VLV_DISPLAY_BASE + 0x67A00)
10160#define CGM_PIPE_MODE_GAMMA (1 << 2)
10161#define CGM_PIPE_MODE_CSC (1 << 1)
10162#define CGM_PIPE_MODE_DEGAMMA (1 << 0)
10163
10164#define _CGM_PIPE_B_CSC_COEFF01 (VLV_DISPLAY_BASE + 0x69900)
10165#define _CGM_PIPE_B_CSC_COEFF23 (VLV_DISPLAY_BASE + 0x69904)
10166#define _CGM_PIPE_B_CSC_COEFF45 (VLV_DISPLAY_BASE + 0x69908)
10167#define _CGM_PIPE_B_CSC_COEFF67 (VLV_DISPLAY_BASE + 0x6990C)
10168#define _CGM_PIPE_B_CSC_COEFF8 (VLV_DISPLAY_BASE + 0x69910)
10169#define _CGM_PIPE_B_DEGAMMA (VLV_DISPLAY_BASE + 0x68000)
10170#define _CGM_PIPE_B_GAMMA (VLV_DISPLAY_BASE + 0x69000)
10171#define _CGM_PIPE_B_MODE (VLV_DISPLAY_BASE + 0x69A00)
10172
10173#define CGM_PIPE_CSC_COEFF01(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF01, _CGM_PIPE_B_CSC_COEFF01)
10174#define CGM_PIPE_CSC_COEFF23(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF23, _CGM_PIPE_B_CSC_COEFF23)
10175#define CGM_PIPE_CSC_COEFF45(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF45, _CGM_PIPE_B_CSC_COEFF45)
10176#define CGM_PIPE_CSC_COEFF67(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF67, _CGM_PIPE_B_CSC_COEFF67)
10177#define CGM_PIPE_CSC_COEFF8(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_CSC_COEFF8, _CGM_PIPE_B_CSC_COEFF8)
10178#define CGM_PIPE_DEGAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_DEGAMMA, _CGM_PIPE_B_DEGAMMA) + (i) * 8 + (w) * 4)
10179#define CGM_PIPE_GAMMA(pipe, i, w) _MMIO(_PIPE(pipe, _CGM_PIPE_A_GAMMA, _CGM_PIPE_B_GAMMA) + (i) * 8 + (w) * 4)
10180#define CGM_PIPE_MODE(pipe) _MMIO_PIPE(pipe, _CGM_PIPE_A_MODE, _CGM_PIPE_B_MODE)
10181
e7d7cad0
JN
10182/* MIPI DSI registers */
10183
0ad4dc88 10184#define _MIPI_PORT(port, a, c) (((port) == PORT_A) ? a : c) /* ports A and C only */
f0f59a00 10185#define _MMIO_MIPI(port, a, c) _MMIO(_MIPI_PORT(port, a, c))
3230bf14 10186
292272ee
MC
10187/* Gen11 DSI */
10188#define _MMIO_DSI(tc, dsi0, dsi1) _MMIO_TRANS((tc) - TRANSCODER_DSI_0, \
10189 dsi0, dsi1)
10190
bcc65700
D
10191#define MIPIO_TXESC_CLK_DIV1 _MMIO(0x160004)
10192#define GLK_TX_ESC_CLK_DIV1_MASK 0x3FF
10193#define MIPIO_TXESC_CLK_DIV2 _MMIO(0x160008)
10194#define GLK_TX_ESC_CLK_DIV2_MASK 0x3FF
10195
27efd256
MC
10196#define _ICL_DSI_ESC_CLK_DIV0 0x6b090
10197#define _ICL_DSI_ESC_CLK_DIV1 0x6b890
10198#define ICL_DSI_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10199 _ICL_DSI_ESC_CLK_DIV0, \
10200 _ICL_DSI_ESC_CLK_DIV1)
10201#define _ICL_DPHY_ESC_CLK_DIV0 0x162190
10202#define _ICL_DPHY_ESC_CLK_DIV1 0x6C190
10203#define ICL_DPHY_ESC_CLK_DIV(port) _MMIO_PORT((port), \
10204 _ICL_DPHY_ESC_CLK_DIV0, \
10205 _ICL_DPHY_ESC_CLK_DIV1)
10206#define ICL_BYTE_CLK_PER_ESC_CLK_MASK (0x1f << 16)
10207#define ICL_BYTE_CLK_PER_ESC_CLK_SHIFT 16
10208#define ICL_ESC_CLK_DIV_MASK 0x1ff
10209#define ICL_ESC_CLK_DIV_SHIFT 0
fcfe0bdc 10210#define DSI_MAX_ESC_CLK 20000 /* in KHz */
27efd256 10211
aec0246f
US
10212/* Gen4+ Timestamp and Pipe Frame time stamp registers */
10213#define GEN4_TIMESTAMP _MMIO(0x2358)
10214#define ILK_TIMESTAMP_HI _MMIO(0x70070)
10215#define IVB_TIMESTAMP_CTR _MMIO(0x44070)
10216
dab91783
LL
10217#define GEN9_TIMESTAMP_OVERRIDE _MMIO(0x44074)
10218#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_SHIFT 0
10219#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DIVIDER_MASK 0x3ff
10220#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_SHIFT 12
10221#define GEN9_TIMESTAMP_OVERRIDE_US_COUNTER_DENOMINATOR_MASK (0xf << 12)
10222
aec0246f
US
10223#define _PIPE_FRMTMSTMP_A 0x70048
10224#define PIPE_FRMTMSTMP(pipe) \
10225 _MMIO_PIPE2(pipe, _PIPE_FRMTMSTMP_A)
10226
11b8e4f5
SS
10227/* BXT MIPI clock controls */
10228#define BXT_MAX_VAR_OUTPUT_KHZ 39500
10229
f0f59a00 10230#define BXT_MIPI_CLOCK_CTL _MMIO(0x46090)
11b8e4f5
SS
10231#define BXT_MIPI1_DIV_SHIFT 26
10232#define BXT_MIPI2_DIV_SHIFT 10
10233#define BXT_MIPI_DIV_SHIFT(port) \
10234 _MIPI_PORT(port, BXT_MIPI1_DIV_SHIFT, \
10235 BXT_MIPI2_DIV_SHIFT)
782d25ca 10236
11b8e4f5 10237/* TX control divider to select actual TX clock output from (8x/var) */
782d25ca
D
10238#define BXT_MIPI1_TX_ESCLK_SHIFT 26
10239#define BXT_MIPI2_TX_ESCLK_SHIFT 10
11b8e4f5
SS
10240#define BXT_MIPI_TX_ESCLK_SHIFT(port) \
10241 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_SHIFT, \
10242 BXT_MIPI2_TX_ESCLK_SHIFT)
782d25ca
D
10243#define BXT_MIPI1_TX_ESCLK_FIXDIV_MASK (0x3F << 26)
10244#define BXT_MIPI2_TX_ESCLK_FIXDIV_MASK (0x3F << 10)
11b8e4f5
SS
10245#define BXT_MIPI_TX_ESCLK_FIXDIV_MASK(port) \
10246 _MIPI_PORT(port, BXT_MIPI1_TX_ESCLK_FIXDIV_MASK, \
782d25ca
D
10247 BXT_MIPI2_TX_ESCLK_FIXDIV_MASK)
10248#define BXT_MIPI_TX_ESCLK_DIVIDER(port, val) \
9e8789ec 10249 (((val) & 0x3F) << BXT_MIPI_TX_ESCLK_SHIFT(port))
782d25ca
D
10250/* RX upper control divider to select actual RX clock output from 8x */
10251#define BXT_MIPI1_RX_ESCLK_UPPER_SHIFT 21
10252#define BXT_MIPI2_RX_ESCLK_UPPER_SHIFT 5
10253#define BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port) \
10254 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_SHIFT, \
10255 BXT_MIPI2_RX_ESCLK_UPPER_SHIFT)
10256#define BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 21)
10257#define BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK (3 << 5)
10258#define BXT_MIPI_RX_ESCLK_UPPER_FIXDIV_MASK(port) \
10259 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_UPPER_FIXDIV_MASK, \
10260 BXT_MIPI2_RX_ESCLK_UPPER_FIXDIV_MASK)
10261#define BXT_MIPI_RX_ESCLK_UPPER_DIVIDER(port, val) \
9e8789ec 10262 (((val) & 3) << BXT_MIPI_RX_ESCLK_UPPER_SHIFT(port))
782d25ca
D
10263/* 8/3X divider to select the actual 8/3X clock output from 8x */
10264#define BXT_MIPI1_8X_BY3_SHIFT 19
10265#define BXT_MIPI2_8X_BY3_SHIFT 3
10266#define BXT_MIPI_8X_BY3_SHIFT(port) \
10267 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_SHIFT, \
10268 BXT_MIPI2_8X_BY3_SHIFT)
10269#define BXT_MIPI1_8X_BY3_DIVIDER_MASK (3 << 19)
10270#define BXT_MIPI2_8X_BY3_DIVIDER_MASK (3 << 3)
10271#define BXT_MIPI_8X_BY3_DIVIDER_MASK(port) \
10272 _MIPI_PORT(port, BXT_MIPI1_8X_BY3_DIVIDER_MASK, \
10273 BXT_MIPI2_8X_BY3_DIVIDER_MASK)
10274#define BXT_MIPI_8X_BY3_DIVIDER(port, val) \
9e8789ec 10275 (((val) & 3) << BXT_MIPI_8X_BY3_SHIFT(port))
782d25ca
D
10276/* RX lower control divider to select actual RX clock output from 8x */
10277#define BXT_MIPI1_RX_ESCLK_LOWER_SHIFT 16
10278#define BXT_MIPI2_RX_ESCLK_LOWER_SHIFT 0
10279#define BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port) \
10280 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_SHIFT, \
10281 BXT_MIPI2_RX_ESCLK_LOWER_SHIFT)
10282#define BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 16)
10283#define BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK (3 << 0)
10284#define BXT_MIPI_RX_ESCLK_LOWER_FIXDIV_MASK(port) \
10285 _MIPI_PORT(port, BXT_MIPI1_RX_ESCLK_LOWER_FIXDIV_MASK, \
10286 BXT_MIPI2_RX_ESCLK_LOWER_FIXDIV_MASK)
10287#define BXT_MIPI_RX_ESCLK_LOWER_DIVIDER(port, val) \
9e8789ec 10288 (((val) & 3) << BXT_MIPI_RX_ESCLK_LOWER_SHIFT(port))
782d25ca
D
10289
10290#define RX_DIVIDER_BIT_1_2 0x3
10291#define RX_DIVIDER_BIT_3_4 0xC
11b8e4f5 10292
d2e08c0f
SS
10293/* BXT MIPI mode configure */
10294#define _BXT_MIPIA_TRANS_HACTIVE 0x6B0F8
10295#define _BXT_MIPIC_TRANS_HACTIVE 0x6B8F8
f0f59a00 10296#define BXT_MIPI_TRANS_HACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10297 _BXT_MIPIA_TRANS_HACTIVE, _BXT_MIPIC_TRANS_HACTIVE)
10298
10299#define _BXT_MIPIA_TRANS_VACTIVE 0x6B0FC
10300#define _BXT_MIPIC_TRANS_VACTIVE 0x6B8FC
f0f59a00 10301#define BXT_MIPI_TRANS_VACTIVE(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10302 _BXT_MIPIA_TRANS_VACTIVE, _BXT_MIPIC_TRANS_VACTIVE)
10303
10304#define _BXT_MIPIA_TRANS_VTOTAL 0x6B100
10305#define _BXT_MIPIC_TRANS_VTOTAL 0x6B900
f0f59a00 10306#define BXT_MIPI_TRANS_VTOTAL(tc) _MMIO_MIPI(tc, \
d2e08c0f
SS
10307 _BXT_MIPIA_TRANS_VTOTAL, _BXT_MIPIC_TRANS_VTOTAL)
10308
f0f59a00 10309#define BXT_DSI_PLL_CTL _MMIO(0x161000)
cfe01a5e
SS
10310#define BXT_DSI_PLL_PVD_RATIO_SHIFT 16
10311#define BXT_DSI_PLL_PVD_RATIO_MASK (3 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
10312#define BXT_DSI_PLL_PVD_RATIO_1 (1 << BXT_DSI_PLL_PVD_RATIO_SHIFT)
f340c2ff 10313#define BXT_DSIC_16X_BY1 (0 << 10)
cfe01a5e
SS
10314#define BXT_DSIC_16X_BY2 (1 << 10)
10315#define BXT_DSIC_16X_BY3 (2 << 10)
10316#define BXT_DSIC_16X_BY4 (3 << 10)
db18b6a6 10317#define BXT_DSIC_16X_MASK (3 << 10)
f340c2ff 10318#define BXT_DSIA_16X_BY1 (0 << 8)
cfe01a5e
SS
10319#define BXT_DSIA_16X_BY2 (1 << 8)
10320#define BXT_DSIA_16X_BY3 (2 << 8)
10321#define BXT_DSIA_16X_BY4 (3 << 8)
db18b6a6 10322#define BXT_DSIA_16X_MASK (3 << 8)
cfe01a5e
SS
10323#define BXT_DSI_FREQ_SEL_SHIFT 8
10324#define BXT_DSI_FREQ_SEL_MASK (0xF << BXT_DSI_FREQ_SEL_SHIFT)
10325
10326#define BXT_DSI_PLL_RATIO_MAX 0x7D
10327#define BXT_DSI_PLL_RATIO_MIN 0x22
f340c2ff
D
10328#define GLK_DSI_PLL_RATIO_MAX 0x6F
10329#define GLK_DSI_PLL_RATIO_MIN 0x22
cfe01a5e 10330#define BXT_DSI_PLL_RATIO_MASK 0xFF
61ad9928 10331#define BXT_REF_CLOCK_KHZ 19200
cfe01a5e 10332
f0f59a00 10333#define BXT_DSI_PLL_ENABLE _MMIO(0x46080)
cfe01a5e
SS
10334#define BXT_DSI_PLL_DO_ENABLE (1 << 31)
10335#define BXT_DSI_PLL_LOCKED (1 << 30)
10336
3230bf14 10337#define _MIPIA_PORT_CTRL (VLV_DISPLAY_BASE + 0x61190)
e7d7cad0 10338#define _MIPIC_PORT_CTRL (VLV_DISPLAY_BASE + 0x61700)
f0f59a00 10339#define MIPI_PORT_CTRL(port) _MMIO_MIPI(port, _MIPIA_PORT_CTRL, _MIPIC_PORT_CTRL)
37ab0810
SS
10340
10341 /* BXT port control */
10342#define _BXT_MIPIA_PORT_CTRL 0x6B0C0
10343#define _BXT_MIPIC_PORT_CTRL 0x6B8C0
f0f59a00 10344#define BXT_MIPI_PORT_CTRL(tc) _MMIO_MIPI(tc, _BXT_MIPIA_PORT_CTRL, _BXT_MIPIC_PORT_CTRL)
37ab0810 10345
21652f3b
MC
10346/* ICL DSI MODE control */
10347#define _ICL_DSI_IO_MODECTL_0 0x6B094
10348#define _ICL_DSI_IO_MODECTL_1 0x6B894
10349#define ICL_DSI_IO_MODECTL(port) _MMIO_PORT(port, \
10350 _ICL_DSI_IO_MODECTL_0, \
10351 _ICL_DSI_IO_MODECTL_1)
10352#define COMBO_PHY_MODE_DSI (1 << 0)
10353
8b1b558d
AS
10354/* Display Stream Splitter Control */
10355#define DSS_CTL1 _MMIO(0x67400)
10356#define SPLITTER_ENABLE (1 << 31)
10357#define JOINER_ENABLE (1 << 30)
10358#define DUAL_LINK_MODE_INTERLEAVE (1 << 24)
10359#define DUAL_LINK_MODE_FRONTBACK (0 << 24)
10360#define OVERLAP_PIXELS_MASK (0xf << 16)
10361#define OVERLAP_PIXELS(pixels) ((pixels) << 16)
10362#define LEFT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10363#define LEFT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
18cde299 10364#define MAX_DL_BUFFER_TARGET_DEPTH 0x5a0
8b1b558d
AS
10365
10366#define DSS_CTL2 _MMIO(0x67404)
10367#define LEFT_BRANCH_VDSC_ENABLE (1 << 31)
10368#define RIGHT_BRANCH_VDSC_ENABLE (1 << 15)
10369#define RIGHT_DL_BUF_TARGET_DEPTH_MASK (0xfff << 0)
10370#define RIGHT_DL_BUF_TARGET_DEPTH(pixels) ((pixels) << 0)
10371
18cde299
AS
10372#define _ICL_PIPE_DSS_CTL1_PB 0x78200
10373#define _ICL_PIPE_DSS_CTL1_PC 0x78400
10374#define ICL_PIPE_DSS_CTL1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10375 _ICL_PIPE_DSS_CTL1_PB, \
10376 _ICL_PIPE_DSS_CTL1_PC)
8b1b558d
AS
10377#define BIG_JOINER_ENABLE (1 << 29)
10378#define MASTER_BIG_JOINER_ENABLE (1 << 28)
10379#define VGA_CENTERING_ENABLE (1 << 27)
10380
18cde299
AS
10381#define _ICL_PIPE_DSS_CTL2_PB 0x78204
10382#define _ICL_PIPE_DSS_CTL2_PC 0x78404
10383#define ICL_PIPE_DSS_CTL2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
10384 _ICL_PIPE_DSS_CTL2_PB, \
10385 _ICL_PIPE_DSS_CTL2_PC)
8b1b558d 10386
1881a423
US
10387#define BXT_P_DSI_REGULATOR_CFG _MMIO(0x160020)
10388#define STAP_SELECT (1 << 0)
10389
10390#define BXT_P_DSI_REGULATOR_TX_CTRL _MMIO(0x160054)
10391#define HS_IO_CTRL_SELECT (1 << 0)
10392
e7d7cad0 10393#define DPI_ENABLE (1 << 31) /* A + C */
3230bf14
JN
10394#define MIPIA_MIPI4DPHY_DELAY_COUNT_SHIFT 27
10395#define MIPIA_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 27)
369602d3 10396#define DUAL_LINK_MODE_SHIFT 26
3230bf14
JN
10397#define DUAL_LINK_MODE_MASK (1 << 26)
10398#define DUAL_LINK_MODE_FRONT_BACK (0 << 26)
10399#define DUAL_LINK_MODE_PIXEL_ALTERNATIVE (1 << 26)
e7d7cad0 10400#define DITHERING_ENABLE (1 << 25) /* A + C */
3230bf14
JN
10401#define FLOPPED_HSTX (1 << 23)
10402#define DE_INVERT (1 << 19) /* XXX */
10403#define MIPIA_FLISDSI_DELAY_COUNT_SHIFT 18
10404#define MIPIA_FLISDSI_DELAY_COUNT_MASK (0xf << 18)
10405#define AFE_LATCHOUT (1 << 17)
10406#define LP_OUTPUT_HOLD (1 << 16)
e7d7cad0
JN
10407#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_SHIFT 15
10408#define MIPIC_FLISDSI_DELAY_COUNT_HIGH_MASK (1 << 15)
10409#define MIPIC_MIPI4DPHY_DELAY_COUNT_SHIFT 11
10410#define MIPIC_MIPI4DPHY_DELAY_COUNT_MASK (0xf << 11)
3230bf14
JN
10411#define CSB_SHIFT 9
10412#define CSB_MASK (3 << 9)
10413#define CSB_20MHZ (0 << 9)
10414#define CSB_10MHZ (1 << 9)
10415#define CSB_40MHZ (2 << 9)
10416#define BANDGAP_MASK (1 << 8)
10417#define BANDGAP_PNW_CIRCUIT (0 << 8)
10418#define BANDGAP_LNC_CIRCUIT (1 << 8)
e7d7cad0
JN
10419#define MIPIC_FLISDSI_DELAY_COUNT_LOW_SHIFT 5
10420#define MIPIC_FLISDSI_DELAY_COUNT_LOW_MASK (7 << 5)
10421#define TEARING_EFFECT_DELAY (1 << 4) /* A + C */
10422#define TEARING_EFFECT_SHIFT 2 /* A + C */
3230bf14
JN
10423#define TEARING_EFFECT_MASK (3 << 2)
10424#define TEARING_EFFECT_OFF (0 << 2)
10425#define TEARING_EFFECT_DSI (1 << 2)
10426#define TEARING_EFFECT_GPIO (2 << 2)
10427#define LANE_CONFIGURATION_SHIFT 0
10428#define LANE_CONFIGURATION_MASK (3 << 0)
10429#define LANE_CONFIGURATION_4LANE (0 << 0)
10430#define LANE_CONFIGURATION_DUAL_LINK_A (1 << 0)
10431#define LANE_CONFIGURATION_DUAL_LINK_B (2 << 0)
10432
10433#define _MIPIA_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61194)
e7d7cad0 10434#define _MIPIC_TEARING_CTRL (VLV_DISPLAY_BASE + 0x61704)
f0f59a00 10435#define MIPI_TEARING_CTRL(port) _MMIO_MIPI(port, _MIPIA_TEARING_CTRL, _MIPIC_TEARING_CTRL)
3230bf14
JN
10436#define TEARING_EFFECT_DELAY_SHIFT 0
10437#define TEARING_EFFECT_DELAY_MASK (0xffff << 0)
10438
10439/* XXX: all bits reserved */
4ad83e94 10440#define _MIPIA_AUTOPWG (VLV_DISPLAY_BASE + 0x611a0)
3230bf14
JN
10441
10442/* MIPI DSI Controller and D-PHY registers */
10443
4ad83e94 10444#define _MIPIA_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb000)
e7d7cad0 10445#define _MIPIC_DEVICE_READY (dev_priv->mipi_mmio_base + 0xb800)
f0f59a00 10446#define MIPI_DEVICE_READY(port) _MMIO_MIPI(port, _MIPIA_DEVICE_READY, _MIPIC_DEVICE_READY)
3230bf14
JN
10447#define BUS_POSSESSION (1 << 3) /* set to give bus to receiver */
10448#define ULPS_STATE_MASK (3 << 1)
10449#define ULPS_STATE_ENTER (2 << 1)
10450#define ULPS_STATE_EXIT (1 << 1)
10451#define ULPS_STATE_NORMAL_OPERATION (0 << 1)
10452#define DEVICE_READY (1 << 0)
10453
4ad83e94 10454#define _MIPIA_INTR_STAT (dev_priv->mipi_mmio_base + 0xb004)
e7d7cad0 10455#define _MIPIC_INTR_STAT (dev_priv->mipi_mmio_base + 0xb804)
f0f59a00 10456#define MIPI_INTR_STAT(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT, _MIPIC_INTR_STAT)
4ad83e94 10457#define _MIPIA_INTR_EN (dev_priv->mipi_mmio_base + 0xb008)
e7d7cad0 10458#define _MIPIC_INTR_EN (dev_priv->mipi_mmio_base + 0xb808)
f0f59a00 10459#define MIPI_INTR_EN(port) _MMIO_MIPI(port, _MIPIA_INTR_EN, _MIPIC_INTR_EN)
3230bf14
JN
10460#define TEARING_EFFECT (1 << 31)
10461#define SPL_PKT_SENT_INTERRUPT (1 << 30)
10462#define GEN_READ_DATA_AVAIL (1 << 29)
10463#define LP_GENERIC_WR_FIFO_FULL (1 << 28)
10464#define HS_GENERIC_WR_FIFO_FULL (1 << 27)
10465#define RX_PROT_VIOLATION (1 << 26)
10466#define RX_INVALID_TX_LENGTH (1 << 25)
10467#define ACK_WITH_NO_ERROR (1 << 24)
10468#define TURN_AROUND_ACK_TIMEOUT (1 << 23)
10469#define LP_RX_TIMEOUT (1 << 22)
10470#define HS_TX_TIMEOUT (1 << 21)
10471#define DPI_FIFO_UNDERRUN (1 << 20)
10472#define LOW_CONTENTION (1 << 19)
10473#define HIGH_CONTENTION (1 << 18)
10474#define TXDSI_VC_ID_INVALID (1 << 17)
10475#define TXDSI_DATA_TYPE_NOT_RECOGNISED (1 << 16)
10476#define TXCHECKSUM_ERROR (1 << 15)
10477#define TXECC_MULTIBIT_ERROR (1 << 14)
10478#define TXECC_SINGLE_BIT_ERROR (1 << 13)
10479#define TXFALSE_CONTROL_ERROR (1 << 12)
10480#define RXDSI_VC_ID_INVALID (1 << 11)
10481#define RXDSI_DATA_TYPE_NOT_REGOGNISED (1 << 10)
10482#define RXCHECKSUM_ERROR (1 << 9)
10483#define RXECC_MULTIBIT_ERROR (1 << 8)
10484#define RXECC_SINGLE_BIT_ERROR (1 << 7)
10485#define RXFALSE_CONTROL_ERROR (1 << 6)
10486#define RXHS_RECEIVE_TIMEOUT_ERROR (1 << 5)
10487#define RX_LP_TX_SYNC_ERROR (1 << 4)
10488#define RXEXCAPE_MODE_ENTRY_ERROR (1 << 3)
10489#define RXEOT_SYNC_ERROR (1 << 2)
10490#define RXSOT_SYNC_ERROR (1 << 1)
10491#define RXSOT_ERROR (1 << 0)
10492
4ad83e94 10493#define _MIPIA_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb00c)
e7d7cad0 10494#define _MIPIC_DSI_FUNC_PRG (dev_priv->mipi_mmio_base + 0xb80c)
f0f59a00 10495#define MIPI_DSI_FUNC_PRG(port) _MMIO_MIPI(port, _MIPIA_DSI_FUNC_PRG, _MIPIC_DSI_FUNC_PRG)
3230bf14
JN
10496#define CMD_MODE_DATA_WIDTH_MASK (7 << 13)
10497#define CMD_MODE_NOT_SUPPORTED (0 << 13)
10498#define CMD_MODE_DATA_WIDTH_16_BIT (1 << 13)
10499#define CMD_MODE_DATA_WIDTH_9_BIT (2 << 13)
10500#define CMD_MODE_DATA_WIDTH_8_BIT (3 << 13)
10501#define CMD_MODE_DATA_WIDTH_OPTION1 (4 << 13)
10502#define CMD_MODE_DATA_WIDTH_OPTION2 (5 << 13)
10503#define VID_MODE_FORMAT_MASK (0xf << 7)
10504#define VID_MODE_NOT_SUPPORTED (0 << 7)
10505#define VID_MODE_FORMAT_RGB565 (1 << 7)
42c151e6
JN
10506#define VID_MODE_FORMAT_RGB666_PACKED (2 << 7)
10507#define VID_MODE_FORMAT_RGB666 (3 << 7)
3230bf14
JN
10508#define VID_MODE_FORMAT_RGB888 (4 << 7)
10509#define CMD_MODE_CHANNEL_NUMBER_SHIFT 5
10510#define CMD_MODE_CHANNEL_NUMBER_MASK (3 << 5)
10511#define VID_MODE_CHANNEL_NUMBER_SHIFT 3
10512#define VID_MODE_CHANNEL_NUMBER_MASK (3 << 3)
10513#define DATA_LANES_PRG_REG_SHIFT 0
10514#define DATA_LANES_PRG_REG_MASK (7 << 0)
10515
4ad83e94 10516#define _MIPIA_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb010)
e7d7cad0 10517#define _MIPIC_HS_TX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb810)
f0f59a00 10518#define MIPI_HS_TX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_HS_TX_TIMEOUT, _MIPIC_HS_TX_TIMEOUT)
3230bf14
JN
10519#define HIGH_SPEED_TX_TIMEOUT_COUNTER_MASK 0xffffff
10520
4ad83e94 10521#define _MIPIA_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb014)
e7d7cad0 10522#define _MIPIC_LP_RX_TIMEOUT (dev_priv->mipi_mmio_base + 0xb814)
f0f59a00 10523#define MIPI_LP_RX_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_LP_RX_TIMEOUT, _MIPIC_LP_RX_TIMEOUT)
3230bf14
JN
10524#define LOW_POWER_RX_TIMEOUT_COUNTER_MASK 0xffffff
10525
4ad83e94 10526#define _MIPIA_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb018)
e7d7cad0 10527#define _MIPIC_TURN_AROUND_TIMEOUT (dev_priv->mipi_mmio_base + 0xb818)
f0f59a00 10528#define MIPI_TURN_AROUND_TIMEOUT(port) _MMIO_MIPI(port, _MIPIA_TURN_AROUND_TIMEOUT, _MIPIC_TURN_AROUND_TIMEOUT)
3230bf14
JN
10529#define TURN_AROUND_TIMEOUT_MASK 0x3f
10530
4ad83e94 10531#define _MIPIA_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb01c)
e7d7cad0 10532#define _MIPIC_DEVICE_RESET_TIMER (dev_priv->mipi_mmio_base + 0xb81c)
f0f59a00 10533#define MIPI_DEVICE_RESET_TIMER(port) _MMIO_MIPI(port, _MIPIA_DEVICE_RESET_TIMER, _MIPIC_DEVICE_RESET_TIMER)
3230bf14
JN
10534#define DEVICE_RESET_TIMER_MASK 0xffff
10535
4ad83e94 10536#define _MIPIA_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb020)
e7d7cad0 10537#define _MIPIC_DPI_RESOLUTION (dev_priv->mipi_mmio_base + 0xb820)
f0f59a00 10538#define MIPI_DPI_RESOLUTION(port) _MMIO_MIPI(port, _MIPIA_DPI_RESOLUTION, _MIPIC_DPI_RESOLUTION)
3230bf14
JN
10539#define VERTICAL_ADDRESS_SHIFT 16
10540#define VERTICAL_ADDRESS_MASK (0xffff << 16)
10541#define HORIZONTAL_ADDRESS_SHIFT 0
10542#define HORIZONTAL_ADDRESS_MASK 0xffff
10543
4ad83e94 10544#define _MIPIA_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb024)
e7d7cad0 10545#define _MIPIC_DBI_FIFO_THROTTLE (dev_priv->mipi_mmio_base + 0xb824)
f0f59a00 10546#define MIPI_DBI_FIFO_THROTTLE(port) _MMIO_MIPI(port, _MIPIA_DBI_FIFO_THROTTLE, _MIPIC_DBI_FIFO_THROTTLE)
3230bf14
JN
10547#define DBI_FIFO_EMPTY_HALF (0 << 0)
10548#define DBI_FIFO_EMPTY_QUARTER (1 << 0)
10549#define DBI_FIFO_EMPTY_7_LOCATIONS (2 << 0)
10550
10551/* regs below are bits 15:0 */
4ad83e94 10552#define _MIPIA_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb028)
e7d7cad0 10553#define _MIPIC_HSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb828)
f0f59a00 10554#define MIPI_HSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_HSYNC_PADDING_COUNT, _MIPIC_HSYNC_PADDING_COUNT)
3230bf14 10555
4ad83e94 10556#define _MIPIA_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb02c)
e7d7cad0 10557#define _MIPIC_HBP_COUNT (dev_priv->mipi_mmio_base + 0xb82c)
f0f59a00 10558#define MIPI_HBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HBP_COUNT, _MIPIC_HBP_COUNT)
3230bf14 10559
4ad83e94 10560#define _MIPIA_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb030)
e7d7cad0 10561#define _MIPIC_HFP_COUNT (dev_priv->mipi_mmio_base + 0xb830)
f0f59a00 10562#define MIPI_HFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_HFP_COUNT, _MIPIC_HFP_COUNT)
3230bf14 10563
4ad83e94 10564#define _MIPIA_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb034)
e7d7cad0 10565#define _MIPIC_HACTIVE_AREA_COUNT (dev_priv->mipi_mmio_base + 0xb834)
f0f59a00 10566#define MIPI_HACTIVE_AREA_COUNT(port) _MMIO_MIPI(port, _MIPIA_HACTIVE_AREA_COUNT, _MIPIC_HACTIVE_AREA_COUNT)
3230bf14 10567
4ad83e94 10568#define _MIPIA_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb038)
e7d7cad0 10569#define _MIPIC_VSYNC_PADDING_COUNT (dev_priv->mipi_mmio_base + 0xb838)
f0f59a00 10570#define MIPI_VSYNC_PADDING_COUNT(port) _MMIO_MIPI(port, _MIPIA_VSYNC_PADDING_COUNT, _MIPIC_VSYNC_PADDING_COUNT)
3230bf14 10571
4ad83e94 10572#define _MIPIA_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb03c)
e7d7cad0 10573#define _MIPIC_VBP_COUNT (dev_priv->mipi_mmio_base + 0xb83c)
f0f59a00 10574#define MIPI_VBP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VBP_COUNT, _MIPIC_VBP_COUNT)
3230bf14 10575
4ad83e94 10576#define _MIPIA_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb040)
e7d7cad0 10577#define _MIPIC_VFP_COUNT (dev_priv->mipi_mmio_base + 0xb840)
f0f59a00 10578#define MIPI_VFP_COUNT(port) _MMIO_MIPI(port, _MIPIA_VFP_COUNT, _MIPIC_VFP_COUNT)
3230bf14 10579
4ad83e94 10580#define _MIPIA_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb044)
e7d7cad0 10581#define _MIPIC_HIGH_LOW_SWITCH_COUNT (dev_priv->mipi_mmio_base + 0xb844)
f0f59a00 10582#define MIPI_HIGH_LOW_SWITCH_COUNT(port) _MMIO_MIPI(port, _MIPIA_HIGH_LOW_SWITCH_COUNT, _MIPIC_HIGH_LOW_SWITCH_COUNT)
4ad83e94 10583
3230bf14
JN
10584/* regs above are bits 15:0 */
10585
4ad83e94 10586#define _MIPIA_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb048)
e7d7cad0 10587#define _MIPIC_DPI_CONTROL (dev_priv->mipi_mmio_base + 0xb848)
f0f59a00 10588#define MIPI_DPI_CONTROL(port) _MMIO_MIPI(port, _MIPIA_DPI_CONTROL, _MIPIC_DPI_CONTROL)
3230bf14
JN
10589#define DPI_LP_MODE (1 << 6)
10590#define BACKLIGHT_OFF (1 << 5)
10591#define BACKLIGHT_ON (1 << 4)
10592#define COLOR_MODE_OFF (1 << 3)
10593#define COLOR_MODE_ON (1 << 2)
10594#define TURN_ON (1 << 1)
10595#define SHUTDOWN (1 << 0)
10596
4ad83e94 10597#define _MIPIA_DPI_DATA (dev_priv->mipi_mmio_base + 0xb04c)
e7d7cad0 10598#define _MIPIC_DPI_DATA (dev_priv->mipi_mmio_base + 0xb84c)
f0f59a00 10599#define MIPI_DPI_DATA(port) _MMIO_MIPI(port, _MIPIA_DPI_DATA, _MIPIC_DPI_DATA)
3230bf14
JN
10600#define COMMAND_BYTE_SHIFT 0
10601#define COMMAND_BYTE_MASK (0x3f << 0)
10602
4ad83e94 10603#define _MIPIA_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb050)
e7d7cad0 10604#define _MIPIC_INIT_COUNT (dev_priv->mipi_mmio_base + 0xb850)
f0f59a00 10605#define MIPI_INIT_COUNT(port) _MMIO_MIPI(port, _MIPIA_INIT_COUNT, _MIPIC_INIT_COUNT)
3230bf14
JN
10606#define MASTER_INIT_TIMER_SHIFT 0
10607#define MASTER_INIT_TIMER_MASK (0xffff << 0)
10608
4ad83e94 10609#define _MIPIA_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb054)
e7d7cad0 10610#define _MIPIC_MAX_RETURN_PKT_SIZE (dev_priv->mipi_mmio_base + 0xb854)
f0f59a00 10611#define MIPI_MAX_RETURN_PKT_SIZE(port) _MMIO_MIPI(port, \
e7d7cad0 10612 _MIPIA_MAX_RETURN_PKT_SIZE, _MIPIC_MAX_RETURN_PKT_SIZE)
3230bf14
JN
10613#define MAX_RETURN_PKT_SIZE_SHIFT 0
10614#define MAX_RETURN_PKT_SIZE_MASK (0x3ff << 0)
10615
4ad83e94 10616#define _MIPIA_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb058)
e7d7cad0 10617#define _MIPIC_VIDEO_MODE_FORMAT (dev_priv->mipi_mmio_base + 0xb858)
f0f59a00 10618#define MIPI_VIDEO_MODE_FORMAT(port) _MMIO_MIPI(port, _MIPIA_VIDEO_MODE_FORMAT, _MIPIC_VIDEO_MODE_FORMAT)
3230bf14
JN
10619#define RANDOM_DPI_DISPLAY_RESOLUTION (1 << 4)
10620#define DISABLE_VIDEO_BTA (1 << 3)
10621#define IP_TG_CONFIG (1 << 2)
10622#define VIDEO_MODE_NON_BURST_WITH_SYNC_PULSE (1 << 0)
10623#define VIDEO_MODE_NON_BURST_WITH_SYNC_EVENTS (2 << 0)
10624#define VIDEO_MODE_BURST (3 << 0)
10625
4ad83e94 10626#define _MIPIA_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb05c)
e7d7cad0 10627#define _MIPIC_EOT_DISABLE (dev_priv->mipi_mmio_base + 0xb85c)
f0f59a00 10628#define MIPI_EOT_DISABLE(port) _MMIO_MIPI(port, _MIPIA_EOT_DISABLE, _MIPIC_EOT_DISABLE)
f90e8c36
JN
10629#define BXT_DEFEATURE_DPI_FIFO_CTR (1 << 9)
10630#define BXT_DPHY_DEFEATURE_EN (1 << 8)
3230bf14
JN
10631#define LP_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 7)
10632#define HS_RX_TIMEOUT_ERROR_RECOVERY_DISABLE (1 << 6)
10633#define LOW_CONTENTION_RECOVERY_DISABLE (1 << 5)
10634#define HIGH_CONTENTION_RECOVERY_DISABLE (1 << 4)
10635#define TXDSI_TYPE_NOT_RECOGNISED_ERROR_RECOVERY_DISABLE (1 << 3)
10636#define TXECC_MULTIBIT_ERROR_RECOVERY_DISABLE (1 << 2)
10637#define CLOCKSTOP (1 << 1)
10638#define EOT_DISABLE (1 << 0)
10639
4ad83e94 10640#define _MIPIA_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb060)
e7d7cad0 10641#define _MIPIC_LP_BYTECLK (dev_priv->mipi_mmio_base + 0xb860)
f0f59a00 10642#define MIPI_LP_BYTECLK(port) _MMIO_MIPI(port, _MIPIA_LP_BYTECLK, _MIPIC_LP_BYTECLK)
3230bf14
JN
10643#define LP_BYTECLK_SHIFT 0
10644#define LP_BYTECLK_MASK (0xffff << 0)
10645
b426f985
D
10646#define _MIPIA_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb0a4)
10647#define _MIPIC_TLPX_TIME_COUNT (dev_priv->mipi_mmio_base + 0xb8a4)
10648#define MIPI_TLPX_TIME_COUNT(port) _MMIO_MIPI(port, _MIPIA_TLPX_TIME_COUNT, _MIPIC_TLPX_TIME_COUNT)
10649
10650#define _MIPIA_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb098)
10651#define _MIPIC_CLK_LANE_TIMING (dev_priv->mipi_mmio_base + 0xb898)
10652#define MIPI_CLK_LANE_TIMING(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_TIMING, _MIPIC_CLK_LANE_TIMING)
10653
3230bf14 10654/* bits 31:0 */
4ad83e94 10655#define _MIPIA_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb064)
e7d7cad0 10656#define _MIPIC_LP_GEN_DATA (dev_priv->mipi_mmio_base + 0xb864)
f0f59a00 10657#define MIPI_LP_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_DATA, _MIPIC_LP_GEN_DATA)
3230bf14
JN
10658
10659/* bits 31:0 */
4ad83e94 10660#define _MIPIA_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb068)
e7d7cad0 10661#define _MIPIC_HS_GEN_DATA (dev_priv->mipi_mmio_base + 0xb868)
f0f59a00 10662#define MIPI_HS_GEN_DATA(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_DATA, _MIPIC_HS_GEN_DATA)
3230bf14 10663
4ad83e94 10664#define _MIPIA_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb06c)
e7d7cad0 10665#define _MIPIC_LP_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb86c)
f0f59a00 10666#define MIPI_LP_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_LP_GEN_CTRL, _MIPIC_LP_GEN_CTRL)
4ad83e94 10667#define _MIPIA_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb070)
e7d7cad0 10668#define _MIPIC_HS_GEN_CTRL (dev_priv->mipi_mmio_base + 0xb870)
f0f59a00 10669#define MIPI_HS_GEN_CTRL(port) _MMIO_MIPI(port, _MIPIA_HS_GEN_CTRL, _MIPIC_HS_GEN_CTRL)
3230bf14
JN
10670#define LONG_PACKET_WORD_COUNT_SHIFT 8
10671#define LONG_PACKET_WORD_COUNT_MASK (0xffff << 8)
10672#define SHORT_PACKET_PARAM_SHIFT 8
10673#define SHORT_PACKET_PARAM_MASK (0xffff << 8)
10674#define VIRTUAL_CHANNEL_SHIFT 6
10675#define VIRTUAL_CHANNEL_MASK (3 << 6)
10676#define DATA_TYPE_SHIFT 0
395b2913 10677#define DATA_TYPE_MASK (0x3f << 0)
3230bf14
JN
10678/* data type values, see include/video/mipi_display.h */
10679
4ad83e94 10680#define _MIPIA_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb074)
e7d7cad0 10681#define _MIPIC_GEN_FIFO_STAT (dev_priv->mipi_mmio_base + 0xb874)
f0f59a00 10682#define MIPI_GEN_FIFO_STAT(port) _MMIO_MIPI(port, _MIPIA_GEN_FIFO_STAT, _MIPIC_GEN_FIFO_STAT)
3230bf14
JN
10683#define DPI_FIFO_EMPTY (1 << 28)
10684#define DBI_FIFO_EMPTY (1 << 27)
10685#define LP_CTRL_FIFO_EMPTY (1 << 26)
10686#define LP_CTRL_FIFO_HALF_EMPTY (1 << 25)
10687#define LP_CTRL_FIFO_FULL (1 << 24)
10688#define HS_CTRL_FIFO_EMPTY (1 << 18)
10689#define HS_CTRL_FIFO_HALF_EMPTY (1 << 17)
10690#define HS_CTRL_FIFO_FULL (1 << 16)
10691#define LP_DATA_FIFO_EMPTY (1 << 10)
10692#define LP_DATA_FIFO_HALF_EMPTY (1 << 9)
10693#define LP_DATA_FIFO_FULL (1 << 8)
10694#define HS_DATA_FIFO_EMPTY (1 << 2)
10695#define HS_DATA_FIFO_HALF_EMPTY (1 << 1)
10696#define HS_DATA_FIFO_FULL (1 << 0)
10697
4ad83e94 10698#define _MIPIA_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb078)
e7d7cad0 10699#define _MIPIC_HS_LS_DBI_ENABLE (dev_priv->mipi_mmio_base + 0xb878)
f0f59a00 10700#define MIPI_HS_LP_DBI_ENABLE(port) _MMIO_MIPI(port, _MIPIA_HS_LS_DBI_ENABLE, _MIPIC_HS_LS_DBI_ENABLE)
3230bf14
JN
10701#define DBI_HS_LP_MODE_MASK (1 << 0)
10702#define DBI_LP_MODE (1 << 0)
10703#define DBI_HS_MODE (0 << 0)
10704
4ad83e94 10705#define _MIPIA_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb080)
e7d7cad0 10706#define _MIPIC_DPHY_PARAM (dev_priv->mipi_mmio_base + 0xb880)
f0f59a00 10707#define MIPI_DPHY_PARAM(port) _MMIO_MIPI(port, _MIPIA_DPHY_PARAM, _MIPIC_DPHY_PARAM)
3230bf14
JN
10708#define EXIT_ZERO_COUNT_SHIFT 24
10709#define EXIT_ZERO_COUNT_MASK (0x3f << 24)
10710#define TRAIL_COUNT_SHIFT 16
10711#define TRAIL_COUNT_MASK (0x1f << 16)
10712#define CLK_ZERO_COUNT_SHIFT 8
10713#define CLK_ZERO_COUNT_MASK (0xff << 8)
10714#define PREPARE_COUNT_SHIFT 0
10715#define PREPARE_COUNT_MASK (0x3f << 0)
10716
146cdf3f
MC
10717#define _ICL_DSI_T_INIT_MASTER_0 0x6b088
10718#define _ICL_DSI_T_INIT_MASTER_1 0x6b888
10719#define ICL_DSI_T_INIT_MASTER(port) _MMIO_PORT(port, \
10720 _ICL_DSI_T_INIT_MASTER_0,\
10721 _ICL_DSI_T_INIT_MASTER_1)
10722
33868a91
MC
10723#define _DPHY_CLK_TIMING_PARAM_0 0x162180
10724#define _DPHY_CLK_TIMING_PARAM_1 0x6c180
10725#define DPHY_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10726 _DPHY_CLK_TIMING_PARAM_0,\
10727 _DPHY_CLK_TIMING_PARAM_1)
10728#define _DSI_CLK_TIMING_PARAM_0 0x6b080
10729#define _DSI_CLK_TIMING_PARAM_1 0x6b880
10730#define DSI_CLK_TIMING_PARAM(port) _MMIO_PORT(port, \
10731 _DSI_CLK_TIMING_PARAM_0,\
10732 _DSI_CLK_TIMING_PARAM_1)
10733#define CLK_PREPARE_OVERRIDE (1 << 31)
10734#define CLK_PREPARE(x) ((x) << 28)
10735#define CLK_PREPARE_MASK (0x7 << 28)
10736#define CLK_PREPARE_SHIFT 28
10737#define CLK_ZERO_OVERRIDE (1 << 27)
10738#define CLK_ZERO(x) ((x) << 20)
10739#define CLK_ZERO_MASK (0xf << 20)
10740#define CLK_ZERO_SHIFT 20
10741#define CLK_PRE_OVERRIDE (1 << 19)
10742#define CLK_PRE(x) ((x) << 16)
10743#define CLK_PRE_MASK (0x3 << 16)
10744#define CLK_PRE_SHIFT 16
10745#define CLK_POST_OVERRIDE (1 << 15)
10746#define CLK_POST(x) ((x) << 8)
10747#define CLK_POST_MASK (0x7 << 8)
10748#define CLK_POST_SHIFT 8
10749#define CLK_TRAIL_OVERRIDE (1 << 7)
10750#define CLK_TRAIL(x) ((x) << 0)
10751#define CLK_TRAIL_MASK (0xf << 0)
10752#define CLK_TRAIL_SHIFT 0
10753
10754#define _DPHY_DATA_TIMING_PARAM_0 0x162184
10755#define _DPHY_DATA_TIMING_PARAM_1 0x6c184
10756#define DPHY_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
10757 _DPHY_DATA_TIMING_PARAM_0,\
10758 _DPHY_DATA_TIMING_PARAM_1)
10759#define _DSI_DATA_TIMING_PARAM_0 0x6B084
10760#define _DSI_DATA_TIMING_PARAM_1 0x6B884
10761#define DSI_DATA_TIMING_PARAM(port) _MMIO_PORT(port, \
10762 _DSI_DATA_TIMING_PARAM_0,\
10763 _DSI_DATA_TIMING_PARAM_1)
10764#define HS_PREPARE_OVERRIDE (1 << 31)
10765#define HS_PREPARE(x) ((x) << 24)
10766#define HS_PREPARE_MASK (0x7 << 24)
10767#define HS_PREPARE_SHIFT 24
10768#define HS_ZERO_OVERRIDE (1 << 23)
10769#define HS_ZERO(x) ((x) << 16)
10770#define HS_ZERO_MASK (0xf << 16)
10771#define HS_ZERO_SHIFT 16
10772#define HS_TRAIL_OVERRIDE (1 << 15)
10773#define HS_TRAIL(x) ((x) << 8)
10774#define HS_TRAIL_MASK (0x7 << 8)
10775#define HS_TRAIL_SHIFT 8
10776#define HS_EXIT_OVERRIDE (1 << 7)
10777#define HS_EXIT(x) ((x) << 0)
10778#define HS_EXIT_MASK (0x7 << 0)
10779#define HS_EXIT_SHIFT 0
10780
35c37ade
MC
10781#define _DPHY_TA_TIMING_PARAM_0 0x162188
10782#define _DPHY_TA_TIMING_PARAM_1 0x6c188
10783#define DPHY_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
10784 _DPHY_TA_TIMING_PARAM_0,\
10785 _DPHY_TA_TIMING_PARAM_1)
10786#define _DSI_TA_TIMING_PARAM_0 0x6b098
10787#define _DSI_TA_TIMING_PARAM_1 0x6b898
10788#define DSI_TA_TIMING_PARAM(port) _MMIO_PORT(port, \
10789 _DSI_TA_TIMING_PARAM_0,\
10790 _DSI_TA_TIMING_PARAM_1)
10791#define TA_SURE_OVERRIDE (1 << 31)
10792#define TA_SURE(x) ((x) << 16)
10793#define TA_SURE_MASK (0x1f << 16)
10794#define TA_SURE_SHIFT 16
10795#define TA_GO_OVERRIDE (1 << 15)
10796#define TA_GO(x) ((x) << 8)
10797#define TA_GO_MASK (0xf << 8)
10798#define TA_GO_SHIFT 8
10799#define TA_GET_OVERRIDE (1 << 7)
10800#define TA_GET(x) ((x) << 0)
10801#define TA_GET_MASK (0xf << 0)
10802#define TA_GET_SHIFT 0
10803
5ffce254
MC
10804/* DSI transcoder configuration */
10805#define _DSI_TRANS_FUNC_CONF_0 0x6b030
10806#define _DSI_TRANS_FUNC_CONF_1 0x6b830
10807#define DSI_TRANS_FUNC_CONF(tc) _MMIO_DSI(tc, \
10808 _DSI_TRANS_FUNC_CONF_0,\
10809 _DSI_TRANS_FUNC_CONF_1)
10810#define OP_MODE_MASK (0x3 << 28)
10811#define OP_MODE_SHIFT 28
10812#define CMD_MODE_NO_GATE (0x0 << 28)
10813#define CMD_MODE_TE_GATE (0x1 << 28)
10814#define VIDEO_MODE_SYNC_EVENT (0x2 << 28)
10815#define VIDEO_MODE_SYNC_PULSE (0x3 << 28)
10816#define LINK_READY (1 << 20)
10817#define PIX_FMT_MASK (0x3 << 16)
10818#define PIX_FMT_SHIFT 16
10819#define PIX_FMT_RGB565 (0x0 << 16)
10820#define PIX_FMT_RGB666_PACKED (0x1 << 16)
10821#define PIX_FMT_RGB666_LOOSE (0x2 << 16)
10822#define PIX_FMT_RGB888 (0x3 << 16)
10823#define PIX_FMT_RGB101010 (0x4 << 16)
10824#define PIX_FMT_RGB121212 (0x5 << 16)
10825#define PIX_FMT_COMPRESSED (0x6 << 16)
10826#define BGR_TRANSMISSION (1 << 15)
10827#define PIX_VIRT_CHAN(x) ((x) << 12)
10828#define PIX_VIRT_CHAN_MASK (0x3 << 12)
10829#define PIX_VIRT_CHAN_SHIFT 12
10830#define PIX_BUF_THRESHOLD_MASK (0x3 << 10)
10831#define PIX_BUF_THRESHOLD_SHIFT 10
10832#define PIX_BUF_THRESHOLD_1_4 (0x0 << 10)
10833#define PIX_BUF_THRESHOLD_1_2 (0x1 << 10)
10834#define PIX_BUF_THRESHOLD_3_4 (0x2 << 10)
10835#define PIX_BUF_THRESHOLD_FULL (0x3 << 10)
10836#define CONTINUOUS_CLK_MASK (0x3 << 8)
10837#define CONTINUOUS_CLK_SHIFT 8
10838#define CLK_ENTER_LP_AFTER_DATA (0x0 << 8)
10839#define CLK_HS_OR_LP (0x2 << 8)
10840#define CLK_HS_CONTINUOUS (0x3 << 8)
10841#define LINK_CALIBRATION_MASK (0x3 << 4)
10842#define LINK_CALIBRATION_SHIFT 4
10843#define CALIBRATION_DISABLED (0x0 << 4)
10844#define CALIBRATION_ENABLED_INITIAL_ONLY (0x2 << 4)
10845#define CALIBRATION_ENABLED_INITIAL_PERIODIC (0x3 << 4)
10846#define S3D_ORIENTATION_LANDSCAPE (1 << 1)
10847#define EOTP_DISABLED (1 << 0)
10848
60230aac
MC
10849#define _DSI_CMD_RXCTL_0 0x6b0d4
10850#define _DSI_CMD_RXCTL_1 0x6b8d4
10851#define DSI_CMD_RXCTL(tc) _MMIO_DSI(tc, \
10852 _DSI_CMD_RXCTL_0,\
10853 _DSI_CMD_RXCTL_1)
10854#define READ_UNLOADS_DW (1 << 16)
10855#define RECEIVED_UNASSIGNED_TRIGGER (1 << 15)
10856#define RECEIVED_ACKNOWLEDGE_TRIGGER (1 << 14)
10857#define RECEIVED_TEAR_EFFECT_TRIGGER (1 << 13)
10858#define RECEIVED_RESET_TRIGGER (1 << 12)
10859#define RECEIVED_PAYLOAD_WAS_LOST (1 << 11)
10860#define RECEIVED_CRC_WAS_LOST (1 << 10)
10861#define NUMBER_RX_PLOAD_DW_MASK (0xff << 0)
10862#define NUMBER_RX_PLOAD_DW_SHIFT 0
10863
10864#define _DSI_CMD_TXCTL_0 0x6b0d0
10865#define _DSI_CMD_TXCTL_1 0x6b8d0
10866#define DSI_CMD_TXCTL(tc) _MMIO_DSI(tc, \
10867 _DSI_CMD_TXCTL_0,\
10868 _DSI_CMD_TXCTL_1)
10869#define KEEP_LINK_IN_HS (1 << 24)
10870#define FREE_HEADER_CREDIT_MASK (0x1f << 8)
10871#define FREE_HEADER_CREDIT_SHIFT 0x8
10872#define FREE_PLOAD_CREDIT_MASK (0xff << 0)
10873#define FREE_PLOAD_CREDIT_SHIFT 0
10874#define MAX_HEADER_CREDIT 0x10
10875#define MAX_PLOAD_CREDIT 0x40
10876
808517e2
MC
10877#define _DSI_CMD_TXHDR_0 0x6b100
10878#define _DSI_CMD_TXHDR_1 0x6b900
10879#define DSI_CMD_TXHDR(tc) _MMIO_DSI(tc, \
10880 _DSI_CMD_TXHDR_0,\
10881 _DSI_CMD_TXHDR_1)
10882#define PAYLOAD_PRESENT (1 << 31)
10883#define LP_DATA_TRANSFER (1 << 30)
10884#define VBLANK_FENCE (1 << 29)
10885#define PARAM_WC_MASK (0xffff << 8)
10886#define PARAM_WC_LOWER_SHIFT 8
10887#define PARAM_WC_UPPER_SHIFT 16
10888#define VC_MASK (0x3 << 6)
10889#define VC_SHIFT 6
10890#define DT_MASK (0x3f << 0)
10891#define DT_SHIFT 0
10892
10893#define _DSI_CMD_TXPYLD_0 0x6b104
10894#define _DSI_CMD_TXPYLD_1 0x6b904
10895#define DSI_CMD_TXPYLD(tc) _MMIO_DSI(tc, \
10896 _DSI_CMD_TXPYLD_0,\
10897 _DSI_CMD_TXPYLD_1)
10898
60230aac
MC
10899#define _DSI_LP_MSG_0 0x6b0d8
10900#define _DSI_LP_MSG_1 0x6b8d8
10901#define DSI_LP_MSG(tc) _MMIO_DSI(tc, \
10902 _DSI_LP_MSG_0,\
10903 _DSI_LP_MSG_1)
10904#define LPTX_IN_PROGRESS (1 << 17)
10905#define LINK_IN_ULPS (1 << 16)
10906#define LINK_ULPS_TYPE_LP11 (1 << 8)
10907#define LINK_ENTER_ULPS (1 << 0)
10908
8bffd204
MC
10909/* DSI timeout registers */
10910#define _DSI_HSTX_TO_0 0x6b044
10911#define _DSI_HSTX_TO_1 0x6b844
10912#define DSI_HSTX_TO(tc) _MMIO_DSI(tc, \
10913 _DSI_HSTX_TO_0,\
10914 _DSI_HSTX_TO_1)
10915#define HSTX_TIMEOUT_VALUE_MASK (0xffff << 16)
10916#define HSTX_TIMEOUT_VALUE_SHIFT 16
10917#define HSTX_TIMEOUT_VALUE(x) ((x) << 16)
10918#define HSTX_TIMED_OUT (1 << 0)
10919
10920#define _DSI_LPRX_HOST_TO_0 0x6b048
10921#define _DSI_LPRX_HOST_TO_1 0x6b848
10922#define DSI_LPRX_HOST_TO(tc) _MMIO_DSI(tc, \
10923 _DSI_LPRX_HOST_TO_0,\
10924 _DSI_LPRX_HOST_TO_1)
10925#define LPRX_TIMED_OUT (1 << 16)
10926#define LPRX_TIMEOUT_VALUE_MASK (0xffff << 0)
10927#define LPRX_TIMEOUT_VALUE_SHIFT 0
10928#define LPRX_TIMEOUT_VALUE(x) ((x) << 0)
10929
10930#define _DSI_PWAIT_TO_0 0x6b040
10931#define _DSI_PWAIT_TO_1 0x6b840
10932#define DSI_PWAIT_TO(tc) _MMIO_DSI(tc, \
10933 _DSI_PWAIT_TO_0,\
10934 _DSI_PWAIT_TO_1)
10935#define PRESET_TIMEOUT_VALUE_MASK (0xffff << 16)
10936#define PRESET_TIMEOUT_VALUE_SHIFT 16
10937#define PRESET_TIMEOUT_VALUE(x) ((x) << 16)
10938#define PRESPONSE_TIMEOUT_VALUE_MASK (0xffff << 0)
10939#define PRESPONSE_TIMEOUT_VALUE_SHIFT 0
10940#define PRESPONSE_TIMEOUT_VALUE(x) ((x) << 0)
10941
10942#define _DSI_TA_TO_0 0x6b04c
10943#define _DSI_TA_TO_1 0x6b84c
10944#define DSI_TA_TO(tc) _MMIO_DSI(tc, \
10945 _DSI_TA_TO_0,\
10946 _DSI_TA_TO_1)
10947#define TA_TIMED_OUT (1 << 16)
10948#define TA_TIMEOUT_VALUE_MASK (0xffff << 0)
10949#define TA_TIMEOUT_VALUE_SHIFT 0
10950#define TA_TIMEOUT_VALUE(x) ((x) << 0)
10951
3230bf14 10952/* bits 31:0 */
4ad83e94 10953#define _MIPIA_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb084)
e7d7cad0 10954#define _MIPIC_DBI_BW_CTRL (dev_priv->mipi_mmio_base + 0xb884)
f0f59a00
VS
10955#define MIPI_DBI_BW_CTRL(port) _MMIO_MIPI(port, _MIPIA_DBI_BW_CTRL, _MIPIC_DBI_BW_CTRL)
10956
10957#define _MIPIA_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb088)
10958#define _MIPIC_CLK_LANE_SWITCH_TIME_CNT (dev_priv->mipi_mmio_base + 0xb888)
10959#define MIPI_CLK_LANE_SWITCH_TIME_CNT(port) _MMIO_MIPI(port, _MIPIA_CLK_LANE_SWITCH_TIME_CNT, _MIPIC_CLK_LANE_SWITCH_TIME_CNT)
3230bf14
JN
10960#define LP_HS_SSW_CNT_SHIFT 16
10961#define LP_HS_SSW_CNT_MASK (0xffff << 16)
10962#define HS_LP_PWR_SW_CNT_SHIFT 0
10963#define HS_LP_PWR_SW_CNT_MASK (0xffff << 0)
10964
4ad83e94 10965#define _MIPIA_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb08c)
e7d7cad0 10966#define _MIPIC_STOP_STATE_STALL (dev_priv->mipi_mmio_base + 0xb88c)
f0f59a00 10967#define MIPI_STOP_STATE_STALL(port) _MMIO_MIPI(port, _MIPIA_STOP_STATE_STALL, _MIPIC_STOP_STATE_STALL)
3230bf14
JN
10968#define STOP_STATE_STALL_COUNTER_SHIFT 0
10969#define STOP_STATE_STALL_COUNTER_MASK (0xff << 0)
10970
4ad83e94 10971#define _MIPIA_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb090)
e7d7cad0 10972#define _MIPIC_INTR_STAT_REG_1 (dev_priv->mipi_mmio_base + 0xb890)
f0f59a00 10973#define MIPI_INTR_STAT_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_STAT_REG_1, _MIPIC_INTR_STAT_REG_1)
4ad83e94 10974#define _MIPIA_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb094)
e7d7cad0 10975#define _MIPIC_INTR_EN_REG_1 (dev_priv->mipi_mmio_base + 0xb894)
f0f59a00 10976#define MIPI_INTR_EN_REG_1(port) _MMIO_MIPI(port, _MIPIA_INTR_EN_REG_1, _MIPIC_INTR_EN_REG_1)
3230bf14
JN
10977#define RX_CONTENTION_DETECTED (1 << 0)
10978
10979/* XXX: only pipe A ?!? */
4ad83e94 10980#define MIPIA_DBI_TYPEC_CTRL (dev_priv->mipi_mmio_base + 0xb100)
3230bf14
JN
10981#define DBI_TYPEC_ENABLE (1 << 31)
10982#define DBI_TYPEC_WIP (1 << 30)
10983#define DBI_TYPEC_OPTION_SHIFT 28
10984#define DBI_TYPEC_OPTION_MASK (3 << 28)
10985#define DBI_TYPEC_FREQ_SHIFT 24
10986#define DBI_TYPEC_FREQ_MASK (0xf << 24)
10987#define DBI_TYPEC_OVERRIDE (1 << 8)
10988#define DBI_TYPEC_OVERRIDE_COUNTER_SHIFT 0
10989#define DBI_TYPEC_OVERRIDE_COUNTER_MASK (0xff << 0)
10990
10991
10992/* MIPI adapter registers */
10993
4ad83e94 10994#define _MIPIA_CTRL (dev_priv->mipi_mmio_base + 0xb104)
e7d7cad0 10995#define _MIPIC_CTRL (dev_priv->mipi_mmio_base + 0xb904)
f0f59a00 10996#define MIPI_CTRL(port) _MMIO_MIPI(port, _MIPIA_CTRL, _MIPIC_CTRL)
3230bf14
JN
10997#define ESCAPE_CLOCK_DIVIDER_SHIFT 5 /* A only */
10998#define ESCAPE_CLOCK_DIVIDER_MASK (3 << 5)
10999#define ESCAPE_CLOCK_DIVIDER_1 (0 << 5)
11000#define ESCAPE_CLOCK_DIVIDER_2 (1 << 5)
11001#define ESCAPE_CLOCK_DIVIDER_4 (2 << 5)
11002#define READ_REQUEST_PRIORITY_SHIFT 3
11003#define READ_REQUEST_PRIORITY_MASK (3 << 3)
11004#define READ_REQUEST_PRIORITY_LOW (0 << 3)
11005#define READ_REQUEST_PRIORITY_HIGH (3 << 3)
11006#define RGB_FLIP_TO_BGR (1 << 2)
11007
6b93e9c8 11008#define BXT_PIPE_SELECT_SHIFT 7
d2e08c0f 11009#define BXT_PIPE_SELECT_MASK (7 << 7)
56c48978 11010#define BXT_PIPE_SELECT(pipe) ((pipe) << 7)
093d680a
D
11011#define GLK_PHY_STATUS_PORT_READY (1 << 31) /* RO */
11012#define GLK_ULPS_NOT_ACTIVE (1 << 30) /* RO */
11013#define GLK_MIPIIO_RESET_RELEASED (1 << 28)
11014#define GLK_CLOCK_LANE_STOP_STATE (1 << 27) /* RO */
11015#define GLK_DATA_LANE_STOP_STATE (1 << 26) /* RO */
11016#define GLK_LP_WAKE (1 << 22)
11017#define GLK_LP11_LOW_PWR_MODE (1 << 21)
11018#define GLK_LP00_LOW_PWR_MODE (1 << 20)
11019#define GLK_FIREWALL_ENABLE (1 << 16)
11020#define BXT_PIXEL_OVERLAP_CNT_MASK (0xf << 10)
11021#define BXT_PIXEL_OVERLAP_CNT_SHIFT 10
11022#define BXT_DSC_ENABLE (1 << 3)
11023#define BXT_RGB_FLIP (1 << 2)
11024#define GLK_MIPIIO_PORT_POWERED (1 << 1) /* RO */
11025#define GLK_MIPIIO_ENABLE (1 << 0)
d2e08c0f 11026
4ad83e94 11027#define _MIPIA_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb108)
e7d7cad0 11028#define _MIPIC_DATA_ADDRESS (dev_priv->mipi_mmio_base + 0xb908)
f0f59a00 11029#define MIPI_DATA_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_DATA_ADDRESS, _MIPIC_DATA_ADDRESS)
3230bf14
JN
11030#define DATA_MEM_ADDRESS_SHIFT 5
11031#define DATA_MEM_ADDRESS_MASK (0x7ffffff << 5)
11032#define DATA_VALID (1 << 0)
11033
4ad83e94 11034#define _MIPIA_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb10c)
e7d7cad0 11035#define _MIPIC_DATA_LENGTH (dev_priv->mipi_mmio_base + 0xb90c)
f0f59a00 11036#define MIPI_DATA_LENGTH(port) _MMIO_MIPI(port, _MIPIA_DATA_LENGTH, _MIPIC_DATA_LENGTH)
3230bf14
JN
11037#define DATA_LENGTH_SHIFT 0
11038#define DATA_LENGTH_MASK (0xfffff << 0)
11039
4ad83e94 11040#define _MIPIA_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb110)
e7d7cad0 11041#define _MIPIC_COMMAND_ADDRESS (dev_priv->mipi_mmio_base + 0xb910)
f0f59a00 11042#define MIPI_COMMAND_ADDRESS(port) _MMIO_MIPI(port, _MIPIA_COMMAND_ADDRESS, _MIPIC_COMMAND_ADDRESS)
3230bf14
JN
11043#define COMMAND_MEM_ADDRESS_SHIFT 5
11044#define COMMAND_MEM_ADDRESS_MASK (0x7ffffff << 5)
11045#define AUTO_PWG_ENABLE (1 << 2)
11046#define MEMORY_WRITE_DATA_FROM_PIPE_RENDERING (1 << 1)
11047#define COMMAND_VALID (1 << 0)
11048
4ad83e94 11049#define _MIPIA_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb114)
e7d7cad0 11050#define _MIPIC_COMMAND_LENGTH (dev_priv->mipi_mmio_base + 0xb914)
f0f59a00 11051#define MIPI_COMMAND_LENGTH(port) _MMIO_MIPI(port, _MIPIA_COMMAND_LENGTH, _MIPIC_COMMAND_LENGTH)
3230bf14
JN
11052#define COMMAND_LENGTH_SHIFT(n) (8 * (n)) /* n: 0...3 */
11053#define COMMAND_LENGTH_MASK(n) (0xff << (8 * (n)))
11054
4ad83e94 11055#define _MIPIA_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb118)
e7d7cad0 11056#define _MIPIC_READ_DATA_RETURN0 (dev_priv->mipi_mmio_base + 0xb918)
f0f59a00 11057#define MIPI_READ_DATA_RETURN(port, n) _MMIO(_MIPI(port, _MIPIA_READ_DATA_RETURN0, _MIPIC_READ_DATA_RETURN0) + 4 * (n)) /* n: 0...7 */
3230bf14 11058
4ad83e94 11059#define _MIPIA_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb138)
e7d7cad0 11060#define _MIPIC_READ_DATA_VALID (dev_priv->mipi_mmio_base + 0xb938)
f0f59a00 11061#define MIPI_READ_DATA_VALID(port) _MMIO_MIPI(port, _MIPIA_READ_DATA_VALID, _MIPIC_READ_DATA_VALID)
3230bf14
JN
11062#define READ_DATA_VALID(n) (1 << (n))
11063
3bbaba0c 11064/* MOCS (Memory Object Control State) registers */
f0f59a00 11065#define GEN9_LNCFCMOCS(i) _MMIO(0xb020 + (i) * 4) /* L3 Cache Control */
3bbaba0c 11066
f0f59a00
VS
11067#define GEN9_GFX_MOCS(i) _MMIO(0xc800 + (i) * 4) /* Graphics MOCS registers */
11068#define GEN9_MFX0_MOCS(i) _MMIO(0xc900 + (i) * 4) /* Media 0 MOCS registers */
11069#define GEN9_MFX1_MOCS(i) _MMIO(0xca00 + (i) * 4) /* Media 1 MOCS registers */
11070#define GEN9_VEBOX_MOCS(i) _MMIO(0xcb00 + (i) * 4) /* Video MOCS registers */
11071#define GEN9_BLT_MOCS(i) _MMIO(0xcc00 + (i) * 4) /* Blitter MOCS registers */
74ba22ea
TL
11072/* Media decoder 2 MOCS registers */
11073#define GEN11_MFX2_MOCS(i) _MMIO(0x10000 + (i) * 4)
3bbaba0c 11074
73f4e8a3
OM
11075#define GEN10_SCRATCH_LNCF2 _MMIO(0xb0a0)
11076#define PMFLUSHDONE_LNICRSDROP (1 << 20)
11077#define PMFLUSH_GAPL3UNBLOCK (1 << 21)
11078#define PMFLUSHDONE_LNEBLK (1 << 22)
11079
d5165ebd
TG
11080/* gamt regs */
11081#define GEN8_L3_LRA_1_GPGPU _MMIO(0x4dd4)
11082#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_BDW 0x67F1427F /* max/min for LRA1/2 */
11083#define GEN8_L3_LRA_1_GPGPU_DEFAULT_VALUE_CHV 0x5FF101FF /* max/min for LRA1/2 */
11084#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_SKL 0x67F1427F /* " " */
11085#define GEN9_L3_LRA_1_GPGPU_DEFAULT_VALUE_BXT 0x5FF101FF /* " " */
11086
93564044
VS
11087#define MMCD_MISC_CTRL _MMIO(0x4ddc) /* skl+ */
11088#define MMCD_PCLA (1 << 31)
11089#define MMCD_HOTSPOT_EN (1 << 27)
11090
ad186f3f
PZ
11091#define _ICL_PHY_MISC_A 0x64C00
11092#define _ICL_PHY_MISC_B 0x64C04
11093#define ICL_PHY_MISC(port) _MMIO_PORT(port, _ICL_PHY_MISC_A, \
11094 _ICL_PHY_MISC_B)
11095#define ICL_PHY_MISC_DE_IO_COMP_PWR_DOWN (1 << 23)
11096
2efbb2f0 11097/* Icelake Display Stream Compression Registers */
6f15a7de
AS
11098#define DSCA_PICTURE_PARAMETER_SET_0 _MMIO(0x6B200)
11099#define DSCC_PICTURE_PARAMETER_SET_0 _MMIO(0x6BA00)
2efbb2f0
AS
11100#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB 0x78270
11101#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB 0x78370
11102#define _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC 0x78470
11103#define _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC 0x78570
11104#define ICL_DSC0_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11105 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PB, \
11106 _ICL_DSC0_PICTURE_PARAMETER_SET_0_PC)
11107#define ICL_DSC1_PICTURE_PARAMETER_SET_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11108 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PB, \
11109 _ICL_DSC1_PICTURE_PARAMETER_SET_0_PC)
11110#define DSC_VBR_ENABLE (1 << 19)
11111#define DSC_422_ENABLE (1 << 18)
11112#define DSC_COLOR_SPACE_CONVERSION (1 << 17)
11113#define DSC_BLOCK_PREDICTION (1 << 16)
11114#define DSC_LINE_BUF_DEPTH_SHIFT 12
11115#define DSC_BPC_SHIFT 8
11116#define DSC_VER_MIN_SHIFT 4
11117#define DSC_VER_MAJ (0x1 << 0)
11118
6f15a7de
AS
11119#define DSCA_PICTURE_PARAMETER_SET_1 _MMIO(0x6B204)
11120#define DSCC_PICTURE_PARAMETER_SET_1 _MMIO(0x6BA04)
2efbb2f0
AS
11121#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB 0x78274
11122#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB 0x78374
11123#define _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC 0x78474
11124#define _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC 0x78574
11125#define ICL_DSC0_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11126 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PB, \
11127 _ICL_DSC0_PICTURE_PARAMETER_SET_1_PC)
11128#define ICL_DSC1_PICTURE_PARAMETER_SET_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11129 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PB, \
11130 _ICL_DSC1_PICTURE_PARAMETER_SET_1_PC)
11131#define DSC_BPP(bpp) ((bpp) << 0)
11132
6f15a7de
AS
11133#define DSCA_PICTURE_PARAMETER_SET_2 _MMIO(0x6B208)
11134#define DSCC_PICTURE_PARAMETER_SET_2 _MMIO(0x6BA08)
2efbb2f0
AS
11135#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB 0x78278
11136#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB 0x78378
11137#define _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC 0x78478
11138#define _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC 0x78578
11139#define ICL_DSC0_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11140 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PB, \
11141 _ICL_DSC0_PICTURE_PARAMETER_SET_2_PC)
11142#define ICL_DSC1_PICTURE_PARAMETER_SET_2(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11143 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PB, \
11144 _ICL_DSC1_PICTURE_PARAMETER_SET_2_PC)
11145#define DSC_PIC_WIDTH(pic_width) ((pic_width) << 16)
11146#define DSC_PIC_HEIGHT(pic_height) ((pic_height) << 0)
11147
6f15a7de
AS
11148#define DSCA_PICTURE_PARAMETER_SET_3 _MMIO(0x6B20C)
11149#define DSCC_PICTURE_PARAMETER_SET_3 _MMIO(0x6BA0C)
2efbb2f0
AS
11150#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB 0x7827C
11151#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB 0x7837C
11152#define _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC 0x7847C
11153#define _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC 0x7857C
11154#define ICL_DSC0_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11155 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PB, \
11156 _ICL_DSC0_PICTURE_PARAMETER_SET_3_PC)
11157#define ICL_DSC1_PICTURE_PARAMETER_SET_3(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11158 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PB, \
11159 _ICL_DSC1_PICTURE_PARAMETER_SET_3_PC)
11160#define DSC_SLICE_WIDTH(slice_width) ((slice_width) << 16)
11161#define DSC_SLICE_HEIGHT(slice_height) ((slice_height) << 0)
11162
6f15a7de
AS
11163#define DSCA_PICTURE_PARAMETER_SET_4 _MMIO(0x6B210)
11164#define DSCC_PICTURE_PARAMETER_SET_4 _MMIO(0x6BA10)
2efbb2f0
AS
11165#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB 0x78280
11166#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB 0x78380
11167#define _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC 0x78480
11168#define _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC 0x78580
11169#define ICL_DSC0_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11170 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PB, \
11171 _ICL_DSC0_PICTURE_PARAMETER_SET_4_PC)
11172#define ICL_DSC1_PICTURE_PARAMETER_SET_4(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11173 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PB, \
2efbb2f0
AS
11174 _ICL_DSC1_PICTURE_PARAMETER_SET_4_PC)
11175#define DSC_INITIAL_DEC_DELAY(dec_delay) ((dec_delay) << 16)
11176#define DSC_INITIAL_XMIT_DELAY(xmit_delay) ((xmit_delay) << 0)
11177
6f15a7de
AS
11178#define DSCA_PICTURE_PARAMETER_SET_5 _MMIO(0x6B214)
11179#define DSCC_PICTURE_PARAMETER_SET_5 _MMIO(0x6BA14)
2efbb2f0
AS
11180#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB 0x78284
11181#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB 0x78384
11182#define _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC 0x78484
11183#define _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC 0x78584
11184#define ICL_DSC0_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11185 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PB, \
11186 _ICL_DSC0_PICTURE_PARAMETER_SET_5_PC)
11187#define ICL_DSC1_PICTURE_PARAMETER_SET_5(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
5df52391 11188 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PB, \
2efbb2f0 11189 _ICL_DSC1_PICTURE_PARAMETER_SET_5_PC)
6f15a7de 11190#define DSC_SCALE_DEC_INT(scale_dec) ((scale_dec) << 16)
2efbb2f0
AS
11191#define DSC_SCALE_INC_INT(scale_inc) ((scale_inc) << 0)
11192
6f15a7de
AS
11193#define DSCA_PICTURE_PARAMETER_SET_6 _MMIO(0x6B218)
11194#define DSCC_PICTURE_PARAMETER_SET_6 _MMIO(0x6BA18)
2efbb2f0
AS
11195#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB 0x78288
11196#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB 0x78388
11197#define _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC 0x78488
11198#define _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC 0x78588
11199#define ICL_DSC0_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11200 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PB, \
11201 _ICL_DSC0_PICTURE_PARAMETER_SET_6_PC)
11202#define ICL_DSC1_PICTURE_PARAMETER_SET_6(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11203 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PB, \
11204 _ICL_DSC1_PICTURE_PARAMETER_SET_6_PC)
6f15a7de
AS
11205#define DSC_FLATNESS_MAX_QP(max_qp) ((max_qp) << 24)
11206#define DSC_FLATNESS_MIN_QP(min_qp) ((min_qp) << 16)
2efbb2f0
AS
11207#define DSC_FIRST_LINE_BPG_OFFSET(offset) ((offset) << 8)
11208#define DSC_INITIAL_SCALE_VALUE(value) ((value) << 0)
11209
6f15a7de
AS
11210#define DSCA_PICTURE_PARAMETER_SET_7 _MMIO(0x6B21C)
11211#define DSCC_PICTURE_PARAMETER_SET_7 _MMIO(0x6BA1C)
2efbb2f0
AS
11212#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB 0x7828C
11213#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB 0x7838C
11214#define _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC 0x7848C
11215#define _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC 0x7858C
11216#define ICL_DSC0_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11217 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PB, \
11218 _ICL_DSC0_PICTURE_PARAMETER_SET_7_PC)
11219#define ICL_DSC1_PICTURE_PARAMETER_SET_7(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11220 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PB, \
11221 _ICL_DSC1_PICTURE_PARAMETER_SET_7_PC)
11222#define DSC_NFL_BPG_OFFSET(bpg_offset) ((bpg_offset) << 16)
11223#define DSC_SLICE_BPG_OFFSET(bpg_offset) ((bpg_offset) << 0)
11224
6f15a7de
AS
11225#define DSCA_PICTURE_PARAMETER_SET_8 _MMIO(0x6B220)
11226#define DSCC_PICTURE_PARAMETER_SET_8 _MMIO(0x6BA20)
2efbb2f0
AS
11227#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB 0x78290
11228#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB 0x78390
11229#define _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC 0x78490
11230#define _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC 0x78590
11231#define ICL_DSC0_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11232 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PB, \
11233 _ICL_DSC0_PICTURE_PARAMETER_SET_8_PC)
11234#define ICL_DSC1_PICTURE_PARAMETER_SET_8(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11235 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PB, \
11236 _ICL_DSC1_PICTURE_PARAMETER_SET_8_PC)
11237#define DSC_INITIAL_OFFSET(initial_offset) ((initial_offset) << 16)
11238#define DSC_FINAL_OFFSET(final_offset) ((final_offset) << 0)
11239
6f15a7de
AS
11240#define DSCA_PICTURE_PARAMETER_SET_9 _MMIO(0x6B224)
11241#define DSCC_PICTURE_PARAMETER_SET_9 _MMIO(0x6BA24)
2efbb2f0
AS
11242#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB 0x78294
11243#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB 0x78394
11244#define _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC 0x78494
11245#define _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC 0x78594
11246#define ICL_DSC0_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11247 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PB, \
11248 _ICL_DSC0_PICTURE_PARAMETER_SET_9_PC)
11249#define ICL_DSC1_PICTURE_PARAMETER_SET_9(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11250 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PB, \
11251 _ICL_DSC1_PICTURE_PARAMETER_SET_9_PC)
11252#define DSC_RC_EDGE_FACTOR(rc_edge_fact) ((rc_edge_fact) << 16)
11253#define DSC_RC_MODEL_SIZE(rc_model_size) ((rc_model_size) << 0)
11254
6f15a7de
AS
11255#define DSCA_PICTURE_PARAMETER_SET_10 _MMIO(0x6B228)
11256#define DSCC_PICTURE_PARAMETER_SET_10 _MMIO(0x6BA28)
2efbb2f0
AS
11257#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB 0x78298
11258#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB 0x78398
11259#define _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC 0x78498
11260#define _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC 0x78598
11261#define ICL_DSC0_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11262 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PB, \
11263 _ICL_DSC0_PICTURE_PARAMETER_SET_10_PC)
11264#define ICL_DSC1_PICTURE_PARAMETER_SET_10(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11265 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PB, \
11266 _ICL_DSC1_PICTURE_PARAMETER_SET_10_PC)
11267#define DSC_RC_TARGET_OFF_LOW(rc_tgt_off_low) ((rc_tgt_off_low) << 20)
11268#define DSC_RC_TARGET_OFF_HIGH(rc_tgt_off_high) ((rc_tgt_off_high) << 16)
11269#define DSC_RC_QUANT_INC_LIMIT1(lim) ((lim) << 8)
11270#define DSC_RC_QUANT_INC_LIMIT0(lim) ((lim) << 0)
11271
6f15a7de
AS
11272#define DSCA_PICTURE_PARAMETER_SET_11 _MMIO(0x6B22C)
11273#define DSCC_PICTURE_PARAMETER_SET_11 _MMIO(0x6BA2C)
2efbb2f0
AS
11274#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB 0x7829C
11275#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB 0x7839C
11276#define _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC 0x7849C
11277#define _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC 0x7859C
11278#define ICL_DSC0_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11279 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PB, \
11280 _ICL_DSC0_PICTURE_PARAMETER_SET_11_PC)
11281#define ICL_DSC1_PICTURE_PARAMETER_SET_11(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11282 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PB, \
11283 _ICL_DSC1_PICTURE_PARAMETER_SET_11_PC)
11284
6f15a7de
AS
11285#define DSCA_PICTURE_PARAMETER_SET_12 _MMIO(0x6B260)
11286#define DSCC_PICTURE_PARAMETER_SET_12 _MMIO(0x6BA60)
2efbb2f0
AS
11287#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB 0x782A0
11288#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB 0x783A0
11289#define _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC 0x784A0
11290#define _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC 0x785A0
11291#define ICL_DSC0_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11292 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PB, \
11293 _ICL_DSC0_PICTURE_PARAMETER_SET_12_PC)
11294#define ICL_DSC1_PICTURE_PARAMETER_SET_12(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11295 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PB, \
11296 _ICL_DSC1_PICTURE_PARAMETER_SET_12_PC)
11297
6f15a7de
AS
11298#define DSCA_PICTURE_PARAMETER_SET_13 _MMIO(0x6B264)
11299#define DSCC_PICTURE_PARAMETER_SET_13 _MMIO(0x6BA64)
2efbb2f0
AS
11300#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB 0x782A4
11301#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB 0x783A4
11302#define _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC 0x784A4
11303#define _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC 0x785A4
11304#define ICL_DSC0_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11305 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PB, \
11306 _ICL_DSC0_PICTURE_PARAMETER_SET_13_PC)
11307#define ICL_DSC1_PICTURE_PARAMETER_SET_13(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11308 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PB, \
11309 _ICL_DSC1_PICTURE_PARAMETER_SET_13_PC)
11310
6f15a7de
AS
11311#define DSCA_PICTURE_PARAMETER_SET_14 _MMIO(0x6B268)
11312#define DSCC_PICTURE_PARAMETER_SET_14 _MMIO(0x6BA68)
2efbb2f0
AS
11313#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB 0x782A8
11314#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB 0x783A8
11315#define _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC 0x784A8
11316#define _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC 0x785A8
11317#define ICL_DSC0_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11318 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PB, \
11319 _ICL_DSC0_PICTURE_PARAMETER_SET_14_PC)
11320#define ICL_DSC1_PICTURE_PARAMETER_SET_14(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11321 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PB, \
11322 _ICL_DSC1_PICTURE_PARAMETER_SET_14_PC)
11323
6f15a7de
AS
11324#define DSCA_PICTURE_PARAMETER_SET_15 _MMIO(0x6B26C)
11325#define DSCC_PICTURE_PARAMETER_SET_15 _MMIO(0x6BA6C)
2efbb2f0
AS
11326#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB 0x782AC
11327#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB 0x783AC
11328#define _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC 0x784AC
11329#define _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC 0x785AC
11330#define ICL_DSC0_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11331 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PB, \
11332 _ICL_DSC0_PICTURE_PARAMETER_SET_15_PC)
11333#define ICL_DSC1_PICTURE_PARAMETER_SET_15(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11334 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PB, \
11335 _ICL_DSC1_PICTURE_PARAMETER_SET_15_PC)
11336
6f15a7de
AS
11337#define DSCA_PICTURE_PARAMETER_SET_16 _MMIO(0x6B270)
11338#define DSCC_PICTURE_PARAMETER_SET_16 _MMIO(0x6BA70)
2efbb2f0
AS
11339#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB 0x782B0
11340#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB 0x783B0
11341#define _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC 0x784B0
11342#define _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC 0x785B0
11343#define ICL_DSC0_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11344 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PB, \
11345 _ICL_DSC0_PICTURE_PARAMETER_SET_16_PC)
11346#define ICL_DSC1_PICTURE_PARAMETER_SET_16(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11347 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PB, \
11348 _ICL_DSC1_PICTURE_PARAMETER_SET_16_PC)
35b876db 11349#define DSC_SLICE_ROW_PER_FRAME(slice_row_per_frame) ((slice_row_per_frame) << 20)
2efbb2f0 11350#define DSC_SLICE_PER_LINE(slice_per_line) ((slice_per_line) << 16)
6f15a7de 11351#define DSC_SLICE_CHUNK_SIZE(slice_chunk_size) ((slice_chunk_size) << 0)
2efbb2f0 11352
dbda5111
AS
11353/* Icelake Rate Control Buffer Threshold Registers */
11354#define DSCA_RC_BUF_THRESH_0 _MMIO(0x6B230)
11355#define DSCA_RC_BUF_THRESH_0_UDW _MMIO(0x6B230 + 4)
11356#define DSCC_RC_BUF_THRESH_0 _MMIO(0x6BA30)
11357#define DSCC_RC_BUF_THRESH_0_UDW _MMIO(0x6BA30 + 4)
11358#define _ICL_DSC0_RC_BUF_THRESH_0_PB (0x78254)
11359#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB (0x78254 + 4)
11360#define _ICL_DSC1_RC_BUF_THRESH_0_PB (0x78354)
11361#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB (0x78354 + 4)
11362#define _ICL_DSC0_RC_BUF_THRESH_0_PC (0x78454)
11363#define _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC (0x78454 + 4)
11364#define _ICL_DSC1_RC_BUF_THRESH_0_PC (0x78554)
11365#define _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC (0x78554 + 4)
11366#define ICL_DSC0_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11367 _ICL_DSC0_RC_BUF_THRESH_0_PB, \
11368 _ICL_DSC0_RC_BUF_THRESH_0_PC)
11369#define ICL_DSC0_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11370 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PB, \
11371 _ICL_DSC0_RC_BUF_THRESH_0_UDW_PC)
11372#define ICL_DSC1_RC_BUF_THRESH_0(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11373 _ICL_DSC1_RC_BUF_THRESH_0_PB, \
11374 _ICL_DSC1_RC_BUF_THRESH_0_PC)
11375#define ICL_DSC1_RC_BUF_THRESH_0_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11376 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PB, \
11377 _ICL_DSC1_RC_BUF_THRESH_0_UDW_PC)
11378
11379#define DSCA_RC_BUF_THRESH_1 _MMIO(0x6B238)
11380#define DSCA_RC_BUF_THRESH_1_UDW _MMIO(0x6B238 + 4)
11381#define DSCC_RC_BUF_THRESH_1 _MMIO(0x6BA38)
11382#define DSCC_RC_BUF_THRESH_1_UDW _MMIO(0x6BA38 + 4)
11383#define _ICL_DSC0_RC_BUF_THRESH_1_PB (0x7825C)
11384#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB (0x7825C + 4)
11385#define _ICL_DSC1_RC_BUF_THRESH_1_PB (0x7835C)
11386#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB (0x7835C + 4)
11387#define _ICL_DSC0_RC_BUF_THRESH_1_PC (0x7845C)
11388#define _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC (0x7845C + 4)
11389#define _ICL_DSC1_RC_BUF_THRESH_1_PC (0x7855C)
11390#define _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC (0x7855C + 4)
11391#define ICL_DSC0_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11392 _ICL_DSC0_RC_BUF_THRESH_1_PB, \
11393 _ICL_DSC0_RC_BUF_THRESH_1_PC)
11394#define ICL_DSC0_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11395 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PB, \
11396 _ICL_DSC0_RC_BUF_THRESH_1_UDW_PC)
11397#define ICL_DSC1_RC_BUF_THRESH_1(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11398 _ICL_DSC1_RC_BUF_THRESH_1_PB, \
11399 _ICL_DSC1_RC_BUF_THRESH_1_PC)
11400#define ICL_DSC1_RC_BUF_THRESH_1_UDW(pipe) _MMIO_PIPE((pipe) - PIPE_B, \
11401 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PB, \
11402 _ICL_DSC1_RC_BUF_THRESH_1_UDW_PC)
11403
a6576a8d 11404#define PORT_TX_DFLEXDPSP _MMIO(FIA1_BASE + 0x008A0)
b9fcddab
PZ
11405#define TC_LIVE_STATE_TBT(tc_port) (1 << ((tc_port) * 8 + 6))
11406#define TC_LIVE_STATE_TC(tc_port) (1 << ((tc_port) * 8 + 5))
db7295c2
AM
11407#define DP_LANE_ASSIGNMENT_SHIFT(tc_port) ((tc_port) * 8)
11408#define DP_LANE_ASSIGNMENT_MASK(tc_port) (0xf << ((tc_port) * 8))
11409#define DP_LANE_ASSIGNMENT(tc_port, x) ((x) << ((tc_port) * 8))
b9fcddab 11410
a6576a8d 11411#define PORT_TX_DFLEXDPPMS _MMIO(FIA1_BASE + 0x00890)
39d1e234
PZ
11412#define DP_PHY_MODE_STATUS_COMPLETED(tc_port) (1 << (tc_port))
11413
a6576a8d 11414#define PORT_TX_DFLEXDPCSSS _MMIO(FIA1_BASE + 0x00894)
39d1e234
PZ
11415#define DP_PHY_MODE_STATUS_NOT_SAFE(tc_port) (1 << (tc_port))
11416
585fb111 11417#endif /* _I915_REG_H_ */