]> git.proxmox.com Git - mirror_ubuntu-jammy-kernel.git/blame - drivers/misc/sram.c
md: use correct type in super_1_sync
[mirror_ubuntu-jammy-kernel.git] / drivers / misc / sram.c
CommitLineData
4984c6f5
PZ
1/*
2 * Generic on-chip SRAM allocation driver
3 *
4 * Copyright (C) 2012 Philipp Zabel, Pengutronix
5 *
6 * This program is free software; you can redistribute it and/or
7 * modify it under the terms of the GNU General Public License
8 * as published by the Free Software Foundation; either version 2
9 * of the License, or (at your option) any later version.
10 * This program is distributed in the hope that it will be useful,
11 * but WITHOUT ANY WARRANTY; without even the implied warranty of
12 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 * GNU General Public License for more details.
14 *
15 * You should have received a copy of the GNU General Public License
16 * along with this program; if not, write to the Free Software
17 * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston,
18 * MA 02110-1301, USA.
19 */
20
4984c6f5 21#include <linux/clk.h>
2ae2e288 22#include <linux/delay.h>
98ce2d27 23#include <linux/genalloc.h>
4984c6f5 24#include <linux/io.h>
2da19688 25#include <linux/list_sort.h>
98ce2d27 26#include <linux/of_address.h>
2ae2e288 27#include <linux/of_device.h>
4984c6f5 28#include <linux/platform_device.h>
2ae2e288 29#include <linux/regmap.h>
4984c6f5 30#include <linux/slab.h>
2ae2e288
AB
31#include <linux/mfd/syscon.h>
32#include <soc/at91/atmel-secumod.h>
4984c6f5 33
cdd1737c 34#include "sram.h"
665d82fb 35
cdd1737c 36#define SRAM_GRANULARITY 32
2da19688 37
b4c3fcb3
VZ
38static ssize_t sram_read(struct file *filp, struct kobject *kobj,
39 struct bin_attribute *attr,
40 char *buf, loff_t pos, size_t count)
41{
42 struct sram_partition *part;
43
44 part = container_of(attr, struct sram_partition, battr);
45
46 mutex_lock(&part->lock);
525d12f2 47 memcpy_fromio(buf, part->base + pos, count);
b4c3fcb3
VZ
48 mutex_unlock(&part->lock);
49
50 return count;
51}
52
53static ssize_t sram_write(struct file *filp, struct kobject *kobj,
54 struct bin_attribute *attr,
55 char *buf, loff_t pos, size_t count)
56{
57 struct sram_partition *part;
58
59 part = container_of(attr, struct sram_partition, battr);
60
61 mutex_lock(&part->lock);
525d12f2 62 memcpy_toio(part->base + pos, buf, count);
b4c3fcb3
VZ
63 mutex_unlock(&part->lock);
64
65 return count;
66}
67
68static int sram_add_pool(struct sram_dev *sram, struct sram_reserve *block,
69 phys_addr_t start, struct sram_partition *part)
70{
71 int ret;
72
73 part->pool = devm_gen_pool_create(sram->dev, ilog2(SRAM_GRANULARITY),
74 NUMA_NO_NODE, block->label);
75 if (IS_ERR(part->pool))
76 return PTR_ERR(part->pool);
77
78 ret = gen_pool_add_virt(part->pool, (unsigned long)part->base, start,
79 block->size, NUMA_NO_NODE);
80 if (ret < 0) {
81 dev_err(sram->dev, "failed to register subpool: %d\n", ret);
82 return ret;
83 }
84
85 return 0;
86}
87
88static int sram_add_export(struct sram_dev *sram, struct sram_reserve *block,
89 phys_addr_t start, struct sram_partition *part)
90{
91 sysfs_bin_attr_init(&part->battr);
92 part->battr.attr.name = devm_kasprintf(sram->dev, GFP_KERNEL,
93 "%llx.sram",
94 (unsigned long long)start);
95 if (!part->battr.attr.name)
96 return -ENOMEM;
97
98 part->battr.attr.mode = S_IRUSR | S_IWUSR;
99 part->battr.read = sram_read;
100 part->battr.write = sram_write;
101 part->battr.size = block->size;
102
103 return device_create_bin_file(sram->dev, &part->battr);
104}
105
106static int sram_add_partition(struct sram_dev *sram, struct sram_reserve *block,
107 phys_addr_t start)
108{
109 int ret;
110 struct sram_partition *part = &sram->partition[sram->partitions];
111
112 mutex_init(&part->lock);
113 part->base = sram->virt_base + block->start;
114
115 if (block->pool) {
116 ret = sram_add_pool(sram, block, start, part);
117 if (ret)
118 return ret;
119 }
120 if (block->export) {
121 ret = sram_add_export(sram, block, start, part);
122 if (ret)
123 return ret;
124 }
37afff0d
DG
125 if (block->protect_exec) {
126 ret = sram_check_protect_exec(sram, block, part);
127 if (ret)
128 return ret;
129
130 ret = sram_add_pool(sram, block, start, part);
131 if (ret)
132 return ret;
133
134 sram_add_protect_exec(part);
135 }
136
b4c3fcb3
VZ
137 sram->partitions++;
138
139 return 0;
140}
141
142static void sram_free_partitions(struct sram_dev *sram)
143{
144 struct sram_partition *part;
145
146 if (!sram->partitions)
147 return;
148
149 part = &sram->partition[sram->partitions - 1];
150 for (; sram->partitions; sram->partitions--, part--) {
151 if (part->battr.size)
152 device_remove_bin_file(sram->dev, &part->battr);
153
154 if (part->pool &&
155 gen_pool_avail(part->pool) < gen_pool_size(part->pool))
156 dev_err(sram->dev, "removed pool while SRAM allocated\n");
157 }
158}
159
2da19688
HS
160static int sram_reserve_cmp(void *priv, struct list_head *a,
161 struct list_head *b)
162{
163 struct sram_reserve *ra = list_entry(a, struct sram_reserve, list);
164 struct sram_reserve *rb = list_entry(b, struct sram_reserve, list);
165
166 return ra->start - rb->start;
167}
168
a0a5be0b 169static int sram_reserve_regions(struct sram_dev *sram, struct resource *res)
4984c6f5 170{
a0a5be0b 171 struct device_node *np = sram->dev->of_node, *child;
2da19688
HS
172 unsigned long size, cur_start, cur_size;
173 struct sram_reserve *rblocks, *block;
174 struct list_head reserve_list;
b4c3fcb3
VZ
175 unsigned int nblocks, exports = 0;
176 const char *label;
a0a5be0b 177 int ret = 0;
4984c6f5 178
2da19688
HS
179 INIT_LIST_HEAD(&reserve_list);
180
f3cbfa5d 181 size = resource_size(res);
4984c6f5 182
2da19688
HS
183 /*
184 * We need an additional block to mark the end of the memory region
185 * after the reserved blocks from the dt are processed.
186 */
187 nblocks = (np) ? of_get_available_child_count(np) + 1 : 1;
6396bb22 188 rblocks = kcalloc(nblocks, sizeof(*rblocks), GFP_KERNEL);
ee895ccd
VZ
189 if (!rblocks)
190 return -ENOMEM;
4984c6f5 191
2da19688
HS
192 block = &rblocks[0];
193 for_each_available_child_of_node(np, child) {
194 struct resource child_res;
195
196 ret = of_address_to_resource(child, 0, &child_res);
197 if (ret < 0) {
665d82fb 198 dev_err(sram->dev,
34d0eb50
RH
199 "could not get address for node %pOF\n",
200 child);
2da19688
HS
201 goto err_chunks;
202 }
203
204 if (child_res.start < res->start || child_res.end > res->end) {
665d82fb 205 dev_err(sram->dev,
34d0eb50
RH
206 "reserved block %pOF outside the sram area\n",
207 child);
2da19688
HS
208 ret = -EINVAL;
209 goto err_chunks;
210 }
211
212 block->start = child_res.start - res->start;
213 block->size = resource_size(&child_res);
214 list_add_tail(&block->list, &reserve_list);
215
b4c3fcb3
VZ
216 if (of_find_property(child, "export", NULL))
217 block->export = true;
218
219 if (of_find_property(child, "pool", NULL))
220 block->pool = true;
221
37afff0d
DG
222 if (of_find_property(child, "protect-exec", NULL))
223 block->protect_exec = true;
224
225 if ((block->export || block->pool || block->protect_exec) &&
226 block->size) {
b4c3fcb3
VZ
227 exports++;
228
229 label = NULL;
230 ret = of_property_read_string(child, "label", &label);
231 if (ret && ret != -EINVAL) {
232 dev_err(sram->dev,
34d0eb50
RH
233 "%pOF has invalid label name\n",
234 child);
b4c3fcb3
VZ
235 goto err_chunks;
236 }
237 if (!label)
238 label = child->name;
239
240 block->label = devm_kstrdup(sram->dev,
241 label, GFP_KERNEL);
ddc5c9a3
PB
242 if (!block->label) {
243 ret = -ENOMEM;
b4c3fcb3 244 goto err_chunks;
ddc5c9a3 245 }
b4c3fcb3
VZ
246
247 dev_dbg(sram->dev, "found %sblock '%s' 0x%x-0x%x\n",
248 block->export ? "exported " : "", block->label,
249 block->start, block->start + block->size);
250 } else {
251 dev_dbg(sram->dev, "found reserved block 0x%x-0x%x\n",
252 block->start, block->start + block->size);
253 }
2da19688
HS
254
255 block++;
256 }
b4c3fcb3 257 child = NULL;
2da19688
HS
258
259 /* the last chunk marks the end of the region */
260 rblocks[nblocks - 1].start = size;
261 rblocks[nblocks - 1].size = 0;
262 list_add_tail(&rblocks[nblocks - 1].list, &reserve_list);
263
264 list_sort(NULL, &reserve_list, sram_reserve_cmp);
265
b4c3fcb3 266 if (exports) {
a86854d0
KC
267 sram->partition = devm_kcalloc(sram->dev,
268 exports, sizeof(*sram->partition),
b4c3fcb3
VZ
269 GFP_KERNEL);
270 if (!sram->partition) {
271 ret = -ENOMEM;
272 goto err_chunks;
273 }
274 }
2da19688 275
b4c3fcb3 276 cur_start = 0;
2da19688
HS
277 list_for_each_entry(block, &reserve_list, list) {
278 /* can only happen if sections overlap */
279 if (block->start < cur_start) {
665d82fb 280 dev_err(sram->dev,
2da19688
HS
281 "block at 0x%x starts after current offset 0x%lx\n",
282 block->start, cur_start);
283 ret = -EINVAL;
b4c3fcb3 284 sram_free_partitions(sram);
2da19688
HS
285 goto err_chunks;
286 }
287
37afff0d
DG
288 if ((block->export || block->pool || block->protect_exec) &&
289 block->size) {
b4c3fcb3
VZ
290 ret = sram_add_partition(sram, block,
291 res->start + block->start);
292 if (ret) {
293 sram_free_partitions(sram);
294 goto err_chunks;
295 }
296 }
297
2da19688
HS
298 /* current start is in a reserved block, so continue after it */
299 if (block->start == cur_start) {
300 cur_start = block->start + block->size;
301 continue;
302 }
303
304 /*
305 * allocate the space between the current starting
306 * address and the following reserved block, or the
307 * end of the region.
308 */
309 cur_size = block->start - cur_start;
310
665d82fb 311 dev_dbg(sram->dev, "adding chunk 0x%lx-0x%lx\n",
2da19688 312 cur_start, cur_start + cur_size);
665d82fb 313
2da19688 314 ret = gen_pool_add_virt(sram->pool,
665d82fb 315 (unsigned long)sram->virt_base + cur_start,
2da19688 316 res->start + cur_start, cur_size, -1);
b4c3fcb3
VZ
317 if (ret < 0) {
318 sram_free_partitions(sram);
2da19688 319 goto err_chunks;
b4c3fcb3 320 }
2da19688
HS
321
322 /* next allocation after this reserved block */
323 cur_start = block->start + block->size;
324 }
325
3104389e 326err_chunks:
327 of_node_put(child);
2da19688
HS
328 kfree(rblocks);
329
a0a5be0b
VZ
330 return ret;
331}
332
2ae2e288
AB
333static int atmel_securam_wait(void)
334{
335 struct regmap *regmap;
336 u32 val;
337
338 regmap = syscon_regmap_lookup_by_compatible("atmel,sama5d2-secumod");
339 if (IS_ERR(regmap))
340 return -ENODEV;
341
342 return regmap_read_poll_timeout(regmap, AT91_SECUMOD_RAMRDY, val,
343 val & AT91_SECUMOD_RAMRDY_READY,
344 10000, 500000);
345}
346
2ae2e288
AB
347static const struct of_device_id sram_dt_ids[] = {
348 { .compatible = "mmio-sram" },
349 { .compatible = "atmel,sama5d2-securam", .data = atmel_securam_wait },
350 {}
351};
2ae2e288 352
a0a5be0b
VZ
353static int sram_probe(struct platform_device *pdev)
354{
355 struct sram_dev *sram;
356 struct resource *res;
357 size_t size;
358 int ret;
2ae2e288 359 int (*init_func)(void);
a0a5be0b
VZ
360
361 sram = devm_kzalloc(&pdev->dev, sizeof(*sram), GFP_KERNEL);
362 if (!sram)
363 return -ENOMEM;
364
365 sram->dev = &pdev->dev;
366
367 res = platform_get_resource(pdev, IORESOURCE_MEM, 0);
368 if (!res) {
369 dev_err(sram->dev, "found no memory resource\n");
370 return -EINVAL;
371 }
372
373 size = resource_size(res);
374
375 if (!devm_request_mem_region(sram->dev, res->start, size, pdev->name)) {
376 dev_err(sram->dev, "could not request region for resource\n");
377 return -EBUSY;
378 }
379
eb43e023
MW
380 if (of_property_read_bool(pdev->dev.of_node, "no-memory-wc"))
381 sram->virt_base = devm_ioremap(sram->dev, res->start, size);
382 else
383 sram->virt_base = devm_ioremap_wc(sram->dev, res->start, size);
d449d69d
VZ
384 if (!sram->virt_base)
385 return -ENOMEM;
a0a5be0b 386
73858173
VZ
387 sram->pool = devm_gen_pool_create(sram->dev, ilog2(SRAM_GRANULARITY),
388 NUMA_NO_NODE, NULL);
389 if (IS_ERR(sram->pool))
390 return PTR_ERR(sram->pool);
a0a5be0b 391
665d82fb 392 sram->clk = devm_clk_get(sram->dev, NULL);
ee895ccd
VZ
393 if (IS_ERR(sram->clk))
394 sram->clk = NULL;
395 else
396 clk_prepare_enable(sram->clk);
397
d5b9653d
JH
398 ret = sram_reserve_regions(sram, res);
399 if (ret)
400 goto err_disable_clk;
401
4984c6f5
PZ
402 platform_set_drvdata(pdev, sram);
403
2ae2e288
AB
404 init_func = of_device_get_match_data(&pdev->dev);
405 if (init_func) {
406 ret = init_func();
407 if (ret)
d5b9653d 408 goto err_free_partitions;
2ae2e288
AB
409 }
410
665d82fb
VZ
411 dev_dbg(sram->dev, "SRAM pool: %zu KiB @ 0x%p\n",
412 gen_pool_size(sram->pool) / 1024, sram->virt_base);
4984c6f5
PZ
413
414 return 0;
f294d009 415
d5b9653d
JH
416err_free_partitions:
417 sram_free_partitions(sram);
f294d009
JH
418err_disable_clk:
419 if (sram->clk)
420 clk_disable_unprepare(sram->clk);
f294d009
JH
421
422 return ret;
4984c6f5
PZ
423}
424
425static int sram_remove(struct platform_device *pdev)
426{
427 struct sram_dev *sram = platform_get_drvdata(pdev);
428
b4c3fcb3
VZ
429 sram_free_partitions(sram);
430
4984c6f5 431 if (gen_pool_avail(sram->pool) < gen_pool_size(sram->pool))
665d82fb 432 dev_err(sram->dev, "removed while SRAM allocated\n");
4984c6f5 433
4984c6f5
PZ
434 if (sram->clk)
435 clk_disable_unprepare(sram->clk);
436
437 return 0;
438}
439
4984c6f5
PZ
440static struct platform_driver sram_driver = {
441 .driver = {
442 .name = "sram",
2aa488a6 443 .of_match_table = sram_dt_ids,
4984c6f5
PZ
444 },
445 .probe = sram_probe,
446 .remove = sram_remove,
447};
448
449static int __init sram_init(void)
450{
451 return platform_driver_register(&sram_driver);
452}
453
454postcore_initcall(sram_init);