]> git.proxmox.com Git - mirror_ubuntu-zesty-kernel.git/blame - drivers/scsi/qla2xxx/qla_def.h
[SCSI] qla2xxx: Clear error status after uncorrectable non-fatal errors.
[mirror_ubuntu-zesty-kernel.git] / drivers / scsi / qla2xxx / qla_def.h
CommitLineData
fa90c54f
AV
1/*
2 * QLogic Fibre Channel HBA Driver
01e58d8e 3 * Copyright (c) 2003-2008 QLogic Corporation
fa90c54f
AV
4 *
5 * See LICENSE.qla2xxx for copyright and licensing details.
6 */
1da177e4
LT
7#ifndef __QLA_DEF_H
8#define __QLA_DEF_H
9
10#include <linux/kernel.h>
11#include <linux/init.h>
12#include <linux/types.h>
13#include <linux/module.h>
14#include <linux/list.h>
15#include <linux/pci.h>
16#include <linux/dma-mapping.h>
17#include <linux/sched.h>
18#include <linux/slab.h>
19#include <linux/dmapool.h>
20#include <linux/mempool.h>
21#include <linux/spinlock.h>
22#include <linux/completion.h>
abbd8870 23#include <linux/interrupt.h>
19a7b4ae 24#include <linux/workqueue.h>
5433383e 25#include <linux/firmware.h>
14e660e6 26#include <linux/aer.h>
4d4df193 27#include <linux/mutex.h>
1da177e4
LT
28
29#include <scsi/scsi.h>
30#include <scsi/scsi_host.h>
31#include <scsi/scsi_device.h>
32#include <scsi/scsi_cmnd.h>
392e2f65 33#include <scsi/scsi_transport_fc.h>
9a069e19 34#include <scsi/scsi_bsg_fc.h>
1da177e4 35
6e98016c 36#include "qla_bsg.h"
a9083016 37#include "qla_nx.h"
cb63067a
AV
38#define QLA2XXX_DRIVER_NAME "qla2xxx"
39
1da177e4
LT
40/*
41 * We have MAILBOX_REGISTER_COUNT sized arrays in a few places,
42 * but that's fine as we don't look at the last 24 ones for
43 * ISP2100 HBAs.
44 */
45#define MAILBOX_REGISTER_COUNT_2100 8
46#define MAILBOX_REGISTER_COUNT 32
47
48#define QLA2200A_RISC_ROM_VER 4
49#define FPM_2300 6
50#define FPM_2310 7
51
52#include "qla_settings.h"
53
fa2a1ce5 54/*
1da177e4
LT
55 * Data bit definitions
56 */
57#define BIT_0 0x1
58#define BIT_1 0x2
59#define BIT_2 0x4
60#define BIT_3 0x8
61#define BIT_4 0x10
62#define BIT_5 0x20
63#define BIT_6 0x40
64#define BIT_7 0x80
65#define BIT_8 0x100
66#define BIT_9 0x200
67#define BIT_10 0x400
68#define BIT_11 0x800
69#define BIT_12 0x1000
70#define BIT_13 0x2000
71#define BIT_14 0x4000
72#define BIT_15 0x8000
73#define BIT_16 0x10000
74#define BIT_17 0x20000
75#define BIT_18 0x40000
76#define BIT_19 0x80000
77#define BIT_20 0x100000
78#define BIT_21 0x200000
79#define BIT_22 0x400000
80#define BIT_23 0x800000
81#define BIT_24 0x1000000
82#define BIT_25 0x2000000
83#define BIT_26 0x4000000
84#define BIT_27 0x8000000
85#define BIT_28 0x10000000
86#define BIT_29 0x20000000
87#define BIT_30 0x40000000
88#define BIT_31 0x80000000
89
90#define LSB(x) ((uint8_t)(x))
91#define MSB(x) ((uint8_t)((uint16_t)(x) >> 8))
92
93#define LSW(x) ((uint16_t)(x))
94#define MSW(x) ((uint16_t)((uint32_t)(x) >> 16))
95
96#define LSD(x) ((uint32_t)((uint64_t)(x)))
97#define MSD(x) ((uint32_t)((((uint64_t)(x)) >> 16) >> 16))
98
2afa19a9 99#define MAKE_HANDLE(x, y) ((uint32_t)((((uint32_t)(x)) << 16) | (uint32_t)(y)))
1da177e4
LT
100
101/*
102 * I/O register
103*/
104
105#define RD_REG_BYTE(addr) readb(addr)
106#define RD_REG_WORD(addr) readw(addr)
107#define RD_REG_DWORD(addr) readl(addr)
108#define RD_REG_BYTE_RELAXED(addr) readb_relaxed(addr)
109#define RD_REG_WORD_RELAXED(addr) readw_relaxed(addr)
110#define RD_REG_DWORD_RELAXED(addr) readl_relaxed(addr)
111#define WRT_REG_BYTE(addr, data) writeb(data,addr)
112#define WRT_REG_WORD(addr, data) writew(data,addr)
113#define WRT_REG_DWORD(addr, data) writel(data,addr)
114
f6df144c
AV
115/*
116 * The ISP2312 v2 chip cannot access the FLASH/GPIO registers via MMIO in an
117 * 133Mhz slot.
118 */
119#define RD_REG_WORD_PIO(addr) (inw((unsigned long)addr))
120#define WRT_REG_WORD_PIO(addr, data) (outw(data,(unsigned long)addr))
121
1da177e4
LT
122/*
123 * Fibre Channel device definitions.
124 */
125#define WWN_SIZE 8 /* Size of WWPN, WWN & WWNN */
126#define MAX_FIBRE_DEVICES 512
cc4731f5 127#define MAX_FIBRE_LUNS 0xFFFF
1da177e4
LT
128#define MAX_RSCN_COUNT 32
129#define MAX_HOST_COUNT 16
130
131/*
132 * Host adapter default definitions.
133 */
134#define MAX_BUSES 1 /* We only have one bus today */
135#define MAX_TARGETS_2100 MAX_FIBRE_DEVICES
136#define MAX_TARGETS_2200 MAX_FIBRE_DEVICES
1da177e4
LT
137#define MIN_LUNS 8
138#define MAX_LUNS MAX_FIBRE_LUNS
fa2a1ce5
AV
139#define MAX_CMDS_PER_LUN 255
140
1da177e4
LT
141/*
142 * Fibre Channel device definitions.
143 */
144#define SNS_LAST_LOOP_ID_2100 0xfe
145#define SNS_LAST_LOOP_ID_2300 0x7ff
146
147#define LAST_LOCAL_LOOP_ID 0x7d
148#define SNS_FL_PORT 0x7e
149#define FABRIC_CONTROLLER 0x7f
150#define SIMPLE_NAME_SERVER 0x80
151#define SNS_FIRST_LOOP_ID 0x81
152#define MANAGEMENT_SERVER 0xfe
153#define BROADCAST 0xff
154
3d71644c
AV
155/*
156 * There is no correspondence between an N-PORT id and an AL_PA. Therefore the
157 * valid range of an N-PORT id is 0 through 0x7ef.
158 */
159#define NPH_LAST_HANDLE 0x7ef
cca5335c 160#define NPH_MGMT_SERVER 0x7fa /* FFFFFA */
3d71644c
AV
161#define NPH_SNS 0x7fc /* FFFFFC */
162#define NPH_FABRIC_CONTROLLER 0x7fd /* FFFFFD */
163#define NPH_F_PORT 0x7fe /* FFFFFE */
164#define NPH_IP_BROADCAST 0x7ff /* FFFFFF */
165
166#define MAX_CMDSZ 16 /* SCSI maximum CDB size. */
167#include "qla_fw.h"
1da177e4
LT
168
169/*
170 * Timeout timer counts in seconds
171 */
8482e118 172#define PORT_RETRY_TIME 1
1da177e4
LT
173#define LOOP_DOWN_TIMEOUT 60
174#define LOOP_DOWN_TIME 255 /* 240 */
175#define LOOP_DOWN_RESET (LOOP_DOWN_TIME - 30)
176
177/* Maximum outstanding commands in ISP queues (1-65535) */
178#define MAX_OUTSTANDING_COMMANDS 1024
179
180/* ISP request and response entry counts (37-65535) */
181#define REQUEST_ENTRY_CNT_2100 128 /* Number of request entries. */
182#define REQUEST_ENTRY_CNT_2200 2048 /* Number of request entries. */
d743de66 183#define REQUEST_ENTRY_CNT_24XX 2048 /* Number of request entries. */
1da177e4
LT
184#define RESPONSE_ENTRY_CNT_2100 64 /* Number of response entries.*/
185#define RESPONSE_ENTRY_CNT_2300 512 /* Number of response entries.*/
2afa19a9 186#define RESPONSE_ENTRY_CNT_MQ 128 /* Number of response entries.*/
1da177e4 187
17d98630
AC
188struct req_que;
189
1da177e4 190/*
fa2a1ce5 191 * SCSI Request Block
1da177e4
LT
192 */
193typedef struct srb {
bdf79621 194 struct fc_port *fcport;
cf53b069 195 uint32_t handle;
1da177e4
LT
196
197 struct scsi_cmnd *cmd; /* Linux SCSI command pkt */
198
1da177e4
LT
199 uint16_t flags;
200
1da177e4
LT
201 uint32_t request_sense_length;
202 uint8_t *request_sense_ptr;
cf53b069
AV
203
204 void *ctx;
1da177e4
LT
205} srb_t;
206
207/*
208 * SRB flag definitions
209 */
ddb9b126 210#define SRB_DMA_VALID BIT_0 /* Command sent to ISP */
a9083016 211#define SRB_FCP_CMND_DMA_VALID BIT_12 /* FCP command in IOCB */
1da177e4 212
ac280b67
AV
213/*
214 * SRB extensions.
215 */
216struct srb_ctx {
217#define SRB_LOGIN_CMD 1
218#define SRB_LOGOUT_CMD 2
219 uint16_t type;
220 struct timer_list timer;
221
222 void (*free)(srb_t *sp);
223 void (*timeout)(srb_t *sp);
224};
225
226struct srb_logio {
227 struct srb_ctx ctx;
228
229#define SRB_LOGIN_RETRIED BIT_0
230#define SRB_LOGIN_COND_PLOGI BIT_1
231#define SRB_LOGIN_SKIP_PRLI BIT_2
232 uint16_t flags;
233};
234
9a069e19
GM
235struct srb_bsg_ctx {
236#define SRB_ELS_CMD_RPT 3
237#define SRB_ELS_CMD_HST 4
238#define SRB_CT_CMD 5
239 uint16_t type;
240};
241
242struct srb_bsg {
243 struct srb_bsg_ctx ctx;
244 struct fc_bsg_job *bsg_job;
245};
246
247struct msg_echo_lb {
248 dma_addr_t send_dma;
249 dma_addr_t rcv_dma;
250 uint16_t req_sg_cnt;
251 uint16_t rsp_sg_cnt;
252 uint16_t options;
253 uint32_t transfer_size;
254};
255
1da177e4
LT
256/*
257 * ISP I/O Register Set structure definitions.
258 */
3d71644c
AV
259struct device_reg_2xxx {
260 uint16_t flash_address; /* Flash BIOS address */
261 uint16_t flash_data; /* Flash BIOS data */
1da177e4 262 uint16_t unused_1[1]; /* Gap */
3d71644c 263 uint16_t ctrl_status; /* Control/Status */
fa2a1ce5 264#define CSR_FLASH_64K_BANK BIT_3 /* Flash upper 64K bank select */
1da177e4
LT
265#define CSR_FLASH_ENABLE BIT_1 /* Flash BIOS Read/Write enable */
266#define CSR_ISP_SOFT_RESET BIT_0 /* ISP soft reset */
267
3d71644c 268 uint16_t ictrl; /* Interrupt control */
1da177e4
LT
269#define ICR_EN_INT BIT_15 /* ISP enable interrupts. */
270#define ICR_EN_RISC BIT_3 /* ISP enable RISC interrupts. */
271
3d71644c 272 uint16_t istatus; /* Interrupt status */
1da177e4
LT
273#define ISR_RISC_INT BIT_3 /* RISC interrupt */
274
3d71644c
AV
275 uint16_t semaphore; /* Semaphore */
276 uint16_t nvram; /* NVRAM register. */
1da177e4
LT
277#define NVR_DESELECT 0
278#define NVR_BUSY BIT_15
279#define NVR_WRT_ENABLE BIT_14 /* Write enable */
280#define NVR_PR_ENABLE BIT_13 /* Protection register enable */
281#define NVR_DATA_IN BIT_3
282#define NVR_DATA_OUT BIT_2
283#define NVR_SELECT BIT_1
284#define NVR_CLOCK BIT_0
285
45aeaf1e
RA
286#define NVR_WAIT_CNT 20000
287
1da177e4
LT
288 union {
289 struct {
3d71644c
AV
290 uint16_t mailbox0;
291 uint16_t mailbox1;
292 uint16_t mailbox2;
293 uint16_t mailbox3;
294 uint16_t mailbox4;
295 uint16_t mailbox5;
296 uint16_t mailbox6;
297 uint16_t mailbox7;
298 uint16_t unused_2[59]; /* Gap */
1da177e4
LT
299 } __attribute__((packed)) isp2100;
300 struct {
3d71644c
AV
301 /* Request Queue */
302 uint16_t req_q_in; /* In-Pointer */
303 uint16_t req_q_out; /* Out-Pointer */
304 /* Response Queue */
305 uint16_t rsp_q_in; /* In-Pointer */
306 uint16_t rsp_q_out; /* Out-Pointer */
1da177e4
LT
307
308 /* RISC to Host Status */
fa2a1ce5 309 uint32_t host_status;
1da177e4
LT
310#define HSR_RISC_INT BIT_15 /* RISC interrupt */
311#define HSR_RISC_PAUSED BIT_8 /* RISC Paused */
312
313 /* Host to Host Semaphore */
fa2a1ce5 314 uint16_t host_semaphore;
3d71644c
AV
315 uint16_t unused_3[17]; /* Gap */
316 uint16_t mailbox0;
317 uint16_t mailbox1;
318 uint16_t mailbox2;
319 uint16_t mailbox3;
320 uint16_t mailbox4;
321 uint16_t mailbox5;
322 uint16_t mailbox6;
323 uint16_t mailbox7;
324 uint16_t mailbox8;
325 uint16_t mailbox9;
326 uint16_t mailbox10;
327 uint16_t mailbox11;
328 uint16_t mailbox12;
329 uint16_t mailbox13;
330 uint16_t mailbox14;
331 uint16_t mailbox15;
332 uint16_t mailbox16;
333 uint16_t mailbox17;
334 uint16_t mailbox18;
335 uint16_t mailbox19;
336 uint16_t mailbox20;
337 uint16_t mailbox21;
338 uint16_t mailbox22;
339 uint16_t mailbox23;
340 uint16_t mailbox24;
341 uint16_t mailbox25;
342 uint16_t mailbox26;
343 uint16_t mailbox27;
344 uint16_t mailbox28;
345 uint16_t mailbox29;
346 uint16_t mailbox30;
347 uint16_t mailbox31;
348 uint16_t fb_cmd;
349 uint16_t unused_4[10]; /* Gap */
1da177e4
LT
350 } __attribute__((packed)) isp2300;
351 } u;
352
3d71644c 353 uint16_t fpm_diag_config;
c81d04c9
AV
354 uint16_t unused_5[0x4]; /* Gap */
355 uint16_t risc_hw;
356 uint16_t unused_5_1; /* Gap */
3d71644c 357 uint16_t pcr; /* Processor Control Register. */
1da177e4 358 uint16_t unused_6[0x5]; /* Gap */
3d71644c 359 uint16_t mctr; /* Memory Configuration and Timing. */
1da177e4 360 uint16_t unused_7[0x3]; /* Gap */
3d71644c 361 uint16_t fb_cmd_2100; /* Unused on 23XX */
1da177e4 362 uint16_t unused_8[0x3]; /* Gap */
3d71644c 363 uint16_t hccr; /* Host command & control register. */
1da177e4
LT
364#define HCCR_HOST_INT BIT_7 /* Host interrupt bit */
365#define HCCR_RISC_PAUSE BIT_5 /* Pause mode bit */
366 /* HCCR commands */
367#define HCCR_RESET_RISC 0x1000 /* Reset RISC */
368#define HCCR_PAUSE_RISC 0x2000 /* Pause RISC */
369#define HCCR_RELEASE_RISC 0x3000 /* Release RISC from reset. */
370#define HCCR_SET_HOST_INT 0x5000 /* Set host interrupt */
371#define HCCR_CLR_HOST_INT 0x6000 /* Clear HOST interrupt */
372#define HCCR_CLR_RISC_INT 0x7000 /* Clear RISC interrupt */
373#define HCCR_DISABLE_PARITY_PAUSE 0x4001 /* Disable parity error RISC pause. */
374#define HCCR_ENABLE_PARITY 0xA000 /* Enable PARITY interrupt */
375
376 uint16_t unused_9[5]; /* Gap */
3d71644c
AV
377 uint16_t gpiod; /* GPIO Data register. */
378 uint16_t gpioe; /* GPIO Enable register. */
1da177e4
LT
379#define GPIO_LED_MASK 0x00C0
380#define GPIO_LED_GREEN_OFF_AMBER_OFF 0x0000
381#define GPIO_LED_GREEN_ON_AMBER_OFF 0x0040
382#define GPIO_LED_GREEN_OFF_AMBER_ON 0x0080
383#define GPIO_LED_GREEN_ON_AMBER_ON 0x00C0
f6df144c
AV
384#define GPIO_LED_ALL_OFF 0x0000
385#define GPIO_LED_RED_ON_OTHER_OFF 0x0001 /* isp2322 */
386#define GPIO_LED_RGA_ON 0x00C1 /* isp2322: red green amber */
1da177e4
LT
387
388 union {
389 struct {
3d71644c
AV
390 uint16_t unused_10[8]; /* Gap */
391 uint16_t mailbox8;
392 uint16_t mailbox9;
393 uint16_t mailbox10;
394 uint16_t mailbox11;
395 uint16_t mailbox12;
396 uint16_t mailbox13;
397 uint16_t mailbox14;
398 uint16_t mailbox15;
399 uint16_t mailbox16;
400 uint16_t mailbox17;
401 uint16_t mailbox18;
402 uint16_t mailbox19;
403 uint16_t mailbox20;
404 uint16_t mailbox21;
405 uint16_t mailbox22;
406 uint16_t mailbox23; /* Also probe reg. */
1da177e4
LT
407 } __attribute__((packed)) isp2200;
408 } u_end;
3d71644c
AV
409};
410
73208dfd 411struct device_reg_25xxmq {
08029990
AV
412 uint32_t req_q_in;
413 uint32_t req_q_out;
414 uint32_t rsp_q_in;
415 uint32_t rsp_q_out;
73208dfd
AC
416};
417
9a168bdd 418typedef union {
3d71644c
AV
419 struct device_reg_2xxx isp;
420 struct device_reg_24xx isp24;
73208dfd 421 struct device_reg_25xxmq isp25mq;
a9083016 422 struct device_reg_82xx isp82;
1da177e4
LT
423} device_reg_t;
424
425#define ISP_REQ_Q_IN(ha, reg) \
426 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
427 &(reg)->u.isp2100.mailbox4 : \
428 &(reg)->u.isp2300.req_q_in)
429#define ISP_REQ_Q_OUT(ha, reg) \
430 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
431 &(reg)->u.isp2100.mailbox4 : \
432 &(reg)->u.isp2300.req_q_out)
433#define ISP_RSP_Q_IN(ha, reg) \
434 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
435 &(reg)->u.isp2100.mailbox5 : \
436 &(reg)->u.isp2300.rsp_q_in)
437#define ISP_RSP_Q_OUT(ha, reg) \
438 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
439 &(reg)->u.isp2100.mailbox5 : \
440 &(reg)->u.isp2300.rsp_q_out)
441
442#define MAILBOX_REG(ha, reg, num) \
443 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
444 (num < 8 ? \
445 &(reg)->u.isp2100.mailbox0 + (num) : \
446 &(reg)->u_end.isp2200.mailbox8 + (num) - 8) : \
447 &(reg)->u.isp2300.mailbox0 + (num))
448#define RD_MAILBOX_REG(ha, reg, num) \
449 RD_REG_WORD(MAILBOX_REG(ha, reg, num))
450#define WRT_MAILBOX_REG(ha, reg, num, data) \
451 WRT_REG_WORD(MAILBOX_REG(ha, reg, num), data)
452
453#define FB_CMD_REG(ha, reg) \
454 (IS_QLA2100(ha) || IS_QLA2200(ha) ? \
455 &(reg)->fb_cmd_2100 : \
456 &(reg)->u.isp2300.fb_cmd)
457#define RD_FB_CMD_REG(ha, reg) \
458 RD_REG_WORD(FB_CMD_REG(ha, reg))
459#define WRT_FB_CMD_REG(ha, reg, data) \
460 WRT_REG_WORD(FB_CMD_REG(ha, reg), data)
461
462typedef struct {
463 uint32_t out_mb; /* outbound from driver */
464 uint32_t in_mb; /* Incoming from RISC */
465 uint16_t mb[MAILBOX_REGISTER_COUNT];
466 long buf_size;
467 void *bufp;
468 uint32_t tov;
469 uint8_t flags;
470#define MBX_DMA_IN BIT_0
471#define MBX_DMA_OUT BIT_1
472#define IOCTL_CMD BIT_2
473} mbx_cmd_t;
474
475#define MBX_TOV_SECONDS 30
476
477/*
478 * ISP product identification definitions in mailboxes after reset.
479 */
480#define PROD_ID_1 0x4953
481#define PROD_ID_2 0x0000
482#define PROD_ID_2a 0x5020
483#define PROD_ID_3 0x2020
484
485/*
486 * ISP mailbox Self-Test status codes
487 */
488#define MBS_FRM_ALIVE 0 /* Firmware Alive. */
489#define MBS_CHKSUM_ERR 1 /* Checksum Error. */
490#define MBS_BUSY 4 /* Busy. */
491
492/*
493 * ISP mailbox command complete status codes
494 */
495#define MBS_COMMAND_COMPLETE 0x4000
496#define MBS_INVALID_COMMAND 0x4001
497#define MBS_HOST_INTERFACE_ERROR 0x4002
498#define MBS_TEST_FAILED 0x4003
499#define MBS_COMMAND_ERROR 0x4005
500#define MBS_COMMAND_PARAMETER_ERROR 0x4006
501#define MBS_PORT_ID_USED 0x4007
502#define MBS_LOOP_ID_USED 0x4008
503#define MBS_ALL_IDS_IN_USE 0x4009
504#define MBS_NOT_LOGGED_IN 0x400A
3d71644c
AV
505#define MBS_LINK_DOWN_ERROR 0x400B
506#define MBS_DIAG_ECHO_TEST_ERROR 0x400C
1da177e4
LT
507
508/*
509 * ISP mailbox asynchronous event status codes
510 */
511#define MBA_ASYNC_EVENT 0x8000 /* Asynchronous event. */
512#define MBA_RESET 0x8001 /* Reset Detected. */
513#define MBA_SYSTEM_ERR 0x8002 /* System Error. */
514#define MBA_REQ_TRANSFER_ERR 0x8003 /* Request Transfer Error. */
515#define MBA_RSP_TRANSFER_ERR 0x8004 /* Response Transfer Error. */
516#define MBA_WAKEUP_THRES 0x8005 /* Request Queue Wake-up. */
517#define MBA_LIP_OCCURRED 0x8010 /* Loop Initialization Procedure */
518 /* occurred. */
519#define MBA_LOOP_UP 0x8011 /* FC Loop UP. */
520#define MBA_LOOP_DOWN 0x8012 /* FC Loop Down. */
521#define MBA_LIP_RESET 0x8013 /* LIP reset occurred. */
522#define MBA_PORT_UPDATE 0x8014 /* Port Database update. */
523#define MBA_RSCN_UPDATE 0x8015 /* Register State Chg Notification. */
524#define MBA_LIP_F8 0x8016 /* Received a LIP F8. */
525#define MBA_LOOP_INIT_ERR 0x8017 /* Loop Initialization Error. */
526#define MBA_FABRIC_AUTH_REQ 0x801b /* Fabric Authentication Required. */
527#define MBA_SCSI_COMPLETION 0x8020 /* SCSI Command Complete. */
528#define MBA_CTIO_COMPLETION 0x8021 /* CTIO Complete. */
529#define MBA_IP_COMPLETION 0x8022 /* IP Transmit Command Complete. */
530#define MBA_IP_RECEIVE 0x8023 /* IP Received. */
531#define MBA_IP_BROADCAST 0x8024 /* IP Broadcast Received. */
532#define MBA_IP_LOW_WATER_MARK 0x8025 /* IP Low Water Mark reached. */
533#define MBA_IP_RCV_BUFFER_EMPTY 0x8026 /* IP receive buffer queue empty. */
534#define MBA_IP_HDR_DATA_SPLIT 0x8027 /* IP header/data splitting feature */
535 /* used. */
45ebeb56 536#define MBA_TRACE_NOTIFICATION 0x8028 /* Trace/Diagnostic notification. */
1da177e4
LT
537#define MBA_POINT_TO_POINT 0x8030 /* Point to point mode. */
538#define MBA_CMPLT_1_16BIT 0x8031 /* Completion 1 16bit IOSB. */
539#define MBA_CMPLT_2_16BIT 0x8032 /* Completion 2 16bit IOSB. */
540#define MBA_CMPLT_3_16BIT 0x8033 /* Completion 3 16bit IOSB. */
541#define MBA_CMPLT_4_16BIT 0x8034 /* Completion 4 16bit IOSB. */
542#define MBA_CMPLT_5_16BIT 0x8035 /* Completion 5 16bit IOSB. */
543#define MBA_CHG_IN_CONNECTION 0x8036 /* Change in connection mode. */
544#define MBA_RIO_RESPONSE 0x8040 /* RIO response queue update. */
545#define MBA_ZIO_RESPONSE 0x8040 /* ZIO response queue update. */
546#define MBA_CMPLT_2_32BIT 0x8042 /* Completion 2 32bit IOSB. */
547#define MBA_BYPASS_NOTIFICATION 0x8043 /* Auto bypass notification. */
548#define MBA_DISCARD_RND_FRAME 0x8048 /* discard RND frame due to error. */
549#define MBA_REJECTED_FCP_CMD 0x8049 /* rejected FCP_CMD. */
550
9a069e19
GM
551/* ISP mailbox loopback echo diagnostic error code */
552#define MBS_LB_RESET 0x17
1da177e4
LT
553/*
554 * Firmware options 1, 2, 3.
555 */
556#define FO1_AE_ON_LIPF8 BIT_0
557#define FO1_AE_ALL_LIP_RESET BIT_1
558#define FO1_CTIO_RETRY BIT_3
559#define FO1_DISABLE_LIP_F7_SW BIT_4
560#define FO1_DISABLE_100MS_LOS_WAIT BIT_5
3d71644c 561#define FO1_DISABLE_GPIO6_7 BIT_6 /* LED bits */
1da177e4
LT
562#define FO1_AE_ON_LOOP_INIT_ERR BIT_7
563#define FO1_SET_EMPHASIS_SWING BIT_8
564#define FO1_AE_AUTO_BYPASS BIT_9
565#define FO1_ENABLE_PURE_IOCB BIT_10
566#define FO1_AE_PLOGI_RJT BIT_11
567#define FO1_ENABLE_ABORT_SEQUENCE BIT_12
568#define FO1_AE_QUEUE_FULL BIT_13
569
570#define FO2_ENABLE_ATIO_TYPE_3 BIT_0
571#define FO2_REV_LOOPBACK BIT_1
572
573#define FO3_ENABLE_EMERG_IOCB BIT_0
574#define FO3_AE_RND_ERROR BIT_1
575
3d71644c
AV
576/* 24XX additional firmware options */
577#define ADD_FO_COUNT 3
578#define ADD_FO1_DISABLE_GPIO_LED_CTRL BIT_6 /* LED bits */
579#define ADD_FO1_ENABLE_PUREX_IOCB BIT_10
580
581#define ADD_FO2_ENABLE_SEL_CLS2 BIT_5
582
583#define ADD_FO3_NO_ABT_ON_LINK_DOWN BIT_14
584
1da177e4
LT
585/*
586 * ISP mailbox commands
587 */
588#define MBC_LOAD_RAM 1 /* Load RAM. */
589#define MBC_EXECUTE_FIRMWARE 2 /* Execute firmware. */
590#define MBC_WRITE_RAM_WORD 4 /* Write RAM word. */
591#define MBC_READ_RAM_WORD 5 /* Read RAM word. */
592#define MBC_MAILBOX_REGISTER_TEST 6 /* Wrap incoming mailboxes */
593#define MBC_VERIFY_CHECKSUM 7 /* Verify checksum. */
594#define MBC_GET_FIRMWARE_VERSION 8 /* Get firmware revision. */
595#define MBC_LOAD_RISC_RAM 9 /* Load RAM command. */
596#define MBC_DUMP_RISC_RAM 0xa /* Dump RAM command. */
597#define MBC_LOAD_RISC_RAM_EXTENDED 0xb /* Load RAM extended. */
598#define MBC_DUMP_RISC_RAM_EXTENDED 0xc /* Dump RAM extended. */
599#define MBC_WRITE_RAM_WORD_EXTENDED 0xd /* Write RAM word extended */
600#define MBC_READ_RAM_EXTENDED 0xf /* Read RAM extended. */
601#define MBC_IOCB_COMMAND 0x12 /* Execute IOCB command. */
f6ef3b18 602#define MBC_STOP_FIRMWARE 0x14 /* Stop firmware. */
1da177e4
LT
603#define MBC_ABORT_COMMAND 0x15 /* Abort IOCB command. */
604#define MBC_ABORT_DEVICE 0x16 /* Abort device (ID/LUN). */
605#define MBC_ABORT_TARGET 0x17 /* Abort target (ID). */
606#define MBC_RESET 0x18 /* Reset. */
607#define MBC_GET_ADAPTER_LOOP_ID 0x20 /* Get loop id of ISP2200. */
608#define MBC_GET_RETRY_COUNT 0x22 /* Get f/w retry cnt/delay. */
609#define MBC_DISABLE_VI 0x24 /* Disable VI operation. */
610#define MBC_ENABLE_VI 0x25 /* Enable VI operation. */
611#define MBC_GET_FIRMWARE_OPTION 0x28 /* Get Firmware Options. */
612#define MBC_SET_FIRMWARE_OPTION 0x38 /* Set Firmware Options. */
613#define MBC_LOOP_PORT_BYPASS 0x40 /* Loop Port Bypass. */
614#define MBC_LOOP_PORT_ENABLE 0x41 /* Loop Port Enable. */
615#define MBC_GET_RESOURCE_COUNTS 0x42 /* Get Resource Counts. */
616#define MBC_NON_PARTICIPATE 0x43 /* Non-Participating Mode. */
617#define MBC_DIAGNOSTIC_ECHO 0x44 /* Diagnostic echo. */
618#define MBC_DIAGNOSTIC_LOOP_BACK 0x45 /* Diagnostic loop back. */
619#define MBC_ONLINE_SELF_TEST 0x46 /* Online self-test. */
620#define MBC_ENHANCED_GET_PORT_DATABASE 0x47 /* Get port database + login */
621#define MBC_RESET_LINK_STATUS 0x52 /* Reset Link Error Status */
622#define MBC_IOCB_COMMAND_A64 0x54 /* Execute IOCB command (64) */
623#define MBC_SEND_RNID_ELS 0x57 /* Send RNID ELS request */
624#define MBC_SET_RNID_PARAMS 0x59 /* Set RNID parameters */
625#define MBC_GET_RNID_PARAMS 0x5a /* Data Rate */
626#define MBC_DATA_RATE 0x5d /* Get RNID parameters */
627#define MBC_INITIALIZE_FIRMWARE 0x60 /* Initialize firmware */
628#define MBC_INITIATE_LIP 0x62 /* Initiate Loop */
629 /* Initialization Procedure */
630#define MBC_GET_FC_AL_POSITION_MAP 0x63 /* Get FC_AL Position Map. */
631#define MBC_GET_PORT_DATABASE 0x64 /* Get Port Database. */
632#define MBC_CLEAR_ACA 0x65 /* Clear ACA. */
633#define MBC_TARGET_RESET 0x66 /* Target Reset. */
634#define MBC_CLEAR_TASK_SET 0x67 /* Clear Task Set. */
635#define MBC_ABORT_TASK_SET 0x68 /* Abort Task Set. */
636#define MBC_GET_FIRMWARE_STATE 0x69 /* Get firmware state. */
637#define MBC_GET_PORT_NAME 0x6a /* Get port name. */
638#define MBC_GET_LINK_STATUS 0x6b /* Get port link status. */
639#define MBC_LIP_RESET 0x6c /* LIP reset. */
640#define MBC_SEND_SNS_COMMAND 0x6e /* Send Simple Name Server */
641 /* commandd. */
642#define MBC_LOGIN_FABRIC_PORT 0x6f /* Login fabric port. */
643#define MBC_SEND_CHANGE_REQUEST 0x70 /* Send Change Request. */
644#define MBC_LOGOUT_FABRIC_PORT 0x71 /* Logout fabric port. */
645#define MBC_LIP_FULL_LOGIN 0x72 /* Full login LIP. */
646#define MBC_LOGIN_LOOP_PORT 0x74 /* Login Loop Port. */
647#define MBC_PORT_NODE_NAME_LIST 0x75 /* Get port/node name list. */
648#define MBC_INITIALIZE_RECEIVE_QUEUE 0x77 /* Initialize receive queue */
649#define MBC_UNLOAD_IP 0x79 /* Shutdown IP */
650#define MBC_GET_ID_LIST 0x7C /* Get Port ID list. */
651#define MBC_SEND_LFA_COMMAND 0x7D /* Send Loop Fabric Address */
652#define MBC_LUN_RESET 0x7E /* Send LUN reset */
653
3d71644c
AV
654/*
655 * ISP24xx mailbox commands
656 */
657#define MBC_SERDES_PARAMS 0x10 /* Serdes Tx Parameters. */
658#define MBC_GET_IOCB_STATUS 0x12 /* Get IOCB status command. */
d8b45213 659#define MBC_PORT_PARAMS 0x1A /* Port iDMA Parameters. */
3d71644c 660#define MBC_GET_TIMEOUT_PARAMS 0x22 /* Get FW timeouts. */
a7a167bf 661#define MBC_TRACE_CONTROL 0x27 /* Trace control command. */
3d71644c 662#define MBC_GEN_SYSTEM_ERROR 0x2a /* Generate System Error. */
ad0ecd61 663#define MBC_WRITE_SFP 0x30 /* Write SFP Data. */
88729e53 664#define MBC_READ_SFP 0x31 /* Read SFP Data. */
3d71644c
AV
665#define MBC_SET_TIMEOUT_PARAMS 0x32 /* Set FW timeouts. */
666#define MBC_MID_INITIALIZE_FIRMWARE 0x48 /* MID Initialize firmware. */
667#define MBC_MID_GET_VP_DATABASE 0x49 /* MID Get VP Database. */
668#define MBC_MID_GET_VP_ENTRY 0x4a /* MID Get VP Entry. */
669#define MBC_HOST_MEMORY_COPY 0x53 /* Host Memory Copy. */
670#define MBC_SEND_RNFT_ELS 0x5e /* Send RNFT ELS request */
671#define MBC_GET_LINK_PRIV_STATS 0x6d /* Get link & private data. */
672#define MBC_SET_VENDOR_ID 0x76 /* Set Vendor ID. */
673
1da177e4
LT
674/* Firmware return data sizes */
675#define FCAL_MAP_SIZE 128
676
677/* Mailbox bit definitions for out_mb and in_mb */
678#define MBX_31 BIT_31
679#define MBX_30 BIT_30
680#define MBX_29 BIT_29
681#define MBX_28 BIT_28
682#define MBX_27 BIT_27
683#define MBX_26 BIT_26
684#define MBX_25 BIT_25
685#define MBX_24 BIT_24
686#define MBX_23 BIT_23
687#define MBX_22 BIT_22
688#define MBX_21 BIT_21
689#define MBX_20 BIT_20
690#define MBX_19 BIT_19
691#define MBX_18 BIT_18
692#define MBX_17 BIT_17
693#define MBX_16 BIT_16
694#define MBX_15 BIT_15
695#define MBX_14 BIT_14
696#define MBX_13 BIT_13
697#define MBX_12 BIT_12
698#define MBX_11 BIT_11
699#define MBX_10 BIT_10
700#define MBX_9 BIT_9
701#define MBX_8 BIT_8
702#define MBX_7 BIT_7
703#define MBX_6 BIT_6
704#define MBX_5 BIT_5
705#define MBX_4 BIT_4
706#define MBX_3 BIT_3
707#define MBX_2 BIT_2
708#define MBX_1 BIT_1
709#define MBX_0 BIT_0
710
711/*
712 * Firmware state codes from get firmware state mailbox command
713 */
714#define FSTATE_CONFIG_WAIT 0
715#define FSTATE_WAIT_AL_PA 1
716#define FSTATE_WAIT_LOGIN 2
717#define FSTATE_READY 3
718#define FSTATE_LOSS_OF_SYNC 4
719#define FSTATE_ERROR 5
720#define FSTATE_REINIT 6
721#define FSTATE_NON_PART 7
722
723#define FSTATE_CONFIG_CORRECT 0
724#define FSTATE_P2P_RCV_LIP 1
725#define FSTATE_P2P_CHOOSE_LOOP 2
726#define FSTATE_P2P_RCV_UNIDEN_LIP 3
727#define FSTATE_FATAL_ERROR 4
728#define FSTATE_LOOP_BACK_CONN 5
729
730/*
731 * Port Database structure definition
732 * Little endian except where noted.
733 */
734#define PORT_DATABASE_SIZE 128 /* bytes */
735typedef struct {
736 uint8_t options;
737 uint8_t control;
738 uint8_t master_state;
739 uint8_t slave_state;
740 uint8_t reserved[2];
741 uint8_t hard_address;
742 uint8_t reserved_1;
743 uint8_t port_id[4];
744 uint8_t node_name[WWN_SIZE];
745 uint8_t port_name[WWN_SIZE];
746 uint16_t execution_throttle;
747 uint16_t execution_count;
748 uint8_t reset_count;
749 uint8_t reserved_2;
750 uint16_t resource_allocation;
751 uint16_t current_allocation;
752 uint16_t queue_head;
753 uint16_t queue_tail;
754 uint16_t transmit_execution_list_next;
755 uint16_t transmit_execution_list_previous;
756 uint16_t common_features;
757 uint16_t total_concurrent_sequences;
758 uint16_t RO_by_information_category;
759 uint8_t recipient;
760 uint8_t initiator;
761 uint16_t receive_data_size;
762 uint16_t concurrent_sequences;
763 uint16_t open_sequences_per_exchange;
764 uint16_t lun_abort_flags;
765 uint16_t lun_stop_flags;
766 uint16_t stop_queue_head;
767 uint16_t stop_queue_tail;
768 uint16_t port_retry_timer;
769 uint16_t next_sequence_id;
770 uint16_t frame_count;
771 uint16_t PRLI_payload_length;
772 uint8_t prli_svc_param_word_0[2]; /* Big endian */
773 /* Bits 15-0 of word 0 */
774 uint8_t prli_svc_param_word_3[2]; /* Big endian */
775 /* Bits 15-0 of word 3 */
776 uint16_t loop_id;
777 uint16_t extended_lun_info_list_pointer;
778 uint16_t extended_lun_stop_list_pointer;
779} port_database_t;
780
781/*
782 * Port database slave/master states
783 */
784#define PD_STATE_DISCOVERY 0
785#define PD_STATE_WAIT_DISCOVERY_ACK 1
786#define PD_STATE_PORT_LOGIN 2
787#define PD_STATE_WAIT_PORT_LOGIN_ACK 3
788#define PD_STATE_PROCESS_LOGIN 4
789#define PD_STATE_WAIT_PROCESS_LOGIN_ACK 5
790#define PD_STATE_PORT_LOGGED_IN 6
791#define PD_STATE_PORT_UNAVAILABLE 7
792#define PD_STATE_PROCESS_LOGOUT 8
793#define PD_STATE_WAIT_PROCESS_LOGOUT_ACK 9
794#define PD_STATE_PORT_LOGOUT 10
795#define PD_STATE_WAIT_PORT_LOGOUT_ACK 11
796
797
4fdfefe5
AV
798#define QLA_ZIO_MODE_6 (BIT_2 | BIT_1)
799#define QLA_ZIO_DISABLED 0
800#define QLA_ZIO_DEFAULT_TIMER 2
801
1da177e4
LT
802/*
803 * ISP Initialization Control Block.
804 * Little endian except where noted.
805 */
806#define ICB_VERSION 1
807typedef struct {
808 uint8_t version;
809 uint8_t reserved_1;
810
811 /*
812 * LSB BIT 0 = Enable Hard Loop Id
813 * LSB BIT 1 = Enable Fairness
814 * LSB BIT 2 = Enable Full-Duplex
815 * LSB BIT 3 = Enable Fast Posting
816 * LSB BIT 4 = Enable Target Mode
817 * LSB BIT 5 = Disable Initiator Mode
818 * LSB BIT 6 = Enable ADISC
819 * LSB BIT 7 = Enable Target Inquiry Data
820 *
821 * MSB BIT 0 = Enable PDBC Notify
822 * MSB BIT 1 = Non Participating LIP
823 * MSB BIT 2 = Descending Loop ID Search
824 * MSB BIT 3 = Acquire Loop ID in LIPA
825 * MSB BIT 4 = Stop PortQ on Full Status
826 * MSB BIT 5 = Full Login after LIP
827 * MSB BIT 6 = Node Name Option
828 * MSB BIT 7 = Ext IFWCB enable bit
829 */
830 uint8_t firmware_options[2];
831
832 uint16_t frame_payload_size;
833 uint16_t max_iocb_allocation;
834 uint16_t execution_throttle;
835 uint8_t retry_count;
836 uint8_t retry_delay; /* unused */
837 uint8_t port_name[WWN_SIZE]; /* Big endian. */
838 uint16_t hard_address;
839 uint8_t inquiry_data;
840 uint8_t login_timeout;
841 uint8_t node_name[WWN_SIZE]; /* Big endian. */
842
843 uint16_t request_q_outpointer;
844 uint16_t response_q_inpointer;
845 uint16_t request_q_length;
846 uint16_t response_q_length;
847 uint32_t request_q_address[2];
848 uint32_t response_q_address[2];
849
850 uint16_t lun_enables;
851 uint8_t command_resource_count;
852 uint8_t immediate_notify_resource_count;
853 uint16_t timeout;
854 uint8_t reserved_2[2];
855
856 /*
857 * LSB BIT 0 = Timer Operation mode bit 0
858 * LSB BIT 1 = Timer Operation mode bit 1
859 * LSB BIT 2 = Timer Operation mode bit 2
860 * LSB BIT 3 = Timer Operation mode bit 3
861 * LSB BIT 4 = Init Config Mode bit 0
862 * LSB BIT 5 = Init Config Mode bit 1
863 * LSB BIT 6 = Init Config Mode bit 2
864 * LSB BIT 7 = Enable Non part on LIHA failure
865 *
866 * MSB BIT 0 = Enable class 2
867 * MSB BIT 1 = Enable ACK0
868 * MSB BIT 2 =
869 * MSB BIT 3 =
870 * MSB BIT 4 = FC Tape Enable
871 * MSB BIT 5 = Enable FC Confirm
872 * MSB BIT 6 = Enable command queuing in target mode
873 * MSB BIT 7 = No Logo On Link Down
874 */
875 uint8_t add_firmware_options[2];
876
877 uint8_t response_accumulation_timer;
878 uint8_t interrupt_delay_timer;
879
880 /*
881 * LSB BIT 0 = Enable Read xfr_rdy
882 * LSB BIT 1 = Soft ID only
883 * LSB BIT 2 =
884 * LSB BIT 3 =
885 * LSB BIT 4 = FCP RSP Payload [0]
886 * LSB BIT 5 = FCP RSP Payload [1] / Sbus enable - 2200
887 * LSB BIT 6 = Enable Out-of-Order frame handling
888 * LSB BIT 7 = Disable Automatic PLOGI on Local Loop
889 *
890 * MSB BIT 0 = Sbus enable - 2300
891 * MSB BIT 1 =
892 * MSB BIT 2 =
893 * MSB BIT 3 =
06c22bd1 894 * MSB BIT 4 = LED mode
1da177e4
LT
895 * MSB BIT 5 = enable 50 ohm termination
896 * MSB BIT 6 = Data Rate (2300 only)
897 * MSB BIT 7 = Data Rate (2300 only)
898 */
899 uint8_t special_options[2];
900
901 uint8_t reserved_3[26];
902} init_cb_t;
903
904/*
905 * Get Link Status mailbox command return buffer.
906 */
3d71644c
AV
907#define GLSO_SEND_RPS BIT_0
908#define GLSO_USE_DID BIT_3
909
43ef0580
AV
910struct link_statistics {
911 uint32_t link_fail_cnt;
912 uint32_t loss_sync_cnt;
913 uint32_t loss_sig_cnt;
914 uint32_t prim_seq_err_cnt;
915 uint32_t inval_xmit_word_cnt;
916 uint32_t inval_crc_cnt;
032d8dd7
HZ
917 uint32_t lip_cnt;
918 uint32_t unused1[0x1a];
43ef0580
AV
919 uint32_t tx_frames;
920 uint32_t rx_frames;
921 uint32_t dumped_frames;
922 uint32_t unused2[2];
923 uint32_t nos_rcvd;
924};
1da177e4
LT
925
926/*
927 * NVRAM Command values.
928 */
929#define NV_START_BIT BIT_2
930#define NV_WRITE_OP (BIT_26+BIT_24)
931#define NV_READ_OP (BIT_26+BIT_25)
932#define NV_ERASE_OP (BIT_26+BIT_25+BIT_24)
933#define NV_MASK_OP (BIT_26+BIT_25+BIT_24)
934#define NV_DELAY_COUNT 10
935
936/*
937 * QLogic ISP2100, ISP2200 and ISP2300 NVRAM structure definition.
938 */
939typedef struct {
940 /*
941 * NVRAM header
942 */
943 uint8_t id[4];
944 uint8_t nvram_version;
945 uint8_t reserved_0;
946
947 /*
948 * NVRAM RISC parameter block
949 */
950 uint8_t parameter_block_version;
951 uint8_t reserved_1;
952
953 /*
954 * LSB BIT 0 = Enable Hard Loop Id
955 * LSB BIT 1 = Enable Fairness
956 * LSB BIT 2 = Enable Full-Duplex
957 * LSB BIT 3 = Enable Fast Posting
958 * LSB BIT 4 = Enable Target Mode
959 * LSB BIT 5 = Disable Initiator Mode
960 * LSB BIT 6 = Enable ADISC
961 * LSB BIT 7 = Enable Target Inquiry Data
962 *
963 * MSB BIT 0 = Enable PDBC Notify
964 * MSB BIT 1 = Non Participating LIP
965 * MSB BIT 2 = Descending Loop ID Search
966 * MSB BIT 3 = Acquire Loop ID in LIPA
967 * MSB BIT 4 = Stop PortQ on Full Status
968 * MSB BIT 5 = Full Login after LIP
969 * MSB BIT 6 = Node Name Option
970 * MSB BIT 7 = Ext IFWCB enable bit
971 */
972 uint8_t firmware_options[2];
973
974 uint16_t frame_payload_size;
975 uint16_t max_iocb_allocation;
976 uint16_t execution_throttle;
977 uint8_t retry_count;
978 uint8_t retry_delay; /* unused */
979 uint8_t port_name[WWN_SIZE]; /* Big endian. */
980 uint16_t hard_address;
981 uint8_t inquiry_data;
982 uint8_t login_timeout;
983 uint8_t node_name[WWN_SIZE]; /* Big endian. */
984
985 /*
986 * LSB BIT 0 = Timer Operation mode bit 0
987 * LSB BIT 1 = Timer Operation mode bit 1
988 * LSB BIT 2 = Timer Operation mode bit 2
989 * LSB BIT 3 = Timer Operation mode bit 3
990 * LSB BIT 4 = Init Config Mode bit 0
991 * LSB BIT 5 = Init Config Mode bit 1
992 * LSB BIT 6 = Init Config Mode bit 2
993 * LSB BIT 7 = Enable Non part on LIHA failure
994 *
995 * MSB BIT 0 = Enable class 2
996 * MSB BIT 1 = Enable ACK0
997 * MSB BIT 2 =
998 * MSB BIT 3 =
999 * MSB BIT 4 = FC Tape Enable
1000 * MSB BIT 5 = Enable FC Confirm
1001 * MSB BIT 6 = Enable command queuing in target mode
1002 * MSB BIT 7 = No Logo On Link Down
1003 */
1004 uint8_t add_firmware_options[2];
1005
1006 uint8_t response_accumulation_timer;
1007 uint8_t interrupt_delay_timer;
1008
1009 /*
1010 * LSB BIT 0 = Enable Read xfr_rdy
1011 * LSB BIT 1 = Soft ID only
1012 * LSB BIT 2 =
1013 * LSB BIT 3 =
1014 * LSB BIT 4 = FCP RSP Payload [0]
1015 * LSB BIT 5 = FCP RSP Payload [1] / Sbus enable - 2200
1016 * LSB BIT 6 = Enable Out-of-Order frame handling
1017 * LSB BIT 7 = Disable Automatic PLOGI on Local Loop
1018 *
1019 * MSB BIT 0 = Sbus enable - 2300
1020 * MSB BIT 1 =
1021 * MSB BIT 2 =
1022 * MSB BIT 3 =
06c22bd1 1023 * MSB BIT 4 = LED mode
1da177e4
LT
1024 * MSB BIT 5 = enable 50 ohm termination
1025 * MSB BIT 6 = Data Rate (2300 only)
1026 * MSB BIT 7 = Data Rate (2300 only)
1027 */
1028 uint8_t special_options[2];
1029
1030 /* Reserved for expanded RISC parameter block */
1031 uint8_t reserved_2[22];
1032
1033 /*
1034 * LSB BIT 0 = Tx Sensitivity 1G bit 0
1035 * LSB BIT 1 = Tx Sensitivity 1G bit 1
1036 * LSB BIT 2 = Tx Sensitivity 1G bit 2
1037 * LSB BIT 3 = Tx Sensitivity 1G bit 3
1038 * LSB BIT 4 = Rx Sensitivity 1G bit 0
1039 * LSB BIT 5 = Rx Sensitivity 1G bit 1
1040 * LSB BIT 6 = Rx Sensitivity 1G bit 2
1041 * LSB BIT 7 = Rx Sensitivity 1G bit 3
fa2a1ce5 1042 *
1da177e4
LT
1043 * MSB BIT 0 = Tx Sensitivity 2G bit 0
1044 * MSB BIT 1 = Tx Sensitivity 2G bit 1
1045 * MSB BIT 2 = Tx Sensitivity 2G bit 2
1046 * MSB BIT 3 = Tx Sensitivity 2G bit 3
1047 * MSB BIT 4 = Rx Sensitivity 2G bit 0
1048 * MSB BIT 5 = Rx Sensitivity 2G bit 1
1049 * MSB BIT 6 = Rx Sensitivity 2G bit 2
1050 * MSB BIT 7 = Rx Sensitivity 2G bit 3
1051 *
1052 * LSB BIT 0 = Output Swing 1G bit 0
1053 * LSB BIT 1 = Output Swing 1G bit 1
1054 * LSB BIT 2 = Output Swing 1G bit 2
1055 * LSB BIT 3 = Output Emphasis 1G bit 0
1056 * LSB BIT 4 = Output Emphasis 1G bit 1
1057 * LSB BIT 5 = Output Swing 2G bit 0
1058 * LSB BIT 6 = Output Swing 2G bit 1
1059 * LSB BIT 7 = Output Swing 2G bit 2
fa2a1ce5 1060 *
1da177e4
LT
1061 * MSB BIT 0 = Output Emphasis 2G bit 0
1062 * MSB BIT 1 = Output Emphasis 2G bit 1
1063 * MSB BIT 2 = Output Enable
1064 * MSB BIT 3 =
1065 * MSB BIT 4 =
1066 * MSB BIT 5 =
1067 * MSB BIT 6 =
1068 * MSB BIT 7 =
1069 */
1070 uint8_t seriallink_options[4];
1071
1072 /*
1073 * NVRAM host parameter block
1074 *
1075 * LSB BIT 0 = Enable spinup delay
1076 * LSB BIT 1 = Disable BIOS
1077 * LSB BIT 2 = Enable Memory Map BIOS
1078 * LSB BIT 3 = Enable Selectable Boot
1079 * LSB BIT 4 = Disable RISC code load
1080 * LSB BIT 5 = Set cache line size 1
1081 * LSB BIT 6 = PCI Parity Disable
1082 * LSB BIT 7 = Enable extended logging
1083 *
1084 * MSB BIT 0 = Enable 64bit addressing
1085 * MSB BIT 1 = Enable lip reset
1086 * MSB BIT 2 = Enable lip full login
1087 * MSB BIT 3 = Enable target reset
1088 * MSB BIT 4 = Enable database storage
1089 * MSB BIT 5 = Enable cache flush read
1090 * MSB BIT 6 = Enable database load
1091 * MSB BIT 7 = Enable alternate WWN
1092 */
1093 uint8_t host_p[2];
1094
1095 uint8_t boot_node_name[WWN_SIZE];
1096 uint8_t boot_lun_number;
1097 uint8_t reset_delay;
1098 uint8_t port_down_retry_count;
1099 uint8_t boot_id_number;
1100 uint16_t max_luns_per_target;
1101 uint8_t fcode_boot_port_name[WWN_SIZE];
1102 uint8_t alternate_port_name[WWN_SIZE];
1103 uint8_t alternate_node_name[WWN_SIZE];
1104
1105 /*
1106 * BIT 0 = Selective Login
1107 * BIT 1 = Alt-Boot Enable
1108 * BIT 2 =
1109 * BIT 3 = Boot Order List
1110 * BIT 4 =
1111 * BIT 5 = Selective LUN
1112 * BIT 6 =
1113 * BIT 7 = unused
1114 */
1115 uint8_t efi_parameters;
1116
1117 uint8_t link_down_timeout;
1118
cca5335c 1119 uint8_t adapter_id[16];
1da177e4
LT
1120
1121 uint8_t alt1_boot_node_name[WWN_SIZE];
1122 uint16_t alt1_boot_lun_number;
1123 uint8_t alt2_boot_node_name[WWN_SIZE];
1124 uint16_t alt2_boot_lun_number;
1125 uint8_t alt3_boot_node_name[WWN_SIZE];
1126 uint16_t alt3_boot_lun_number;
1127 uint8_t alt4_boot_node_name[WWN_SIZE];
1128 uint16_t alt4_boot_lun_number;
1129 uint8_t alt5_boot_node_name[WWN_SIZE];
1130 uint16_t alt5_boot_lun_number;
1131 uint8_t alt6_boot_node_name[WWN_SIZE];
1132 uint16_t alt6_boot_lun_number;
1133 uint8_t alt7_boot_node_name[WWN_SIZE];
1134 uint16_t alt7_boot_lun_number;
1135
1136 uint8_t reserved_3[2];
1137
1138 /* Offset 200-215 : Model Number */
1139 uint8_t model_number[16];
1140
1141 /* OEM related items */
1142 uint8_t oem_specific[16];
1143
1144 /*
1145 * NVRAM Adapter Features offset 232-239
1146 *
1147 * LSB BIT 0 = External GBIC
1148 * LSB BIT 1 = Risc RAM parity
1149 * LSB BIT 2 = Buffer Plus Module
1150 * LSB BIT 3 = Multi Chip Adapter
1151 * LSB BIT 4 = Internal connector
1152 * LSB BIT 5 =
1153 * LSB BIT 6 =
1154 * LSB BIT 7 =
1155 *
1156 * MSB BIT 0 =
1157 * MSB BIT 1 =
1158 * MSB BIT 2 =
1159 * MSB BIT 3 =
1160 * MSB BIT 4 =
1161 * MSB BIT 5 =
1162 * MSB BIT 6 =
1163 * MSB BIT 7 =
1164 */
1165 uint8_t adapter_features[2];
1166
1167 uint8_t reserved_4[16];
1168
1169 /* Subsystem vendor ID for ISP2200 */
1170 uint16_t subsystem_vendor_id_2200;
1171
1172 /* Subsystem device ID for ISP2200 */
1173 uint16_t subsystem_device_id_2200;
1174
1175 uint8_t reserved_5;
1176 uint8_t checksum;
1177} nvram_t;
1178
1179/*
1180 * ISP queue - response queue entry definition.
1181 */
1182typedef struct {
1183 uint8_t data[60];
1184 uint32_t signature;
1185#define RESPONSE_PROCESSED 0xDEADDEAD /* Signature */
1186} response_t;
1187
1188typedef union {
1189 uint16_t extended;
1190 struct {
1191 uint8_t reserved;
1192 uint8_t standard;
1193 } id;
1194} target_id_t;
1195
1196#define SET_TARGET_ID(ha, to, from) \
1197do { \
1198 if (HAS_EXTENDED_IDS(ha)) \
1199 to.extended = cpu_to_le16(from); \
1200 else \
1201 to.id.standard = (uint8_t)from; \
1202} while (0)
1203
1204/*
1205 * ISP queue - command entry structure definition.
1206 */
1207#define COMMAND_TYPE 0x11 /* Command entry */
1da177e4
LT
1208typedef struct {
1209 uint8_t entry_type; /* Entry type. */
1210 uint8_t entry_count; /* Entry count. */
1211 uint8_t sys_define; /* System defined. */
1212 uint8_t entry_status; /* Entry Status. */
1213 uint32_t handle; /* System handle. */
1214 target_id_t target; /* SCSI ID */
1215 uint16_t lun; /* SCSI LUN */
1216 uint16_t control_flags; /* Control flags. */
1217#define CF_WRITE BIT_6
1218#define CF_READ BIT_5
1219#define CF_SIMPLE_TAG BIT_3
1220#define CF_ORDERED_TAG BIT_2
1221#define CF_HEAD_TAG BIT_1
1222 uint16_t reserved_1;
1223 uint16_t timeout; /* Command timeout. */
1224 uint16_t dseg_count; /* Data segment count. */
1225 uint8_t scsi_cdb[MAX_CMDSZ]; /* SCSI command words. */
1226 uint32_t byte_count; /* Total byte count. */
1227 uint32_t dseg_0_address; /* Data segment 0 address. */
1228 uint32_t dseg_0_length; /* Data segment 0 length. */
1229 uint32_t dseg_1_address; /* Data segment 1 address. */
1230 uint32_t dseg_1_length; /* Data segment 1 length. */
1231 uint32_t dseg_2_address; /* Data segment 2 address. */
1232 uint32_t dseg_2_length; /* Data segment 2 length. */
1233} cmd_entry_t;
1234
1235/*
1236 * ISP queue - 64-Bit addressing, command entry structure definition.
1237 */
1238#define COMMAND_A64_TYPE 0x19 /* Command A64 entry */
1239typedef struct {
1240 uint8_t entry_type; /* Entry type. */
1241 uint8_t entry_count; /* Entry count. */
1242 uint8_t sys_define; /* System defined. */
1243 uint8_t entry_status; /* Entry Status. */
1244 uint32_t handle; /* System handle. */
1245 target_id_t target; /* SCSI ID */
1246 uint16_t lun; /* SCSI LUN */
1247 uint16_t control_flags; /* Control flags. */
1248 uint16_t reserved_1;
1249 uint16_t timeout; /* Command timeout. */
1250 uint16_t dseg_count; /* Data segment count. */
1251 uint8_t scsi_cdb[MAX_CMDSZ]; /* SCSI command words. */
1252 uint32_t byte_count; /* Total byte count. */
1253 uint32_t dseg_0_address[2]; /* Data segment 0 address. */
1254 uint32_t dseg_0_length; /* Data segment 0 length. */
1255 uint32_t dseg_1_address[2]; /* Data segment 1 address. */
1256 uint32_t dseg_1_length; /* Data segment 1 length. */
1257} cmd_a64_entry_t, request_t;
1258
1259/*
1260 * ISP queue - continuation entry structure definition.
1261 */
1262#define CONTINUE_TYPE 0x02 /* Continuation entry. */
1263typedef struct {
1264 uint8_t entry_type; /* Entry type. */
1265 uint8_t entry_count; /* Entry count. */
1266 uint8_t sys_define; /* System defined. */
1267 uint8_t entry_status; /* Entry Status. */
1268 uint32_t reserved;
1269 uint32_t dseg_0_address; /* Data segment 0 address. */
1270 uint32_t dseg_0_length; /* Data segment 0 length. */
1271 uint32_t dseg_1_address; /* Data segment 1 address. */
1272 uint32_t dseg_1_length; /* Data segment 1 length. */
1273 uint32_t dseg_2_address; /* Data segment 2 address. */
1274 uint32_t dseg_2_length; /* Data segment 2 length. */
1275 uint32_t dseg_3_address; /* Data segment 3 address. */
1276 uint32_t dseg_3_length; /* Data segment 3 length. */
1277 uint32_t dseg_4_address; /* Data segment 4 address. */
1278 uint32_t dseg_4_length; /* Data segment 4 length. */
1279 uint32_t dseg_5_address; /* Data segment 5 address. */
1280 uint32_t dseg_5_length; /* Data segment 5 length. */
1281 uint32_t dseg_6_address; /* Data segment 6 address. */
1282 uint32_t dseg_6_length; /* Data segment 6 length. */
1283} cont_entry_t;
1284
1285/*
1286 * ISP queue - 64-Bit addressing, continuation entry structure definition.
1287 */
1288#define CONTINUE_A64_TYPE 0x0A /* Continuation A64 entry. */
1289typedef struct {
1290 uint8_t entry_type; /* Entry type. */
1291 uint8_t entry_count; /* Entry count. */
1292 uint8_t sys_define; /* System defined. */
1293 uint8_t entry_status; /* Entry Status. */
1294 uint32_t dseg_0_address[2]; /* Data segment 0 address. */
1295 uint32_t dseg_0_length; /* Data segment 0 length. */
1296 uint32_t dseg_1_address[2]; /* Data segment 1 address. */
1297 uint32_t dseg_1_length; /* Data segment 1 length. */
1298 uint32_t dseg_2_address [2]; /* Data segment 2 address. */
1299 uint32_t dseg_2_length; /* Data segment 2 length. */
1300 uint32_t dseg_3_address[2]; /* Data segment 3 address. */
1301 uint32_t dseg_3_length; /* Data segment 3 length. */
1302 uint32_t dseg_4_address[2]; /* Data segment 4 address. */
1303 uint32_t dseg_4_length; /* Data segment 4 length. */
1304} cont_a64_entry_t;
1305
1306/*
1307 * ISP queue - status entry structure definition.
1308 */
1309#define STATUS_TYPE 0x03 /* Status entry. */
1310typedef struct {
1311 uint8_t entry_type; /* Entry type. */
1312 uint8_t entry_count; /* Entry count. */
1313 uint8_t sys_define; /* System defined. */
1314 uint8_t entry_status; /* Entry Status. */
1315 uint32_t handle; /* System handle. */
1316 uint16_t scsi_status; /* SCSI status. */
1317 uint16_t comp_status; /* Completion status. */
1318 uint16_t state_flags; /* State flags. */
1319 uint16_t status_flags; /* Status flags. */
1320 uint16_t rsp_info_len; /* Response Info Length. */
1321 uint16_t req_sense_length; /* Request sense data length. */
1322 uint32_t residual_length; /* Residual transfer length. */
1323 uint8_t rsp_info[8]; /* FCP response information. */
1324 uint8_t req_sense_data[32]; /* Request sense data. */
1325} sts_entry_t;
1326
1327/*
1328 * Status entry entry status
1329 */
3d71644c 1330#define RF_RQ_DMA_ERROR BIT_6 /* Request Queue DMA error. */
1da177e4
LT
1331#define RF_INV_E_ORDER BIT_5 /* Invalid entry order. */
1332#define RF_INV_E_COUNT BIT_4 /* Invalid entry count. */
1333#define RF_INV_E_PARAM BIT_3 /* Invalid entry parameter. */
1334#define RF_INV_E_TYPE BIT_2 /* Invalid entry type. */
1335#define RF_BUSY BIT_1 /* Busy */
3d71644c
AV
1336#define RF_MASK (RF_RQ_DMA_ERROR | RF_INV_E_ORDER | RF_INV_E_COUNT | \
1337 RF_INV_E_PARAM | RF_INV_E_TYPE | RF_BUSY)
1338#define RF_MASK_24XX (RF_INV_E_ORDER | RF_INV_E_COUNT | RF_INV_E_PARAM | \
1339 RF_INV_E_TYPE)
1da177e4
LT
1340
1341/*
1342 * Status entry SCSI status bit definitions.
1343 */
1344#define SS_MASK 0xfff /* Reserved bits BIT_12-BIT_15*/
1345#define SS_RESIDUAL_UNDER BIT_11
1346#define SS_RESIDUAL_OVER BIT_10
1347#define SS_SENSE_LEN_VALID BIT_9
1348#define SS_RESPONSE_INFO_LEN_VALID BIT_8
1349
1350#define SS_RESERVE_CONFLICT (BIT_4 | BIT_3)
1351#define SS_BUSY_CONDITION BIT_3
1352#define SS_CONDITION_MET BIT_2
1353#define SS_CHECK_CONDITION BIT_1
1354
1355/*
1356 * Status entry completion status
1357 */
1358#define CS_COMPLETE 0x0 /* No errors */
1359#define CS_INCOMPLETE 0x1 /* Incomplete transfer of cmd. */
1360#define CS_DMA 0x2 /* A DMA direction error. */
1361#define CS_TRANSPORT 0x3 /* Transport error. */
1362#define CS_RESET 0x4 /* SCSI bus reset occurred */
1363#define CS_ABORTED 0x5 /* System aborted command. */
1364#define CS_TIMEOUT 0x6 /* Timeout error. */
1365#define CS_DATA_OVERRUN 0x7 /* Data overrun. */
1366
1367#define CS_DATA_UNDERRUN 0x15 /* Data Underrun. */
1368#define CS_QUEUE_FULL 0x1C /* Queue Full. */
1369#define CS_PORT_UNAVAILABLE 0x28 /* Port unavailable */
1370 /* (selection timeout) */
1371#define CS_PORT_LOGGED_OUT 0x29 /* Port Logged Out */
1372#define CS_PORT_CONFIG_CHG 0x2A /* Port Configuration Changed */
1373#define CS_PORT_BUSY 0x2B /* Port Busy */
1374#define CS_COMPLETE_CHKCOND 0x30 /* Error? */
1375#define CS_BAD_PAYLOAD 0x80 /* Driver defined */
1376#define CS_UNKNOWN 0x81 /* Driver defined */
1377#define CS_RETRY 0x82 /* Driver defined */
1378#define CS_LOOP_DOWN_ABORT 0x83 /* Driver defined */
1379
1380/*
1381 * Status entry status flags
1382 */
1383#define SF_ABTS_TERMINATED BIT_10
1384#define SF_LOGOUT_SENT BIT_13
1385
1386/*
1387 * ISP queue - status continuation entry structure definition.
1388 */
1389#define STATUS_CONT_TYPE 0x10 /* Status continuation entry. */
1390typedef struct {
1391 uint8_t entry_type; /* Entry type. */
1392 uint8_t entry_count; /* Entry count. */
1393 uint8_t sys_define; /* System defined. */
1394 uint8_t entry_status; /* Entry Status. */
1395 uint8_t data[60]; /* data */
1396} sts_cont_entry_t;
1397
1398/*
1399 * ISP queue - RIO Type 1 status entry (32 bit I/O entry handles)
1400 * structure definition.
1401 */
1402#define STATUS_TYPE_21 0x21 /* Status entry. */
1403typedef struct {
1404 uint8_t entry_type; /* Entry type. */
1405 uint8_t entry_count; /* Entry count. */
1406 uint8_t handle_count; /* Handle count. */
1407 uint8_t entry_status; /* Entry Status. */
1408 uint32_t handle[15]; /* System handles. */
1409} sts21_entry_t;
1410
1411/*
1412 * ISP queue - RIO Type 2 status entry (16 bit I/O entry handles)
1413 * structure definition.
1414 */
1415#define STATUS_TYPE_22 0x22 /* Status entry. */
1416typedef struct {
1417 uint8_t entry_type; /* Entry type. */
1418 uint8_t entry_count; /* Entry count. */
1419 uint8_t handle_count; /* Handle count. */
1420 uint8_t entry_status; /* Entry Status. */
1421 uint16_t handle[30]; /* System handles. */
1422} sts22_entry_t;
1423
1424/*
1425 * ISP queue - marker entry structure definition.
1426 */
1427#define MARKER_TYPE 0x04 /* Marker entry. */
1428typedef struct {
1429 uint8_t entry_type; /* Entry type. */
1430 uint8_t entry_count; /* Entry count. */
1431 uint8_t handle_count; /* Handle count. */
1432 uint8_t entry_status; /* Entry Status. */
1433 uint32_t sys_define_2; /* System defined. */
1434 target_id_t target; /* SCSI ID */
1435 uint8_t modifier; /* Modifier (7-0). */
1436#define MK_SYNC_ID_LUN 0 /* Synchronize ID/LUN */
1437#define MK_SYNC_ID 1 /* Synchronize ID */
1438#define MK_SYNC_ALL 2 /* Synchronize all ID/LUN */
1439#define MK_SYNC_LIP 3 /* Synchronize all ID/LUN, */
1440 /* clear port changed, */
1441 /* use sequence number. */
1442 uint8_t reserved_1;
1443 uint16_t sequence_number; /* Sequence number of event */
1444 uint16_t lun; /* SCSI LUN */
1445 uint8_t reserved_2[48];
1446} mrk_entry_t;
1447
1448/*
1449 * ISP queue - Management Server entry structure definition.
1450 */
1451#define MS_IOCB_TYPE 0x29 /* Management Server IOCB entry */
1452typedef struct {
1453 uint8_t entry_type; /* Entry type. */
1454 uint8_t entry_count; /* Entry count. */
1455 uint8_t handle_count; /* Handle count. */
1456 uint8_t entry_status; /* Entry Status. */
1457 uint32_t handle1; /* System handle. */
1458 target_id_t loop_id;
1459 uint16_t status;
1460 uint16_t control_flags; /* Control flags. */
1461 uint16_t reserved2;
1462 uint16_t timeout;
1463 uint16_t cmd_dsd_count;
1464 uint16_t total_dsd_count;
1465 uint8_t type;
1466 uint8_t r_ctl;
1467 uint16_t rx_id;
1468 uint16_t reserved3;
1469 uint32_t handle2;
1470 uint32_t rsp_bytecount;
1471 uint32_t req_bytecount;
1472 uint32_t dseg_req_address[2]; /* Data segment 0 address. */
1473 uint32_t dseg_req_length; /* Data segment 0 length. */
1474 uint32_t dseg_rsp_address[2]; /* Data segment 1 address. */
1475 uint32_t dseg_rsp_length; /* Data segment 1 length. */
1476} ms_iocb_entry_t;
1477
1478
1479/*
1480 * ISP queue - Mailbox Command entry structure definition.
1481 */
1482#define MBX_IOCB_TYPE 0x39
1483struct mbx_entry {
1484 uint8_t entry_type;
1485 uint8_t entry_count;
1486 uint8_t sys_define1;
1487 /* Use sys_define1 for source type */
1488#define SOURCE_SCSI 0x00
1489#define SOURCE_IP 0x01
1490#define SOURCE_VI 0x02
1491#define SOURCE_SCTP 0x03
1492#define SOURCE_MP 0x04
1493#define SOURCE_MPIOCTL 0x05
1494#define SOURCE_ASYNC_IOCB 0x07
1495
1496 uint8_t entry_status;
1497
1498 uint32_t handle;
1499 target_id_t loop_id;
1500
1501 uint16_t status;
1502 uint16_t state_flags;
1503 uint16_t status_flags;
1504
1505 uint32_t sys_define2[2];
1506
1507 uint16_t mb0;
1508 uint16_t mb1;
1509 uint16_t mb2;
1510 uint16_t mb3;
1511 uint16_t mb6;
1512 uint16_t mb7;
1513 uint16_t mb9;
1514 uint16_t mb10;
1515 uint32_t reserved_2[2];
1516 uint8_t node_name[WWN_SIZE];
1517 uint8_t port_name[WWN_SIZE];
1518};
1519
1520/*
1521 * ISP request and response queue entry sizes
1522 */
1523#define RESPONSE_ENTRY_SIZE (sizeof(response_t))
1524#define REQUEST_ENTRY_SIZE (sizeof(request_t))
1525
1526
1527/*
1528 * 24 bit port ID type definition.
1529 */
1530typedef union {
1531 uint32_t b24 : 24;
1532
1533 struct {
b889d531
MN
1534#ifdef __BIG_ENDIAN
1535 uint8_t domain;
1536 uint8_t area;
1537 uint8_t al_pa;
0fd30f77 1538#elif defined(__LITTLE_ENDIAN)
1da177e4
LT
1539 uint8_t al_pa;
1540 uint8_t area;
1541 uint8_t domain;
b889d531
MN
1542#else
1543#error "__BIG_ENDIAN or __LITTLE_ENDIAN must be defined!"
1544#endif
1da177e4
LT
1545 uint8_t rsvd_1;
1546 } b;
1547} port_id_t;
1548#define INVALID_PORT_ID 0xFFFFFF
1549
1550/*
1551 * Switch info gathering structure.
1552 */
1553typedef struct {
1554 port_id_t d_id;
1555 uint8_t node_name[WWN_SIZE];
1556 uint8_t port_name[WWN_SIZE];
d8b45213 1557 uint8_t fabric_port_name[WWN_SIZE];
d8b45213 1558 uint16_t fp_speed;
1da177e4
LT
1559} sw_info_t;
1560
1da177e4
LT
1561/*
1562 * Fibre channel port type.
1563 */
1564 typedef enum {
1565 FCT_UNKNOWN,
1566 FCT_RSCN,
1567 FCT_SWITCH,
1568 FCT_BROADCAST,
1569 FCT_INITIATOR,
1570 FCT_TARGET
1571} fc_port_type_t;
1572
1573/*
1574 * Fibre channel port structure.
1575 */
1576typedef struct fc_port {
1577 struct list_head list;
7b867cf7 1578 struct scsi_qla_host *vha;
1da177e4
LT
1579
1580 uint8_t node_name[WWN_SIZE];
1581 uint8_t port_name[WWN_SIZE];
1582 port_id_t d_id;
1583 uint16_t loop_id;
1584 uint16_t old_loop_id;
1585
09ff701a
SR
1586 uint8_t fcp_prio;
1587
d8b45213
AV
1588 uint8_t fabric_port_name[WWN_SIZE];
1589 uint16_t fp_speed;
1590
1da177e4
LT
1591 fc_port_type_t port_type;
1592
1593 atomic_t state;
1594 uint32_t flags;
1595
1da177e4
LT
1596 int port_login_retry_count;
1597 int login_retry;
1598 atomic_t port_down_timer;
1599
d97994dc 1600 struct fc_rport *rport, *drport;
ad3e0eda 1601 u32 supported_classes;
df7baa50 1602
2c3dfe3f 1603 uint16_t vp_idx;
1da177e4
LT
1604} fc_port_t;
1605
1606/*
1607 * Fibre channel port/lun states.
1608 */
1609#define FCS_UNCONFIGURED 1
1610#define FCS_DEVICE_DEAD 2
1611#define FCS_DEVICE_LOST 3
1612#define FCS_ONLINE 4
1da177e4
LT
1613
1614/*
1615 * FC port flags.
1616 */
1617#define FCF_FABRIC_DEVICE BIT_0
1618#define FCF_LOGIN_NEEDED BIT_1
f08b7251 1619#define FCF_FCP2_DEVICE BIT_2
1da177e4
LT
1620
1621/* No loop ID flag. */
1622#define FC_NO_LOOP_ID 0x1000
1623
1da177e4
LT
1624/*
1625 * FC-CT interface
1626 *
1627 * NOTE: All structures are big-endian in form.
1628 */
1629
1630#define CT_REJECT_RESPONSE 0x8001
1631#define CT_ACCEPT_RESPONSE 0x8002
4346b149 1632#define CT_REASON_INVALID_COMMAND_CODE 0x01
cca5335c 1633#define CT_REASON_CANNOT_PERFORM 0x09
3fe7cfb9 1634#define CT_REASON_COMMAND_UNSUPPORTED 0x0b
cca5335c 1635#define CT_EXPL_ALREADY_REGISTERED 0x10
1da177e4
LT
1636
1637#define NS_N_PORT_TYPE 0x01
1638#define NS_NL_PORT_TYPE 0x02
1639#define NS_NX_PORT_TYPE 0x7F
1640
1641#define GA_NXT_CMD 0x100
1642#define GA_NXT_REQ_SIZE (16 + 4)
1643#define GA_NXT_RSP_SIZE (16 + 620)
1644
1645#define GID_PT_CMD 0x1A1
1646#define GID_PT_REQ_SIZE (16 + 4)
1647#define GID_PT_RSP_SIZE (16 + (MAX_FIBRE_DEVICES * 4))
1648
1649#define GPN_ID_CMD 0x112
1650#define GPN_ID_REQ_SIZE (16 + 4)
1651#define GPN_ID_RSP_SIZE (16 + 8)
1652
1653#define GNN_ID_CMD 0x113
1654#define GNN_ID_REQ_SIZE (16 + 4)
1655#define GNN_ID_RSP_SIZE (16 + 8)
1656
1657#define GFT_ID_CMD 0x117
1658#define GFT_ID_REQ_SIZE (16 + 4)
1659#define GFT_ID_RSP_SIZE (16 + 32)
1660
1661#define RFT_ID_CMD 0x217
1662#define RFT_ID_REQ_SIZE (16 + 4 + 32)
1663#define RFT_ID_RSP_SIZE 16
1664
1665#define RFF_ID_CMD 0x21F
1666#define RFF_ID_REQ_SIZE (16 + 4 + 2 + 1 + 1)
1667#define RFF_ID_RSP_SIZE 16
1668
1669#define RNN_ID_CMD 0x213
1670#define RNN_ID_REQ_SIZE (16 + 4 + 8)
1671#define RNN_ID_RSP_SIZE 16
1672
1673#define RSNN_NN_CMD 0x239
1674#define RSNN_NN_REQ_SIZE (16 + 8 + 1 + 255)
1675#define RSNN_NN_RSP_SIZE 16
1676
d8b45213
AV
1677#define GFPN_ID_CMD 0x11C
1678#define GFPN_ID_REQ_SIZE (16 + 4)
1679#define GFPN_ID_RSP_SIZE (16 + 8)
1680
1681#define GPSC_CMD 0x127
1682#define GPSC_REQ_SIZE (16 + 8)
1683#define GPSC_RSP_SIZE (16 + 2 + 2)
1684
1685
cca5335c
AV
1686/*
1687 * HBA attribute types.
1688 */
1689#define FDMI_HBA_ATTR_COUNT 9
1690#define FDMI_HBA_NODE_NAME 1
1691#define FDMI_HBA_MANUFACTURER 2
1692#define FDMI_HBA_SERIAL_NUMBER 3
1693#define FDMI_HBA_MODEL 4
1694#define FDMI_HBA_MODEL_DESCRIPTION 5
1695#define FDMI_HBA_HARDWARE_VERSION 6
1696#define FDMI_HBA_DRIVER_VERSION 7
1697#define FDMI_HBA_OPTION_ROM_VERSION 8
1698#define FDMI_HBA_FIRMWARE_VERSION 9
1699#define FDMI_HBA_OS_NAME_AND_VERSION 0xa
1700#define FDMI_HBA_MAXIMUM_CT_PAYLOAD_LENGTH 0xb
1701
1702struct ct_fdmi_hba_attr {
1703 uint16_t type;
1704 uint16_t len;
1705 union {
1706 uint8_t node_name[WWN_SIZE];
1707 uint8_t manufacturer[32];
1708 uint8_t serial_num[8];
1709 uint8_t model[16];
1710 uint8_t model_desc[80];
1711 uint8_t hw_version[16];
1712 uint8_t driver_version[32];
1713 uint8_t orom_version[16];
1714 uint8_t fw_version[16];
1715 uint8_t os_version[128];
1716 uint8_t max_ct_len[4];
1717 } a;
1718};
1719
1720struct ct_fdmi_hba_attributes {
1721 uint32_t count;
1722 struct ct_fdmi_hba_attr entry[FDMI_HBA_ATTR_COUNT];
1723};
1724
1725/*
1726 * Port attribute types.
1727 */
8a85e171 1728#define FDMI_PORT_ATTR_COUNT 6
cca5335c
AV
1729#define FDMI_PORT_FC4_TYPES 1
1730#define FDMI_PORT_SUPPORT_SPEED 2
1731#define FDMI_PORT_CURRENT_SPEED 3
1732#define FDMI_PORT_MAX_FRAME_SIZE 4
1733#define FDMI_PORT_OS_DEVICE_NAME 5
1734#define FDMI_PORT_HOST_NAME 6
1735
5881569b
AV
1736#define FDMI_PORT_SPEED_1GB 0x1
1737#define FDMI_PORT_SPEED_2GB 0x2
1738#define FDMI_PORT_SPEED_10GB 0x4
1739#define FDMI_PORT_SPEED_4GB 0x8
1740#define FDMI_PORT_SPEED_8GB 0x10
1741#define FDMI_PORT_SPEED_16GB 0x20
1742#define FDMI_PORT_SPEED_UNKNOWN 0x8000
1743
cca5335c
AV
1744struct ct_fdmi_port_attr {
1745 uint16_t type;
1746 uint16_t len;
1747 union {
1748 uint8_t fc4_types[32];
1749 uint32_t sup_speed;
1750 uint32_t cur_speed;
1751 uint32_t max_frame_size;
1752 uint8_t os_dev_name[32];
1753 uint8_t host_name[32];
1754 } a;
1755};
1756
1757/*
1758 * Port Attribute Block.
1759 */
1760struct ct_fdmi_port_attributes {
1761 uint32_t count;
1762 struct ct_fdmi_port_attr entry[FDMI_PORT_ATTR_COUNT];
1763};
1764
1765/* FDMI definitions. */
1766#define GRHL_CMD 0x100
1767#define GHAT_CMD 0x101
1768#define GRPL_CMD 0x102
1769#define GPAT_CMD 0x110
1770
1771#define RHBA_CMD 0x200
1772#define RHBA_RSP_SIZE 16
1773
1774#define RHAT_CMD 0x201
1775#define RPRT_CMD 0x210
1776
1777#define RPA_CMD 0x211
1778#define RPA_RSP_SIZE 16
1779
1780#define DHBA_CMD 0x300
1781#define DHBA_REQ_SIZE (16 + 8)
1782#define DHBA_RSP_SIZE 16
1783
1784#define DHAT_CMD 0x301
1785#define DPRT_CMD 0x310
1786#define DPA_CMD 0x311
1787
1da177e4
LT
1788/* CT command header -- request/response common fields */
1789struct ct_cmd_hdr {
1790 uint8_t revision;
1791 uint8_t in_id[3];
1792 uint8_t gs_type;
1793 uint8_t gs_subtype;
1794 uint8_t options;
1795 uint8_t reserved;
1796};
1797
1798/* CT command request */
1799struct ct_sns_req {
1800 struct ct_cmd_hdr header;
1801 uint16_t command;
1802 uint16_t max_rsp_size;
1803 uint8_t fragment_id;
1804 uint8_t reserved[3];
1805
1806 union {
d8b45213 1807 /* GA_NXT, GPN_ID, GNN_ID, GFT_ID, GFPN_ID */
1da177e4
LT
1808 struct {
1809 uint8_t reserved;
1810 uint8_t port_id[3];
1811 } port_id;
1812
1813 struct {
1814 uint8_t port_type;
1815 uint8_t domain;
1816 uint8_t area;
1817 uint8_t reserved;
1818 } gid_pt;
1819
1820 struct {
1821 uint8_t reserved;
1822 uint8_t port_id[3];
1823 uint8_t fc4_types[32];
1824 } rft_id;
1825
1826 struct {
1827 uint8_t reserved;
1828 uint8_t port_id[3];
1829 uint16_t reserved2;
1830 uint8_t fc4_feature;
1831 uint8_t fc4_type;
1832 } rff_id;
1833
1834 struct {
1835 uint8_t reserved;
1836 uint8_t port_id[3];
1837 uint8_t node_name[8];
1838 } rnn_id;
1839
1840 struct {
1841 uint8_t node_name[8];
1842 uint8_t name_len;
1843 uint8_t sym_node_name[255];
1844 } rsnn_nn;
cca5335c
AV
1845
1846 struct {
1847 uint8_t hba_indentifier[8];
1848 } ghat;
1849
1850 struct {
1851 uint8_t hba_identifier[8];
1852 uint32_t entry_count;
1853 uint8_t port_name[8];
1854 struct ct_fdmi_hba_attributes attrs;
1855 } rhba;
1856
1857 struct {
1858 uint8_t hba_identifier[8];
1859 struct ct_fdmi_hba_attributes attrs;
1860 } rhat;
1861
1862 struct {
1863 uint8_t port_name[8];
1864 struct ct_fdmi_port_attributes attrs;
1865 } rpa;
1866
1867 struct {
1868 uint8_t port_name[8];
1869 } dhba;
1870
1871 struct {
1872 uint8_t port_name[8];
1873 } dhat;
1874
1875 struct {
1876 uint8_t port_name[8];
1877 } dprt;
1878
1879 struct {
1880 uint8_t port_name[8];
1881 } dpa;
d8b45213
AV
1882
1883 struct {
1884 uint8_t port_name[8];
1885 } gpsc;
1da177e4
LT
1886 } req;
1887};
1888
1889/* CT command response header */
1890struct ct_rsp_hdr {
1891 struct ct_cmd_hdr header;
1892 uint16_t response;
1893 uint16_t residual;
1894 uint8_t fragment_id;
1895 uint8_t reason_code;
1896 uint8_t explanation_code;
1897 uint8_t vendor_unique;
1898};
1899
1900struct ct_sns_gid_pt_data {
1901 uint8_t control_byte;
1902 uint8_t port_id[3];
1903};
1904
1905struct ct_sns_rsp {
1906 struct ct_rsp_hdr header;
1907
1908 union {
1909 struct {
1910 uint8_t port_type;
1911 uint8_t port_id[3];
1912 uint8_t port_name[8];
1913 uint8_t sym_port_name_len;
1914 uint8_t sym_port_name[255];
1915 uint8_t node_name[8];
1916 uint8_t sym_node_name_len;
1917 uint8_t sym_node_name[255];
1918 uint8_t init_proc_assoc[8];
1919 uint8_t node_ip_addr[16];
1920 uint8_t class_of_service[4];
1921 uint8_t fc4_types[32];
1922 uint8_t ip_address[16];
1923 uint8_t fabric_port_name[8];
1924 uint8_t reserved;
1925 uint8_t hard_address[3];
1926 } ga_nxt;
1927
1928 struct {
1929 struct ct_sns_gid_pt_data entries[MAX_FIBRE_DEVICES];
1930 } gid_pt;
1931
1932 struct {
1933 uint8_t port_name[8];
1934 } gpn_id;
1935
1936 struct {
1937 uint8_t node_name[8];
1938 } gnn_id;
1939
1940 struct {
1941 uint8_t fc4_types[32];
1942 } gft_id;
cca5335c
AV
1943
1944 struct {
1945 uint32_t entry_count;
1946 uint8_t port_name[8];
1947 struct ct_fdmi_hba_attributes attrs;
1948 } ghat;
d8b45213
AV
1949
1950 struct {
1951 uint8_t port_name[8];
1952 } gfpn_id;
1953
1954 struct {
1955 uint16_t speeds;
1956 uint16_t speed;
1957 } gpsc;
1da177e4
LT
1958 } rsp;
1959};
1960
1961struct ct_sns_pkt {
1962 union {
1963 struct ct_sns_req req;
1964 struct ct_sns_rsp rsp;
1965 } p;
1966};
1967
1968/*
1969 * SNS command structures -- for 2200 compatability.
1970 */
1971#define RFT_ID_SNS_SCMD_LEN 22
1972#define RFT_ID_SNS_CMD_SIZE 60
1973#define RFT_ID_SNS_DATA_SIZE 16
1974
1975#define RNN_ID_SNS_SCMD_LEN 10
1976#define RNN_ID_SNS_CMD_SIZE 36
1977#define RNN_ID_SNS_DATA_SIZE 16
1978
1979#define GA_NXT_SNS_SCMD_LEN 6
1980#define GA_NXT_SNS_CMD_SIZE 28
1981#define GA_NXT_SNS_DATA_SIZE (620 + 16)
1982
1983#define GID_PT_SNS_SCMD_LEN 6
1984#define GID_PT_SNS_CMD_SIZE 28
1985#define GID_PT_SNS_DATA_SIZE (MAX_FIBRE_DEVICES * 4 + 16)
1986
1987#define GPN_ID_SNS_SCMD_LEN 6
1988#define GPN_ID_SNS_CMD_SIZE 28
1989#define GPN_ID_SNS_DATA_SIZE (8 + 16)
1990
1991#define GNN_ID_SNS_SCMD_LEN 6
1992#define GNN_ID_SNS_CMD_SIZE 28
1993#define GNN_ID_SNS_DATA_SIZE (8 + 16)
1994
1995struct sns_cmd_pkt {
1996 union {
1997 struct {
1998 uint16_t buffer_length;
1999 uint16_t reserved_1;
2000 uint32_t buffer_address[2];
2001 uint16_t subcommand_length;
2002 uint16_t reserved_2;
2003 uint16_t subcommand;
2004 uint16_t size;
2005 uint32_t reserved_3;
2006 uint8_t param[36];
2007 } cmd;
2008
2009 uint8_t rft_data[RFT_ID_SNS_DATA_SIZE];
2010 uint8_t rnn_data[RNN_ID_SNS_DATA_SIZE];
2011 uint8_t gan_data[GA_NXT_SNS_DATA_SIZE];
2012 uint8_t gid_data[GID_PT_SNS_DATA_SIZE];
2013 uint8_t gpn_data[GPN_ID_SNS_DATA_SIZE];
2014 uint8_t gnn_data[GNN_ID_SNS_DATA_SIZE];
2015 } p;
2016};
2017
5433383e
AV
2018struct fw_blob {
2019 char *name;
2020 uint32_t segs[4];
2021 const struct firmware *fw;
2022};
2023
1da177e4
LT
2024/* Return data from MBC_GET_ID_LIST call. */
2025struct gid_list_info {
2026 uint8_t al_pa;
2027 uint8_t area;
fa2a1ce5 2028 uint8_t domain;
1da177e4
LT
2029 uint8_t loop_id_2100; /* ISP2100/ISP2200 -- 4 bytes. */
2030 uint16_t loop_id; /* ISP23XX -- 6 bytes. */
3d71644c 2031 uint16_t reserved_1; /* ISP24XX -- 8 bytes. */
1da177e4
LT
2032};
2033#define GID_LIST_SIZE (sizeof(struct gid_list_info) * MAX_FIBRE_DEVICES)
2034
2c3dfe3f
SJ
2035/* NPIV */
2036typedef struct vport_info {
2037 uint8_t port_name[WWN_SIZE];
2038 uint8_t node_name[WWN_SIZE];
2039 int vp_id;
2040 uint16_t loop_id;
2041 unsigned long host_no;
2042 uint8_t port_id[3];
2043 int loop_state;
2044} vport_info_t;
2045
2046typedef struct vport_params {
2047 uint8_t port_name[WWN_SIZE];
2048 uint8_t node_name[WWN_SIZE];
2049 uint32_t options;
2050#define VP_OPTS_RETRY_ENABLE BIT_0
2051#define VP_OPTS_VP_DISABLE BIT_1
2052} vport_params_t;
2053
2054/* NPIV - return codes of VP create and modify */
2055#define VP_RET_CODE_OK 0
2056#define VP_RET_CODE_FATAL 1
2057#define VP_RET_CODE_WRONG_ID 2
2058#define VP_RET_CODE_WWPN 3
2059#define VP_RET_CODE_RESOURCES 4
2060#define VP_RET_CODE_NO_MEM 5
2061#define VP_RET_CODE_NOT_FOUND 6
2062
7b867cf7 2063struct qla_hw_data;
2afa19a9 2064struct rsp_que;
abbd8870
AV
2065/*
2066 * ISP operations
2067 */
2068struct isp_operations {
2069
2070 int (*pci_config) (struct scsi_qla_host *);
2071 void (*reset_chip) (struct scsi_qla_host *);
2072 int (*chip_diag) (struct scsi_qla_host *);
2073 void (*config_rings) (struct scsi_qla_host *);
2074 void (*reset_adapter) (struct scsi_qla_host *);
2075 int (*nvram_config) (struct scsi_qla_host *);
2076 void (*update_fw_options) (struct scsi_qla_host *);
2077 int (*load_risc) (struct scsi_qla_host *, uint32_t *);
2078
2079 char * (*pci_info_str) (struct scsi_qla_host *, char *);
2080 char * (*fw_version_str) (struct scsi_qla_host *, char *);
2081
7d12e780 2082 irq_handler_t intr_handler;
7b867cf7
AC
2083 void (*enable_intrs) (struct qla_hw_data *);
2084 void (*disable_intrs) (struct qla_hw_data *);
abbd8870 2085
2afa19a9
AC
2086 int (*abort_command) (srb_t *);
2087 int (*target_reset) (struct fc_port *, unsigned int, int);
2088 int (*lun_reset) (struct fc_port *, unsigned int, int);
abbd8870
AV
2089 int (*fabric_login) (struct scsi_qla_host *, uint16_t, uint8_t,
2090 uint8_t, uint8_t, uint16_t *, uint8_t);
1c7c6357
AV
2091 int (*fabric_logout) (struct scsi_qla_host *, uint16_t, uint8_t,
2092 uint8_t, uint8_t);
abbd8870
AV
2093
2094 uint16_t (*calc_req_entries) (uint16_t);
2095 void (*build_iocbs) (srb_t *, cmd_entry_t *, uint16_t);
8c958a99 2096 void * (*prep_ms_iocb) (struct scsi_qla_host *, uint32_t, uint32_t);
cca5335c
AV
2097 void * (*prep_ms_fdmi_iocb) (struct scsi_qla_host *, uint32_t,
2098 uint32_t);
abbd8870
AV
2099
2100 uint8_t * (*read_nvram) (struct scsi_qla_host *, uint8_t *,
2101 uint32_t, uint32_t);
2102 int (*write_nvram) (struct scsi_qla_host *, uint8_t *, uint32_t,
2103 uint32_t);
2104
2105 void (*fw_dump) (struct scsi_qla_host *, int);
f6df144c
AV
2106
2107 int (*beacon_on) (struct scsi_qla_host *);
2108 int (*beacon_off) (struct scsi_qla_host *);
2109 void (*beacon_blink) (struct scsi_qla_host *);
854165f4
AV
2110
2111 uint8_t * (*read_optrom) (struct scsi_qla_host *, uint8_t *,
2112 uint32_t, uint32_t);
2113 int (*write_optrom) (struct scsi_qla_host *, uint8_t *, uint32_t,
2114 uint32_t);
30c47662
AV
2115
2116 int (*get_flash_version) (struct scsi_qla_host *, void *);
7b867cf7 2117 int (*start_scsi) (srb_t *);
a9083016 2118 int (*abort_isp) (struct scsi_qla_host *);
abbd8870
AV
2119};
2120
a8488abe
AV
2121/* MSI-X Support *************************************************************/
2122
2123#define QLA_MSIX_CHIP_REV_24XX 3
2124#define QLA_MSIX_FW_MODE(m) (((m) & (BIT_7|BIT_8|BIT_9)) >> 7)
2125#define QLA_MSIX_FW_MODE_1(m) (QLA_MSIX_FW_MODE(m) == 1)
2126
2127#define QLA_MSIX_DEFAULT 0x00
2128#define QLA_MSIX_RSP_Q 0x01
2129
a8488abe
AV
2130#define QLA_MIDX_DEFAULT 0
2131#define QLA_MIDX_RSP_Q 1
73208dfd 2132#define QLA_PCI_MSIX_CONTROL 0xa2
a8488abe
AV
2133
2134struct scsi_qla_host;
2135
2136struct qla_msix_entry {
2137 int have_irq;
73208dfd
AC
2138 uint32_t vector;
2139 uint16_t entry;
2140 struct rsp_que *rsp;
a8488abe
AV
2141};
2142
2c3dfe3f
SJ
2143#define WATCH_INTERVAL 1 /* number of seconds */
2144
0971de7f
AV
2145/* Work events. */
2146enum qla_work_type {
2147 QLA_EVT_AEN,
8a659571 2148 QLA_EVT_IDC_ACK,
ac280b67
AV
2149 QLA_EVT_ASYNC_LOGIN,
2150 QLA_EVT_ASYNC_LOGIN_DONE,
2151 QLA_EVT_ASYNC_LOGOUT,
2152 QLA_EVT_ASYNC_LOGOUT_DONE,
3420d36c 2153 QLA_EVT_UEVENT,
0971de7f
AV
2154};
2155
2156
2157struct qla_work_evt {
2158 struct list_head list;
2159 enum qla_work_type type;
2160 u32 flags;
2161#define QLA_EVT_FLAG_FREE 0x1
2162
2163 union {
2164 struct {
2165 enum fc_host_event_code code;
2166 u32 data;
2167 } aen;
8a659571
AV
2168 struct {
2169#define QLA_IDC_ACK_REGS 7
2170 uint16_t mb[QLA_IDC_ACK_REGS];
2171 } idc_ack;
ac280b67
AV
2172 struct {
2173 struct fc_port *fcport;
2174#define QLA_LOGIO_LOGIN_RETRIED BIT_0
2175 u16 data[2];
2176 } logio;
3420d36c
AV
2177 struct {
2178 u32 code;
2179#define QLA_UEVENT_CODE_FW_DUMP 0
2180 } uevent;
0971de7f
AV
2181 } u;
2182};
2183
4d4df193
HK
2184struct qla_chip_state_84xx {
2185 struct list_head list;
2186 struct kref kref;
2187
2188 void *bus;
2189 spinlock_t access_lock;
2190 struct mutex fw_update_mutex;
2191 uint32_t fw_update;
2192 uint32_t op_fw_version;
2193 uint32_t op_fw_size;
2194 uint32_t op_fw_seq_size;
2195 uint32_t diag_fw_version;
2196 uint32_t gold_fw_version;
2197};
2198
e5f5f6f7
HZ
2199struct qla_statistics {
2200 uint32_t total_isp_aborts;
49fd462a
HZ
2201 uint64_t input_bytes;
2202 uint64_t output_bytes;
e5f5f6f7
HZ
2203};
2204
73208dfd
AC
2205/* Multi queue support */
2206#define MBC_INITIALIZE_MULTIQ 0x1f
2207#define QLA_QUE_PAGE 0X1000
2208#define QLA_MQ_SIZE 32
73208dfd
AC
2209#define QLA_MAX_QUEUES 256
2210#define ISP_QUE_REG(ha, id) \
2211 ((ha->mqenable) ? \
2212 ((void *)(ha->mqiobase) +\
2213 (QLA_QUE_PAGE * id)) :\
2214 ((void *)(ha->iobase)))
2215#define QLA_REQ_QUE_ID(tag) \
2216 ((tag < QLA_MAX_QUEUES && tag > 0) ? tag : 0)
2217#define QLA_DEFAULT_QUE_QOS 5
2218#define QLA_PRECONFIG_VPORTS 32
2219#define QLA_MAX_VPORTS_QLA24XX 128
2220#define QLA_MAX_VPORTS_QLA25XX 256
7b867cf7
AC
2221/* Response queue data structure */
2222struct rsp_que {
2223 dma_addr_t dma;
2224 response_t *ring;
2225 response_t *ring_ptr;
08029990
AV
2226 uint32_t __iomem *rsp_q_in; /* FWI2-capable only. */
2227 uint32_t __iomem *rsp_q_out;
7b867cf7
AC
2228 uint16_t ring_index;
2229 uint16_t out_ptr;
2230 uint16_t length;
2231 uint16_t options;
7b867cf7 2232 uint16_t rid;
73208dfd
AC
2233 uint16_t id;
2234 uint16_t vp_idx;
7b867cf7 2235 struct qla_hw_data *hw;
73208dfd
AC
2236 struct qla_msix_entry *msix;
2237 struct req_que *req;
2afa19a9 2238 srb_t *status_srb; /* status continuation entry */
68ca949c 2239 struct work_struct q_work;
7b867cf7 2240};
1da177e4 2241
7b867cf7
AC
2242/* Request queue data structure */
2243struct req_que {
2244 dma_addr_t dma;
2245 request_t *ring;
2246 request_t *ring_ptr;
08029990
AV
2247 uint32_t __iomem *req_q_in; /* FWI2-capable only. */
2248 uint32_t __iomem *req_q_out;
7b867cf7
AC
2249 uint16_t ring_index;
2250 uint16_t in_ptr;
2251 uint16_t cnt;
2252 uint16_t length;
2253 uint16_t options;
2254 uint16_t rid;
73208dfd 2255 uint16_t id;
7b867cf7
AC
2256 uint16_t qos;
2257 uint16_t vp_idx;
73208dfd 2258 struct rsp_que *rsp;
7b867cf7
AC
2259 srb_t *outstanding_cmds[MAX_OUTSTANDING_COMMANDS];
2260 uint32_t current_outstanding_cmd;
2261 int max_q_depth;
2262};
1da177e4 2263
9a069e19
GM
2264/* Place holder for FW buffer parameters */
2265struct qlfc_fw {
2266 void *fw_buf;
2267 dma_addr_t fw_dma;
2268 uint32_t len;
2269};
2270
7b867cf7
AC
2271/*
2272 * Qlogic host adapter specific data structure.
2273*/
2274struct qla_hw_data {
2275 struct pci_dev *pdev;
2276 /* SRB cache. */
2277#define SRB_MIN_REQ 128
2278 mempool_t *srb_mempool;
1da177e4
LT
2279
2280 volatile struct {
1da177e4
LT
2281 uint32_t mbox_int :1;
2282 uint32_t mbox_busy :1;
1da177e4
LT
2283
2284 uint32_t disable_risc_code_load :1;
2285 uint32_t enable_64bit_addressing :1;
2286 uint32_t enable_lip_reset :1;
1da177e4 2287 uint32_t enable_target_reset :1;
7b867cf7 2288 uint32_t enable_lip_full_login :1;
1da177e4 2289 uint32_t enable_led_scheme :1;
d88021a6 2290 uint32_t inta_enabled :1;
3d71644c
AV
2291 uint32_t msi_enabled :1;
2292 uint32_t msix_enabled :1;
d4c760c2 2293 uint32_t disable_serdes :1;
4346b149 2294 uint32_t gpsc_supported :1;
2c3dfe3f 2295 uint32_t npiv_supported :1;
85880801 2296 uint32_t pci_channel_io_perm_failure :1;
df613b96 2297 uint32_t fce_enabled :1;
1d2874de 2298 uint32_t fac_supported :1;
2533cf67 2299 uint32_t chip_reset_done :1;
e5b68a61 2300 uint32_t port0 :1;
cbc8eb67 2301 uint32_t running_gold_fw :1;
85880801 2302 uint32_t eeh_busy :1;
7163ea81 2303 uint32_t cpu_affinity_enabled :1;
3155754a 2304 uint32_t disable_msix_handshake :1;
09ff701a 2305 uint32_t fcp_prio_enabled :1;
1da177e4
LT
2306 } flags;
2307
fa2a1ce5 2308 /* This spinlock is used to protect "io transactions", you must
7b867cf7
AC
2309 * acquire it before doing any IO to the card, eg with RD_REG*() and
2310 * WRT_REG*() for the duration of your entire commandtransaction.
2311 *
2312 * This spinlock is of lower priority than the io request lock.
2313 */
1da177e4 2314
7b867cf7 2315 spinlock_t hardware_lock ____cacheline_aligned;
285d0321 2316 int bars;
09483916 2317 int mem_only;
7b867cf7 2318 device_reg_t __iomem *iobase; /* Base I/O address */
3776541d 2319 resource_size_t pio_address;
fa2a1ce5 2320
7b867cf7 2321#define MIN_IOBASE_LEN 0x100
73208dfd 2322/* Multi queue data structs */
08029990 2323 device_reg_t __iomem *mqiobase;
73208dfd
AC
2324 uint16_t msix_count;
2325 uint8_t mqenable;
2326 struct req_que **req_q_map;
2327 struct rsp_que **rsp_q_map;
2328 unsigned long req_qid_map[(QLA_MAX_QUEUES / 8) / sizeof(unsigned long)];
2329 unsigned long rsp_qid_map[(QLA_MAX_QUEUES / 8) / sizeof(unsigned long)];
2afa19a9
AC
2330 uint8_t max_req_queues;
2331 uint8_t max_rsp_queues;
73208dfd
AC
2332 struct qla_npiv_entry *npiv_info;
2333 uint16_t nvram_npiv_size;
1da177e4 2334
7b867cf7
AC
2335 uint16_t switch_cap;
2336#define FLOGI_SEQ_DEL BIT_8
2337#define FLOGI_MID_SUPPORT BIT_10
2338#define FLOGI_VSAN_SUPPORT BIT_12
2339#define FLOGI_SP_SUPPORT BIT_13
e5b68a61
AC
2340
2341 uint8_t port_no; /* Physical port of adapter */
2342
7b867cf7
AC
2343 /* Timeout timers. */
2344 uint8_t loop_down_abort_time; /* port down timer */
2345 atomic_t loop_down_timer; /* loop down timer */
2346 uint8_t link_down_timeout; /* link down timeout */
2347 uint16_t max_loop_id;
1da177e4 2348
1da177e4 2349 uint16_t fb_rev;
7b867cf7 2350 uint16_t min_external_loopid; /* First external loop Id */
1da177e4 2351
d8b45213 2352#define PORT_SPEED_UNKNOWN 0xFFFF
7b867cf7
AC
2353#define PORT_SPEED_1GB 0x00
2354#define PORT_SPEED_2GB 0x01
2355#define PORT_SPEED_4GB 0x03
2356#define PORT_SPEED_8GB 0x04
3a03eb79 2357#define PORT_SPEED_10GB 0x13
7b867cf7 2358 uint16_t link_data_rate; /* F/W operating speed */
1da177e4
LT
2359
2360 uint8_t current_topology;
2361 uint8_t prev_topology;
2362#define ISP_CFG_NL 1
2363#define ISP_CFG_N 2
2364#define ISP_CFG_FL 4
2365#define ISP_CFG_F 8
2366
7b867cf7 2367 uint8_t operating_mode; /* F/W operating mode */
1da177e4
LT
2368#define LOOP 0
2369#define P2P 1
2370#define LOOP_P2P 2
2371#define P2P_LOOP 3
1da177e4 2372 uint8_t interrupts_on;
7b867cf7
AC
2373 uint32_t isp_abort_cnt;
2374
2375#define PCI_DEVICE_ID_QLOGIC_ISP2532 0x2532
2376#define PCI_DEVICE_ID_QLOGIC_ISP8432 0x8432
3a03eb79 2377#define PCI_DEVICE_ID_QLOGIC_ISP8001 0x8001
7b867cf7
AC
2378 uint32_t device_type;
2379#define DT_ISP2100 BIT_0
2380#define DT_ISP2200 BIT_1
2381#define DT_ISP2300 BIT_2
2382#define DT_ISP2312 BIT_3
2383#define DT_ISP2322 BIT_4
2384#define DT_ISP6312 BIT_5
2385#define DT_ISP6322 BIT_6
2386#define DT_ISP2422 BIT_7
2387#define DT_ISP2432 BIT_8
2388#define DT_ISP5422 BIT_9
2389#define DT_ISP5432 BIT_10
2390#define DT_ISP2532 BIT_11
2391#define DT_ISP8432 BIT_12
3a03eb79 2392#define DT_ISP8001 BIT_13
a9083016
GM
2393#define DT_ISP8021 BIT_14
2394#define DT_ISP_LAST (DT_ISP8021 << 1)
7b867cf7
AC
2395
2396#define DT_IIDMA BIT_26
2397#define DT_FWI2 BIT_27
2398#define DT_ZIO_SUPPORTED BIT_28
2399#define DT_OEM_001 BIT_29
2400#define DT_ISP2200A BIT_30
2401#define DT_EXTENDED_IDS BIT_31
2402#define DT_MASK(ha) ((ha)->device_type & (DT_ISP_LAST - 1))
2403#define IS_QLA2100(ha) (DT_MASK(ha) & DT_ISP2100)
2404#define IS_QLA2200(ha) (DT_MASK(ha) & DT_ISP2200)
2405#define IS_QLA2300(ha) (DT_MASK(ha) & DT_ISP2300)
2406#define IS_QLA2312(ha) (DT_MASK(ha) & DT_ISP2312)
2407#define IS_QLA2322(ha) (DT_MASK(ha) & DT_ISP2322)
2408#define IS_QLA6312(ha) (DT_MASK(ha) & DT_ISP6312)
2409#define IS_QLA6322(ha) (DT_MASK(ha) & DT_ISP6322)
2410#define IS_QLA2422(ha) (DT_MASK(ha) & DT_ISP2422)
2411#define IS_QLA2432(ha) (DT_MASK(ha) & DT_ISP2432)
2412#define IS_QLA5422(ha) (DT_MASK(ha) & DT_ISP5422)
2413#define IS_QLA5432(ha) (DT_MASK(ha) & DT_ISP5432)
2414#define IS_QLA2532(ha) (DT_MASK(ha) & DT_ISP2532)
2415#define IS_QLA8432(ha) (DT_MASK(ha) & DT_ISP8432)
3a03eb79 2416#define IS_QLA8001(ha) (DT_MASK(ha) & DT_ISP8001)
a9083016 2417#define IS_QLA82XX(ha) (DT_MASK(ha) & DT_ISP8021)
7b867cf7
AC
2418
2419#define IS_QLA23XX(ha) (IS_QLA2300(ha) || IS_QLA2312(ha) || IS_QLA2322(ha) || \
2420 IS_QLA6312(ha) || IS_QLA6322(ha))
2421#define IS_QLA24XX(ha) (IS_QLA2422(ha) || IS_QLA2432(ha))
2422#define IS_QLA54XX(ha) (IS_QLA5422(ha) || IS_QLA5432(ha))
2423#define IS_QLA25XX(ha) (IS_QLA2532(ha))
2424#define IS_QLA84XX(ha) (IS_QLA8432(ha))
2425#define IS_QLA24XX_TYPE(ha) (IS_QLA24XX(ha) || IS_QLA54XX(ha) || \
2426 IS_QLA84XX(ha))
3a03eb79 2427#define IS_QLA81XX(ha) (IS_QLA8001(ha))
a9083016 2428#define IS_QLA8XXX_TYPE(ha) (IS_QLA81XX(ha) || IS_QLA82XX(ha))
7b867cf7 2429#define IS_QLA2XXX_MIDTYPE(ha) (IS_QLA24XX(ha) || IS_QLA84XX(ha) || \
a9083016
GM
2430 IS_QLA25XX(ha) || IS_QLA81XX(ha) || \
2431 IS_QLA82XX(ha))
3155754a 2432#define IS_MSIX_NACK_CAPABLE(ha) (IS_QLA81XX(ha))
3a03eb79 2433#define IS_NOPOLLING_TYPE(ha) ((IS_QLA25XX(ha) || IS_QLA81XX(ha)) && \
124f85e6 2434 (ha)->flags.msix_enabled)
1d2874de 2435#define IS_FAC_REQUIRED(ha) (IS_QLA81XX(ha))
6749ce36 2436#define IS_NOCACHE_VPD_TYPE(ha) (IS_QLA81XX(ha))
ac280b67 2437#define IS_ALOGIO_CAPABLE(ha) (IS_QLA23XX(ha) || IS_FWI2_CAPABLE(ha))
7b867cf7
AC
2438
2439#define IS_IIDMA_CAPABLE(ha) ((ha)->device_type & DT_IIDMA)
2440#define IS_FWI2_CAPABLE(ha) ((ha)->device_type & DT_FWI2)
2441#define IS_ZIO_SUPPORTED(ha) ((ha)->device_type & DT_ZIO_SUPPORTED)
2442#define IS_OEM_001(ha) ((ha)->device_type & DT_OEM_001)
2443#define HAS_EXTENDED_IDS(ha) ((ha)->device_type & DT_EXTENDED_IDS)
1da177e4
LT
2444
2445 /* HBA serial number */
2446 uint8_t serial0;
2447 uint8_t serial1;
2448 uint8_t serial2;
2449
2450 /* NVRAM configuration data */
7b867cf7
AC
2451#define MAX_NVRAM_SIZE 4096
2452#define VPD_OFFSET MAX_NVRAM_SIZE / 2
3d71644c 2453 uint16_t nvram_size;
1da177e4 2454 uint16_t nvram_base;
281afe19 2455 void *nvram;
6f641790
AV
2456 uint16_t vpd_size;
2457 uint16_t vpd_base;
281afe19 2458 void *vpd;
1da177e4
LT
2459
2460 uint16_t loop_reset_delay;
1da177e4
LT
2461 uint8_t retry_count;
2462 uint8_t login_timeout;
2463 uint16_t r_a_tov;
2464 int port_down_retry_count;
1da177e4 2465 uint8_t mbx_count;
1da177e4 2466
7b867cf7 2467 uint32_t login_retry_count;
1da177e4
LT
2468 /* SNS command interfaces. */
2469 ms_iocb_entry_t *ms_iocb;
2470 dma_addr_t ms_iocb_dma;
2471 struct ct_sns_pkt *ct_sns;
2472 dma_addr_t ct_sns_dma;
2473 /* SNS command interfaces for 2200. */
2474 struct sns_cmd_pkt *sns_cmd;
2475 dma_addr_t sns_cmd_dma;
2476
7b867cf7
AC
2477#define SFP_DEV_SIZE 256
2478#define SFP_BLOCK_SIZE 64
2479 void *sfp_data;
2480 dma_addr_t sfp_data_dma;
88729e53 2481
ad0ecd61
JC
2482 uint8_t *edc_data;
2483 dma_addr_t edc_data_dma;
2484 uint16_t edc_data_len;
2485
b5d0329f 2486#define XGMAC_DATA_SIZE 4096
ce0423f4
AV
2487 void *xgmac_data;
2488 dma_addr_t xgmac_data_dma;
2489
b5d0329f 2490#define DCBX_TLV_DATA_SIZE 4096
11bbc1d8
AV
2491 void *dcbx_tlv;
2492 dma_addr_t dcbx_tlv_dma;
2493
39a11240 2494 struct task_struct *dpc_thread;
1da177e4
LT
2495 uint8_t dpc_active; /* DPC routine is active */
2496
1da177e4
LT
2497 dma_addr_t gid_list_dma;
2498 struct gid_list_info *gid_list;
abbd8870 2499 int gid_list_info_size;
1da177e4 2500
fa2a1ce5 2501 /* Small DMA pool allocations -- maximum 256 bytes in length. */
7b867cf7 2502#define DMA_POOL_SIZE 256
1da177e4
LT
2503 struct dma_pool *s_dma_pool;
2504
2505 dma_addr_t init_cb_dma;
3d71644c
AV
2506 init_cb_t *init_cb;
2507 int init_cb_size;
b64b0e8f
AV
2508 dma_addr_t ex_init_cb_dma;
2509 struct ex_init_cb_81xx *ex_init_cb;
1da177e4 2510
1da177e4
LT
2511 /* These are used by mailbox operations. */
2512 volatile uint16_t mailbox_out[MAILBOX_REGISTER_COUNT];
2513
2514 mbx_cmd_t *mcp;
2515 unsigned long mbx_cmd_flags;
7b867cf7
AC
2516#define MBX_INTERRUPT 1
2517#define MBX_INTR_WAIT 2
1da177e4
LT
2518#define MBX_UPDATE_FLASH_ACTIVE 3
2519
7b867cf7
AC
2520 struct mutex vport_lock; /* Virtual port synchronization */
2521 struct completion mbx_cmd_comp; /* Serialize mbx access */
0b05a1f0 2522 struct completion mbx_intr_comp; /* Used for completion notification */
1da177e4 2523
1da177e4 2524 /* Basic firmware related information. */
1da177e4
LT
2525 uint16_t fw_major_version;
2526 uint16_t fw_minor_version;
2527 uint16_t fw_subminor_version;
2528 uint16_t fw_attributes;
2529 uint32_t fw_memory_size;
2530 uint32_t fw_transfer_size;
441d1072
AV
2531 uint32_t fw_srisc_address;
2532#define RISC_START_ADDRESS_2100 0x1000
2533#define RISC_START_ADDRESS_2300 0x800
2534#define RISC_START_ADDRESS_2400 0x100000
24a08138 2535 uint16_t fw_xcb_count;
1da177e4 2536
7b867cf7 2537 uint16_t fw_options[16]; /* slots: 1,2,3,10,11 */
1da177e4 2538 uint8_t fw_seriallink_options[4];
3d71644c 2539 uint16_t fw_seriallink_options24[4];
1da177e4 2540
55a96158 2541 uint8_t mpi_version[3];
3a03eb79 2542 uint32_t mpi_capabilities;
55a96158 2543 uint8_t phy_version[3];
3a03eb79 2544
1da177e4 2545 /* Firmware dump information. */
a7a167bf
AV
2546 struct qla2xxx_fw_dump *fw_dump;
2547 uint32_t fw_dump_len;
d4e3e04d 2548 int fw_dumped;
1da177e4 2549 int fw_dump_reading;
a7a167bf
AV
2550 dma_addr_t eft_dma;
2551 void *eft;
1da177e4 2552
bb99de67 2553 uint32_t chain_offset;
df613b96
AV
2554 struct dentry *dfs_dir;
2555 struct dentry *dfs_fce;
2556 dma_addr_t fce_dma;
2557 void *fce;
2558 uint32_t fce_bufs;
2559 uint16_t fce_mb[8];
2560 uint64_t fce_wr, fce_rd;
2561 struct mutex fce_mutex;
2562
3d71644c 2563 uint32_t pci_attr;
a8488abe 2564 uint16_t chip_revision;
1da177e4
LT
2565
2566 uint16_t product_id[4];
2567
2568 uint8_t model_number[16+1];
2569#define BINZERO "\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0"
1ee27146 2570 char model_desc[80];
cca5335c 2571 uint8_t adapter_id[16+1];
1da177e4 2572
854165f4
AV
2573 /* Option ROM information. */
2574 char *optrom_buffer;
2575 uint32_t optrom_size;
2576 int optrom_state;
2577#define QLA_SWAITING 0
2578#define QLA_SREADING 1
2579#define QLA_SWRITING 2
b7cc176c
JC
2580 uint32_t optrom_region_start;
2581 uint32_t optrom_region_size;
854165f4 2582
7b867cf7 2583/* PCI expansion ROM image information. */
30c47662
AV
2584#define ROM_CODE_TYPE_BIOS 0
2585#define ROM_CODE_TYPE_FCODE 1
2586#define ROM_CODE_TYPE_EFI 3
7b867cf7
AC
2587 uint8_t bios_revision[2];
2588 uint8_t efi_revision[2];
2589 uint8_t fcode_revision[16];
30c47662
AV
2590 uint32_t fw_revision[4];
2591
3a03eb79
AV
2592 /* Offsets for flash/nvram access (set to ~0 if not used). */
2593 uint32_t flash_conf_off;
2594 uint32_t flash_data_off;
2595 uint32_t nvram_conf_off;
2596 uint32_t nvram_data_off;
2597
7d232c74
AV
2598 uint32_t fdt_wrt_disable;
2599 uint32_t fdt_erase_cmd;
2600 uint32_t fdt_block_size;
2601 uint32_t fdt_unprotect_sec_cmd;
2602 uint32_t fdt_protect_sec_cmd;
2603
7b867cf7
AC
2604 uint32_t flt_region_flt;
2605 uint32_t flt_region_fdt;
2606 uint32_t flt_region_boot;
2607 uint32_t flt_region_fw;
2608 uint32_t flt_region_vpd_nvram;
3d79038f
AV
2609 uint32_t flt_region_vpd;
2610 uint32_t flt_region_nvram;
7b867cf7 2611 uint32_t flt_region_npiv_conf;
cbc8eb67 2612 uint32_t flt_region_gold_fw;
09ff701a 2613 uint32_t flt_region_fcp_prio;
a9083016 2614 uint32_t flt_region_bootload;
c00d8994 2615
1da177e4 2616 /* Needed for BEACON */
7b867cf7
AC
2617 uint16_t beacon_blink_led;
2618 uint8_t beacon_color_state;
f6df144c
AV
2619#define QLA_LED_GRN_ON 0x01
2620#define QLA_LED_YLW_ON 0x02
2621#define QLA_LED_ABR_ON 0x04
2622#define QLA_LED_ALL_ON 0x07 /* yellow, green, amber. */
2623 /* ISP2322: red, green, amber. */
7b867cf7
AC
2624 uint16_t zio_mode;
2625 uint16_t zio_timer;
392e2f65 2626 struct fc_host_statistics fc_host_stat;
a8488abe 2627
73208dfd 2628 struct qla_msix_entry *msix_entries;
2c3dfe3f 2629
7b867cf7
AC
2630 struct list_head vp_list; /* list of VP */
2631 unsigned long vp_idx_map[(MAX_MULTI_ID_FABRIC / 8) /
2632 sizeof(unsigned long)];
2633 uint16_t num_vhosts; /* number of vports created */
2634 uint16_t num_vsans; /* number of vsan created */
2635 uint16_t max_npiv_vports; /* 63 or 125 per topoloty */
2636 int cur_vport_count;
2637
2638 struct qla_chip_state_84xx *cs84xx;
2639 struct qla_statistics qla_stats;
2640 struct isp_operations *isp_ops;
68ca949c 2641 struct workqueue_struct *wq;
9a069e19 2642 struct qlfc_fw fw_buf;
09ff701a
SR
2643
2644 /* FCP_CMND priority support */
2645 struct qla_fcp_prio_cfg *fcp_prio_cfg;
a9083016
GM
2646
2647 struct dma_pool *dl_dma_pool;
2648#define DSD_LIST_DMA_POOL_SIZE 512
2649
2650 struct dma_pool *fcp_cmnd_dma_pool;
2651 mempool_t *ctx_mempool;
2652#define FCP_CMND_DMA_POOL_SIZE 512
2653
2654 unsigned long nx_pcibase; /* Base I/O address */
2655 uint8_t *nxdb_rd_ptr; /* Doorbell read pointer */
2656 unsigned long nxdb_wr_ptr; /* Door bell write pointer */
2657 unsigned long first_page_group_start;
2658 unsigned long first_page_group_end;
2659
2660 uint32_t crb_win;
2661 uint32_t curr_window;
2662 uint32_t ddr_mn_window;
2663 unsigned long mn_win_crb;
2664 unsigned long ms_win_crb;
2665 int qdr_sn_window;
2666 uint32_t nx_dev_init_timeout;
2667 uint32_t nx_reset_timeout;
2668 rwlock_t hw_lock;
2669 uint16_t portnum; /* port number */
2670 int link_width;
2671 struct fw_blob *hablob;
2672 struct qla82xx_legacy_intr_set nx_legacy_intr;
2673
2674 uint16_t gbl_dsd_inuse;
2675 uint16_t gbl_dsd_avail;
2676 struct list_head gbl_dsd_list;
2677#define NUM_DSD_CHAIN 4096
7b867cf7
AC
2678};
2679
2680/*
2681 * Qlogic scsi host structure
2682 */
2683typedef struct scsi_qla_host {
2684 struct list_head list;
2685 struct list_head vp_fcports; /* list of fcports */
2686 struct list_head work_list;
f999f4c1
AV
2687 spinlock_t work_lock;
2688
7b867cf7
AC
2689 /* Commonly used flags and state information. */
2690 struct Scsi_Host *host;
2691 unsigned long host_no;
2692 uint8_t host_str[16];
2693
2694 volatile struct {
2695 uint32_t init_done :1;
2696 uint32_t online :1;
2697 uint32_t rscn_queue_overflow :1;
2698 uint32_t reset_active :1;
2699
2700 uint32_t management_server_logged_in :1;
2701 uint32_t process_response_queue :1;
2702 } flags;
2703
2704 atomic_t loop_state;
2705#define LOOP_TIMEOUT 1
2706#define LOOP_DOWN 2
2707#define LOOP_UP 3
2708#define LOOP_UPDATE 4
2709#define LOOP_READY 5
2710#define LOOP_DEAD 6
2711
2712 unsigned long dpc_flags;
2713#define RESET_MARKER_NEEDED 0 /* Send marker to ISP. */
2714#define RESET_ACTIVE 1
2715#define ISP_ABORT_NEEDED 2 /* Initiate ISP abort. */
2716#define ABORT_ISP_ACTIVE 3 /* ISP abort in progress. */
2717#define LOOP_RESYNC_NEEDED 4 /* Device Resync needed. */
2718#define LOOP_RESYNC_ACTIVE 5
2719#define LOCAL_LOOP_UPDATE 6 /* Perform a local loop update. */
2720#define RSCN_UPDATE 7 /* Perform an RSCN update. */
ddb9b126
SS
2721#define RELOGIN_NEEDED 8
2722#define REGISTER_FC4_NEEDED 9 /* SNS FC4 registration required. */
2723#define ISP_ABORT_RETRY 10 /* ISP aborted. */
2724#define BEACON_BLINK_NEEDED 11
2725#define REGISTER_FDMI_NEEDED 12
2726#define FCPORT_UPDATE_NEEDED 13
2727#define VP_DPC_NEEDED 14 /* wake up for VP dpc handling */
2728#define UNLOADING 15
2729#define NPIV_CONFIG_NEEDED 16
a9083016
GM
2730#define ISP_UNRECOVERABLE 17
2731#define FCOE_CTX_RESET_NEEDED 18 /* Initiate FCoE context reset */
7b867cf7
AC
2732
2733 uint32_t device_flags;
ddb9b126
SS
2734#define SWITCH_FOUND BIT_0
2735#define DFLG_NO_CABLE BIT_1
a9083016 2736#define DFLG_DEV_FAILED BIT_5
7b867cf7 2737
7b867cf7
AC
2738 /* ISP configuration data. */
2739 uint16_t loop_id; /* Host adapter loop id */
2740
2741 port_id_t d_id; /* Host adapter port id */
2742 uint8_t marker_needed;
2743 uint16_t mgmt_svr_loop_id;
2744
2745
2746
2747 /* RSCN queue. */
2748 uint32_t rscn_queue[MAX_RSCN_COUNT];
2749 uint8_t rscn_in_ptr;
2750 uint8_t rscn_out_ptr;
2751
2752 /* Timeout timers. */
2753 uint8_t loop_down_abort_time; /* port down timer */
2754 atomic_t loop_down_timer; /* loop down timer */
2755 uint8_t link_down_timeout; /* link down timeout */
2756
2757 uint32_t timer_active;
2758 struct timer_list timer;
2759
2760 uint8_t node_name[WWN_SIZE];
2761 uint8_t port_name[WWN_SIZE];
2762 uint8_t fabric_node_name[WWN_SIZE];
bad7001c
AV
2763
2764 uint16_t fcoe_vlan_id;
2765 uint16_t fcoe_fcf_idx;
2766 uint8_t fcoe_vn_port_mac[6];
2767
7b867cf7
AC
2768 uint32_t vp_abort_cnt;
2769
2c3dfe3f 2770 struct fc_vport *fc_vport; /* holds fc_vport * for each vport */
2c3dfe3f
SJ
2771 uint16_t vp_idx; /* vport ID */
2772
2c3dfe3f 2773 unsigned long vp_flags;
2c3dfe3f
SJ
2774#define VP_IDX_ACQUIRED 0 /* bit no 0 */
2775#define VP_CREATE_NEEDED 1
2776#define VP_BIND_NEEDED 2
2777#define VP_DELETE_NEEDED 3
2778#define VP_SCR_NEEDED 4 /* State Change Request registration */
2779 atomic_t vp_state;
2780#define VP_OFFLINE 0
2781#define VP_ACTIVE 1
2782#define VP_FAILED 2
2783// #define VP_DISABLE 3
2784 uint16_t vp_err_state;
2785 uint16_t vp_prev_err_state;
2786#define VP_ERR_UNKWN 0
2787#define VP_ERR_PORTDWN 1
2788#define VP_ERR_FAB_UNSUPPORTED 2
2789#define VP_ERR_FAB_NORESOURCES 3
2790#define VP_ERR_FAB_LOGOUT 4
2791#define VP_ERR_ADAP_NORESOURCES 5
7b867cf7 2792 struct qla_hw_data *hw;
2afa19a9 2793 struct req_que *req;
a9083016
GM
2794 int fw_heartbeat_counter;
2795 int seconds_since_last_heartbeat;
1da177e4
LT
2796} scsi_qla_host_t;
2797
1da177e4
LT
2798/*
2799 * Macros to help code, maintain, etc.
2800 */
2801#define LOOP_TRANSITION(ha) \
2802 (test_bit(ISP_ABORT_NEEDED, &ha->dpc_flags) || \
23443b1d 2803 test_bit(LOOP_RESYNC_NEEDED, &ha->dpc_flags) || \
1da177e4 2804 atomic_read(&ha->loop_state) == LOOP_DOWN)
fa2a1ce5 2805
1da177e4
LT
2806#define qla_printk(level, ha, format, arg...) \
2807 dev_printk(level , &((ha)->pdev->dev) , format , ## arg)
2808
2809/*
2810 * qla2x00 local function return status codes
2811 */
2812#define MBS_MASK 0x3fff
2813
2814#define QLA_SUCCESS (MBS_COMMAND_COMPLETE & MBS_MASK)
2815#define QLA_INVALID_COMMAND (MBS_INVALID_COMMAND & MBS_MASK)
2816#define QLA_INTERFACE_ERROR (MBS_HOST_INTERFACE_ERROR & MBS_MASK)
2817#define QLA_TEST_FAILED (MBS_TEST_FAILED & MBS_MASK)
2818#define QLA_COMMAND_ERROR (MBS_COMMAND_ERROR & MBS_MASK)
2819#define QLA_PARAMETER_ERROR (MBS_COMMAND_PARAMETER_ERROR & MBS_MASK)
2820#define QLA_PORT_ID_USED (MBS_PORT_ID_USED & MBS_MASK)
2821#define QLA_LOOP_ID_USED (MBS_LOOP_ID_USED & MBS_MASK)
2822#define QLA_ALL_IDS_IN_USE (MBS_ALL_IDS_IN_USE & MBS_MASK)
2823#define QLA_NOT_LOGGED_IN (MBS_NOT_LOGGED_IN & MBS_MASK)
2824
2825#define QLA_FUNCTION_TIMEOUT 0x100
2826#define QLA_FUNCTION_PARAMETER_ERROR 0x101
2827#define QLA_FUNCTION_FAILED 0x102
2828#define QLA_MEMORY_ALLOC_FAILED 0x103
2829#define QLA_LOCK_TIMEOUT 0x104
2830#define QLA_ABORTED 0x105
2831#define QLA_SUSPENDED 0x106
2832#define QLA_BUSY 0x107
2833#define QLA_RSCNS_HANDLED 0x108
cca5335c 2834#define QLA_ALREADY_REGISTERED 0x109
1da177e4 2835
1da177e4
LT
2836#define NVRAM_DELAY() udelay(10)
2837
2838#define INVALID_HANDLE (MAX_OUTSTANDING_COMMANDS+1)
2839
2840/*
2841 * Flash support definitions
2842 */
854165f4
AV
2843#define OPTROM_SIZE_2300 0x20000
2844#define OPTROM_SIZE_2322 0x100000
2845#define OPTROM_SIZE_24XX 0x100000
c3a2f0df 2846#define OPTROM_SIZE_25XX 0x200000
3a03eb79 2847#define OPTROM_SIZE_81XX 0x400000
a9083016
GM
2848#define OPTROM_SIZE_82XX 0x800000
2849
2850#define OPTROM_BURST_SIZE 0x1000
2851#define OPTROM_BURST_DWORDS (OPTROM_BURST_SIZE / 4)
1da177e4
LT
2852
2853#include "qla_gbl.h"
2854#include "qla_dbg.h"
2855#include "qla_inline.h"
1da177e4 2856
1da177e4 2857#define CMD_SP(Cmnd) ((Cmnd)->SCp.ptr)
1da177e4 2858#endif