]> git.proxmox.com Git - mirror_qemu.git/blame - hw/vga.c
cirrus: simplify vga window mmio access functions
[mirror_qemu.git] / hw / vga.c
CommitLineData
e89f66ec 1/*
4fa0f5d2 2 * QEMU VGA Emulator.
5fafdf24 3 *
e89f66ec 4 * Copyright (c) 2003 Fabrice Bellard
5fafdf24 5 *
e89f66ec
FB
6 * Permission is hereby granted, free of charge, to any person obtaining a copy
7 * of this software and associated documentation files (the "Software"), to deal
8 * in the Software without restriction, including without limitation the rights
9 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
10 * copies of the Software, and to permit persons to whom the Software is
11 * furnished to do so, subject to the following conditions:
12 *
13 * The above copyright notice and this permission notice shall be included in
14 * all copies or substantial portions of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
17 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
18 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
19 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
20 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
21 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
22 * THE SOFTWARE.
23 */
87ecb68b
PB
24#include "hw.h"
25#include "console.h"
26#include "pc.h"
27#include "pci.h"
798b0c25 28#include "vga_int.h"
94470844 29#include "pixel_ops.h"
cb5a7aa8 30#include "qemu-timer.h"
b1950430 31#include "exec-memory.h"
e89f66ec 32
e89f66ec 33//#define DEBUG_VGA
17b0018b 34//#define DEBUG_VGA_MEM
a41bc9af
FB
35//#define DEBUG_VGA_REG
36
4fa0f5d2
FB
37//#define DEBUG_BOCHS_VBE
38
e89f66ec 39/* force some bits to zero */
798b0c25 40const uint8_t sr_mask[8] = {
9e622b15
BS
41 0x03,
42 0x3d,
43 0x0f,
44 0x3f,
45 0x0e,
46 0x00,
47 0x00,
48 0xff,
e89f66ec
FB
49};
50
798b0c25 51const uint8_t gr_mask[16] = {
9e622b15
BS
52 0x0f, /* 0x00 */
53 0x0f, /* 0x01 */
54 0x0f, /* 0x02 */
55 0x1f, /* 0x03 */
56 0x03, /* 0x04 */
57 0x7b, /* 0x05 */
58 0x0f, /* 0x06 */
59 0x0f, /* 0x07 */
60 0xff, /* 0x08 */
61 0x00, /* 0x09 */
62 0x00, /* 0x0a */
63 0x00, /* 0x0b */
64 0x00, /* 0x0c */
65 0x00, /* 0x0d */
66 0x00, /* 0x0e */
67 0x00, /* 0x0f */
e89f66ec
FB
68};
69
70#define cbswap_32(__x) \
71((uint32_t)( \
72 (((uint32_t)(__x) & (uint32_t)0x000000ffUL) << 24) | \
73 (((uint32_t)(__x) & (uint32_t)0x0000ff00UL) << 8) | \
74 (((uint32_t)(__x) & (uint32_t)0x00ff0000UL) >> 8) | \
75 (((uint32_t)(__x) & (uint32_t)0xff000000UL) >> 24) ))
76
e2542fe2 77#ifdef HOST_WORDS_BIGENDIAN
e89f66ec
FB
78#define PAT(x) cbswap_32(x)
79#else
80#define PAT(x) (x)
81#endif
82
e2542fe2 83#ifdef HOST_WORDS_BIGENDIAN
b8ed223b
FB
84#define BIG 1
85#else
86#define BIG 0
87#endif
88
e2542fe2 89#ifdef HOST_WORDS_BIGENDIAN
b8ed223b
FB
90#define GET_PLANE(data, p) (((data) >> (24 - (p) * 8)) & 0xff)
91#else
92#define GET_PLANE(data, p) (((data) >> ((p) * 8)) & 0xff)
93#endif
94
e89f66ec
FB
95static const uint32_t mask16[16] = {
96 PAT(0x00000000),
97 PAT(0x000000ff),
98 PAT(0x0000ff00),
99 PAT(0x0000ffff),
100 PAT(0x00ff0000),
101 PAT(0x00ff00ff),
102 PAT(0x00ffff00),
103 PAT(0x00ffffff),
104 PAT(0xff000000),
105 PAT(0xff0000ff),
106 PAT(0xff00ff00),
107 PAT(0xff00ffff),
108 PAT(0xffff0000),
109 PAT(0xffff00ff),
110 PAT(0xffffff00),
111 PAT(0xffffffff),
112};
113
114#undef PAT
115
e2542fe2 116#ifdef HOST_WORDS_BIGENDIAN
e89f66ec
FB
117#define PAT(x) (x)
118#else
119#define PAT(x) cbswap_32(x)
120#endif
121
122static const uint32_t dmask16[16] = {
123 PAT(0x00000000),
124 PAT(0x000000ff),
125 PAT(0x0000ff00),
126 PAT(0x0000ffff),
127 PAT(0x00ff0000),
128 PAT(0x00ff00ff),
129 PAT(0x00ffff00),
130 PAT(0x00ffffff),
131 PAT(0xff000000),
132 PAT(0xff0000ff),
133 PAT(0xff00ff00),
134 PAT(0xff00ffff),
135 PAT(0xffff0000),
136 PAT(0xffff00ff),
137 PAT(0xffffff00),
138 PAT(0xffffffff),
139};
140
141static const uint32_t dmask4[4] = {
142 PAT(0x00000000),
143 PAT(0x0000ffff),
144 PAT(0xffff0000),
145 PAT(0xffffffff),
146};
147
148static uint32_t expand4[256];
149static uint16_t expand2[256];
17b0018b 150static uint8_t expand4to8[16];
e89f66ec 151
95219897 152static void vga_screen_dump(void *opaque, const char *filename);
04a52b41
SS
153static char *screen_dump_filename;
154static DisplayChangeListener *screen_dump_dcl;
95219897 155
cedd91d2 156static void vga_dumb_update_retrace_info(VGACommonState *s)
cb5a7aa8 157{
158 (void) s;
159}
160
cedd91d2 161static void vga_precise_update_retrace_info(VGACommonState *s)
cb5a7aa8 162{
163 int htotal_chars;
164 int hretr_start_char;
165 int hretr_skew_chars;
166 int hretr_end_char;
167
168 int vtotal_lines;
169 int vretr_start_line;
170 int vretr_end_line;
171
7f5b7d3e
BS
172 int dots;
173#if 0
174 int div2, sldiv2;
175#endif
cb5a7aa8 176 int clocking_mode;
177 int clock_sel;
b0f74c87 178 const int clk_hz[] = {25175000, 28322000, 25175000, 25175000};
cb5a7aa8 179 int64_t chars_per_sec;
180 struct vga_precise_retrace *r = &s->retrace_info.precise;
181
182 htotal_chars = s->cr[0x00] + 5;
183 hretr_start_char = s->cr[0x04];
184 hretr_skew_chars = (s->cr[0x05] >> 5) & 3;
185 hretr_end_char = s->cr[0x05] & 0x1f;
186
187 vtotal_lines = (s->cr[0x06]
188 | (((s->cr[0x07] & 1) | ((s->cr[0x07] >> 4) & 2)) << 8)) + 2
189 ;
190 vretr_start_line = s->cr[0x10]
191 | ((((s->cr[0x07] >> 2) & 1) | ((s->cr[0x07] >> 6) & 2)) << 8)
192 ;
193 vretr_end_line = s->cr[0x11] & 0xf;
194
195
cb5a7aa8 196
197 clocking_mode = (s->sr[0x01] >> 3) & 1;
198 clock_sel = (s->msr >> 2) & 3;
f87fc09b 199 dots = (s->msr & 1) ? 8 : 9;
cb5a7aa8 200
b0f74c87 201 chars_per_sec = clk_hz[clock_sel] / dots;
cb5a7aa8 202
203 htotal_chars <<= clocking_mode;
204
205 r->total_chars = vtotal_lines * htotal_chars;
cb5a7aa8 206 if (r->freq) {
6ee093c9 207 r->ticks_per_char = get_ticks_per_sec() / (r->total_chars * r->freq);
cb5a7aa8 208 } else {
6ee093c9 209 r->ticks_per_char = get_ticks_per_sec() / chars_per_sec;
cb5a7aa8 210 }
211
212 r->vstart = vretr_start_line;
213 r->vend = r->vstart + vretr_end_line + 1;
214
215 r->hstart = hretr_start_char + hretr_skew_chars;
216 r->hend = r->hstart + hretr_end_char + 1;
217 r->htotal = htotal_chars;
218
f87fc09b 219#if 0
7f5b7d3e
BS
220 div2 = (s->cr[0x17] >> 2) & 1;
221 sldiv2 = (s->cr[0x17] >> 3) & 1;
cb5a7aa8 222 printf (
f87fc09b 223 "hz=%f\n"
cb5a7aa8 224 "htotal = %d\n"
225 "hretr_start = %d\n"
226 "hretr_skew = %d\n"
227 "hretr_end = %d\n"
228 "vtotal = %d\n"
229 "vretr_start = %d\n"
230 "vretr_end = %d\n"
231 "div2 = %d sldiv2 = %d\n"
232 "clocking_mode = %d\n"
233 "clock_sel = %d %d\n"
234 "dots = %d\n"
0bfcd599 235 "ticks/char = %" PRId64 "\n"
cb5a7aa8 236 "\n",
6ee093c9 237 (double) get_ticks_per_sec() / (r->ticks_per_char * r->total_chars),
cb5a7aa8 238 htotal_chars,
239 hretr_start_char,
240 hretr_skew_chars,
241 hretr_end_char,
242 vtotal_lines,
243 vretr_start_line,
244 vretr_end_line,
245 div2, sldiv2,
246 clocking_mode,
247 clock_sel,
b0f74c87 248 clk_hz[clock_sel],
cb5a7aa8 249 dots,
250 r->ticks_per_char
251 );
252#endif
253}
254
cedd91d2 255static uint8_t vga_precise_retrace(VGACommonState *s)
cb5a7aa8 256{
257 struct vga_precise_retrace *r = &s->retrace_info.precise;
258 uint8_t val = s->st01 & ~(ST01_V_RETRACE | ST01_DISP_ENABLE);
259
260 if (r->total_chars) {
261 int cur_line, cur_line_char, cur_char;
262 int64_t cur_tick;
263
74475455 264 cur_tick = qemu_get_clock_ns(vm_clock);
cb5a7aa8 265
266 cur_char = (cur_tick / r->ticks_per_char) % r->total_chars;
267 cur_line = cur_char / r->htotal;
268
269 if (cur_line >= r->vstart && cur_line <= r->vend) {
270 val |= ST01_V_RETRACE | ST01_DISP_ENABLE;
f87fc09b 271 } else {
272 cur_line_char = cur_char % r->htotal;
273 if (cur_line_char >= r->hstart && cur_line_char <= r->hend) {
274 val |= ST01_DISP_ENABLE;
275 }
cb5a7aa8 276 }
277
278 return val;
279 } else {
280 return s->st01 ^ (ST01_V_RETRACE | ST01_DISP_ENABLE);
281 }
282}
283
cedd91d2 284static uint8_t vga_dumb_retrace(VGACommonState *s)
cb5a7aa8 285{
286 return s->st01 ^ (ST01_V_RETRACE | ST01_DISP_ENABLE);
287}
288
25a18cbd
JQ
289int vga_ioport_invalid(VGACommonState *s, uint32_t addr)
290{
291 if (s->msr & MSR_COLOR_EMULATION) {
292 /* Color */
293 return (addr >= 0x3b0 && addr <= 0x3bf);
294 } else {
295 /* Monochrome */
296 return (addr >= 0x3d0 && addr <= 0x3df);
297 }
298}
299
43bf782b 300uint32_t vga_ioport_read(void *opaque, uint32_t addr)
e89f66ec 301{
43bf782b 302 VGACommonState *s = opaque;
e89f66ec
FB
303 int val, index;
304
25a18cbd 305 if (vga_ioport_invalid(s, addr)) {
e89f66ec
FB
306 val = 0xff;
307 } else {
308 switch(addr) {
309 case 0x3c0:
310 if (s->ar_flip_flop == 0) {
311 val = s->ar_index;
312 } else {
313 val = 0;
314 }
315 break;
316 case 0x3c1:
317 index = s->ar_index & 0x1f;
5fafdf24 318 if (index < 21)
e89f66ec
FB
319 val = s->ar[index];
320 else
321 val = 0;
322 break;
323 case 0x3c2:
324 val = s->st00;
325 break;
326 case 0x3c4:
327 val = s->sr_index;
328 break;
329 case 0x3c5:
330 val = s->sr[s->sr_index];
a41bc9af
FB
331#ifdef DEBUG_VGA_REG
332 printf("vga: read SR%x = 0x%02x\n", s->sr_index, val);
333#endif
e89f66ec
FB
334 break;
335 case 0x3c7:
336 val = s->dac_state;
337 break;
e9b43ea3
JQ
338 case 0x3c8:
339 val = s->dac_write_index;
340 break;
e89f66ec
FB
341 case 0x3c9:
342 val = s->palette[s->dac_read_index * 3 + s->dac_sub_index];
343 if (++s->dac_sub_index == 3) {
344 s->dac_sub_index = 0;
345 s->dac_read_index++;
346 }
347 break;
348 case 0x3ca:
349 val = s->fcr;
350 break;
351 case 0x3cc:
352 val = s->msr;
353 break;
354 case 0x3ce:
355 val = s->gr_index;
356 break;
357 case 0x3cf:
358 val = s->gr[s->gr_index];
a41bc9af
FB
359#ifdef DEBUG_VGA_REG
360 printf("vga: read GR%x = 0x%02x\n", s->gr_index, val);
361#endif
e89f66ec
FB
362 break;
363 case 0x3b4:
364 case 0x3d4:
365 val = s->cr_index;
366 break;
367 case 0x3b5:
368 case 0x3d5:
369 val = s->cr[s->cr_index];
a41bc9af
FB
370#ifdef DEBUG_VGA_REG
371 printf("vga: read CR%x = 0x%02x\n", s->cr_index, val);
a41bc9af 372#endif
e89f66ec
FB
373 break;
374 case 0x3ba:
375 case 0x3da:
376 /* just toggle to fool polling */
cb5a7aa8 377 val = s->st01 = s->retrace(s);
e89f66ec
FB
378 s->ar_flip_flop = 0;
379 break;
380 default:
381 val = 0x00;
382 break;
383 }
384 }
4fa0f5d2 385#if defined(DEBUG_VGA)
e89f66ec
FB
386 printf("VGA: read addr=0x%04x data=0x%02x\n", addr, val);
387#endif
388 return val;
389}
390
43bf782b 391void vga_ioport_write(void *opaque, uint32_t addr, uint32_t val)
e89f66ec 392{
43bf782b 393 VGACommonState *s = opaque;
5467a722 394 int index;
e89f66ec
FB
395
396 /* check port range access depending on color/monochrome mode */
25a18cbd 397 if (vga_ioport_invalid(s, addr)) {
e89f66ec 398 return;
25a18cbd 399 }
e89f66ec
FB
400#ifdef DEBUG_VGA
401 printf("VGA: write addr=0x%04x data=0x%02x\n", addr, val);
402#endif
403
404 switch(addr) {
405 case 0x3c0:
406 if (s->ar_flip_flop == 0) {
407 val &= 0x3f;
408 s->ar_index = val;
409 } else {
410 index = s->ar_index & 0x1f;
411 switch(index) {
412 case 0x00 ... 0x0f:
413 s->ar[index] = val & 0x3f;
414 break;
415 case 0x10:
416 s->ar[index] = val & ~0x10;
417 break;
418 case 0x11:
419 s->ar[index] = val;
420 break;
421 case 0x12:
422 s->ar[index] = val & ~0xc0;
423 break;
424 case 0x13:
425 s->ar[index] = val & ~0xf0;
426 break;
427 case 0x14:
428 s->ar[index] = val & ~0xf0;
429 break;
430 default:
431 break;
432 }
433 }
434 s->ar_flip_flop ^= 1;
435 break;
436 case 0x3c2:
437 s->msr = val & ~0x10;
cb5a7aa8 438 s->update_retrace_info(s);
e89f66ec
FB
439 break;
440 case 0x3c4:
441 s->sr_index = val & 7;
442 break;
443 case 0x3c5:
a41bc9af
FB
444#ifdef DEBUG_VGA_REG
445 printf("vga: write SR%x = 0x%02x\n", s->sr_index, val);
446#endif
e89f66ec 447 s->sr[s->sr_index] = val & sr_mask[s->sr_index];
cb5a7aa8 448 if (s->sr_index == 1) s->update_retrace_info(s);
e89f66ec
FB
449 break;
450 case 0x3c7:
451 s->dac_read_index = val;
452 s->dac_sub_index = 0;
453 s->dac_state = 3;
454 break;
455 case 0x3c8:
456 s->dac_write_index = val;
457 s->dac_sub_index = 0;
458 s->dac_state = 0;
459 break;
460 case 0x3c9:
461 s->dac_cache[s->dac_sub_index] = val;
462 if (++s->dac_sub_index == 3) {
463 memcpy(&s->palette[s->dac_write_index * 3], s->dac_cache, 3);
464 s->dac_sub_index = 0;
465 s->dac_write_index++;
466 }
467 break;
468 case 0x3ce:
469 s->gr_index = val & 0x0f;
470 break;
471 case 0x3cf:
a41bc9af
FB
472#ifdef DEBUG_VGA_REG
473 printf("vga: write GR%x = 0x%02x\n", s->gr_index, val);
474#endif
e89f66ec
FB
475 s->gr[s->gr_index] = val & gr_mask[s->gr_index];
476 break;
477 case 0x3b4:
478 case 0x3d4:
479 s->cr_index = val;
480 break;
481 case 0x3b5:
482 case 0x3d5:
a41bc9af
FB
483#ifdef DEBUG_VGA_REG
484 printf("vga: write CR%x = 0x%02x\n", s->cr_index, val);
485#endif
e89f66ec 486 /* handle CR0-7 protection */
f6c958c8 487 if ((s->cr[0x11] & 0x80) && s->cr_index <= 7) {
e89f66ec
FB
488 /* can always write bit 4 of CR7 */
489 if (s->cr_index == 7)
490 s->cr[7] = (s->cr[7] & ~0x10) | (val & 0x10);
491 return;
492 }
a46007a0 493 s->cr[s->cr_index] = val;
cb5a7aa8 494
495 switch(s->cr_index) {
496 case 0x00:
497 case 0x04:
498 case 0x05:
499 case 0x06:
500 case 0x07:
501 case 0x11:
502 case 0x17:
503 s->update_retrace_info(s);
504 break;
505 }
e89f66ec
FB
506 break;
507 case 0x3ba:
508 case 0x3da:
509 s->fcr = val & 0x10;
510 break;
511 }
512}
513
4fa0f5d2 514#ifdef CONFIG_BOCHS_VBE
09a79b49 515static uint32_t vbe_ioport_read_index(void *opaque, uint32_t addr)
4fa0f5d2 516{
cedd91d2 517 VGACommonState *s = opaque;
4fa0f5d2 518 uint32_t val;
09a79b49
FB
519 val = s->vbe_index;
520 return val;
521}
4fa0f5d2 522
09a79b49
FB
523static uint32_t vbe_ioport_read_data(void *opaque, uint32_t addr)
524{
cedd91d2 525 VGACommonState *s = opaque;
09a79b49
FB
526 uint32_t val;
527
af92284b 528 if (s->vbe_index < VBE_DISPI_INDEX_NB) {
8454df8b
FB
529 if (s->vbe_regs[VBE_DISPI_INDEX_ENABLE] & VBE_DISPI_GETCAPS) {
530 switch(s->vbe_index) {
531 /* XXX: do not hardcode ? */
532 case VBE_DISPI_INDEX_XRES:
533 val = VBE_DISPI_MAX_XRES;
534 break;
535 case VBE_DISPI_INDEX_YRES:
536 val = VBE_DISPI_MAX_YRES;
537 break;
538 case VBE_DISPI_INDEX_BPP:
539 val = VBE_DISPI_MAX_BPP;
540 break;
541 default:
5fafdf24 542 val = s->vbe_regs[s->vbe_index];
8454df8b
FB
543 break;
544 }
545 } else {
5fafdf24 546 val = s->vbe_regs[s->vbe_index];
8454df8b 547 }
af92284b
GH
548 } else if (s->vbe_index == VBE_DISPI_INDEX_VIDEO_MEMORY_64K) {
549 val = s->vram_size / (64 * 1024);
8454df8b 550 } else {
09a79b49 551 val = 0;
8454df8b 552 }
4fa0f5d2 553#ifdef DEBUG_BOCHS_VBE
09a79b49 554 printf("VBE: read index=0x%x val=0x%x\n", s->vbe_index, val);
4fa0f5d2 555#endif
4fa0f5d2
FB
556 return val;
557}
558
09a79b49
FB
559static void vbe_ioport_write_index(void *opaque, uint32_t addr, uint32_t val)
560{
cedd91d2 561 VGACommonState *s = opaque;
09a79b49
FB
562 s->vbe_index = val;
563}
564
565static void vbe_ioport_write_data(void *opaque, uint32_t addr, uint32_t val)
4fa0f5d2 566{
cedd91d2 567 VGACommonState *s = opaque;
4fa0f5d2 568
09a79b49 569 if (s->vbe_index <= VBE_DISPI_INDEX_NB) {
4fa0f5d2
FB
570#ifdef DEBUG_BOCHS_VBE
571 printf("VBE: write index=0x%x val=0x%x\n", s->vbe_index, val);
572#endif
573 switch(s->vbe_index) {
574 case VBE_DISPI_INDEX_ID:
cae61cef
FB
575 if (val == VBE_DISPI_ID0 ||
576 val == VBE_DISPI_ID1 ||
37dd208d
FB
577 val == VBE_DISPI_ID2 ||
578 val == VBE_DISPI_ID3 ||
579 val == VBE_DISPI_ID4) {
cae61cef
FB
580 s->vbe_regs[s->vbe_index] = val;
581 }
4fa0f5d2
FB
582 break;
583 case VBE_DISPI_INDEX_XRES:
cae61cef
FB
584 if ((val <= VBE_DISPI_MAX_XRES) && ((val & 7) == 0)) {
585 s->vbe_regs[s->vbe_index] = val;
586 }
4fa0f5d2
FB
587 break;
588 case VBE_DISPI_INDEX_YRES:
cae61cef
FB
589 if (val <= VBE_DISPI_MAX_YRES) {
590 s->vbe_regs[s->vbe_index] = val;
591 }
4fa0f5d2
FB
592 break;
593 case VBE_DISPI_INDEX_BPP:
594 if (val == 0)
595 val = 8;
5fafdf24 596 if (val == 4 || val == 8 || val == 15 ||
cae61cef
FB
597 val == 16 || val == 24 || val == 32) {
598 s->vbe_regs[s->vbe_index] = val;
599 }
4fa0f5d2
FB
600 break;
601 case VBE_DISPI_INDEX_BANK:
42fc925e
FB
602 if (s->vbe_regs[VBE_DISPI_INDEX_BPP] == 4) {
603 val &= (s->vbe_bank_mask >> 2);
604 } else {
605 val &= s->vbe_bank_mask;
606 }
cae61cef 607 s->vbe_regs[s->vbe_index] = val;
26aa7d72 608 s->bank_offset = (val << 16);
4fa0f5d2
FB
609 break;
610 case VBE_DISPI_INDEX_ENABLE:
8454df8b
FB
611 if ((val & VBE_DISPI_ENABLED) &&
612 !(s->vbe_regs[VBE_DISPI_INDEX_ENABLE] & VBE_DISPI_ENABLED)) {
4fa0f5d2
FB
613 int h, shift_control;
614
5fafdf24 615 s->vbe_regs[VBE_DISPI_INDEX_VIRT_WIDTH] =
4fa0f5d2 616 s->vbe_regs[VBE_DISPI_INDEX_XRES];
5fafdf24 617 s->vbe_regs[VBE_DISPI_INDEX_VIRT_HEIGHT] =
4fa0f5d2
FB
618 s->vbe_regs[VBE_DISPI_INDEX_YRES];
619 s->vbe_regs[VBE_DISPI_INDEX_X_OFFSET] = 0;
620 s->vbe_regs[VBE_DISPI_INDEX_Y_OFFSET] = 0;
3b46e624 621
4fa0f5d2
FB
622 if (s->vbe_regs[VBE_DISPI_INDEX_BPP] == 4)
623 s->vbe_line_offset = s->vbe_regs[VBE_DISPI_INDEX_XRES] >> 1;
624 else
5fafdf24 625 s->vbe_line_offset = s->vbe_regs[VBE_DISPI_INDEX_XRES] *
4fa0f5d2
FB
626 ((s->vbe_regs[VBE_DISPI_INDEX_BPP] + 7) >> 3);
627 s->vbe_start_addr = 0;
8454df8b 628
4fa0f5d2
FB
629 /* clear the screen (should be done in BIOS) */
630 if (!(val & VBE_DISPI_NOCLEARMEM)) {
5fafdf24 631 memset(s->vram_ptr, 0,
4fa0f5d2
FB
632 s->vbe_regs[VBE_DISPI_INDEX_YRES] * s->vbe_line_offset);
633 }
3b46e624 634
cae61cef
FB
635 /* we initialize the VGA graphic mode (should be done
636 in BIOS) */
637 s->gr[0x06] = (s->gr[0x06] & ~0x0c) | 0x05; /* graphic mode + memory map 1 */
4fa0f5d2
FB
638 s->cr[0x17] |= 3; /* no CGA modes */
639 s->cr[0x13] = s->vbe_line_offset >> 3;
640 /* width */
641 s->cr[0x01] = (s->vbe_regs[VBE_DISPI_INDEX_XRES] >> 3) - 1;
8454df8b 642 /* height (only meaningful if < 1024) */
4fa0f5d2
FB
643 h = s->vbe_regs[VBE_DISPI_INDEX_YRES] - 1;
644 s->cr[0x12] = h;
5fafdf24 645 s->cr[0x07] = (s->cr[0x07] & ~0x42) |
4fa0f5d2
FB
646 ((h >> 7) & 0x02) | ((h >> 3) & 0x40);
647 /* line compare to 1023 */
648 s->cr[0x18] = 0xff;
649 s->cr[0x07] |= 0x10;
650 s->cr[0x09] |= 0x40;
3b46e624 651
4fa0f5d2
FB
652 if (s->vbe_regs[VBE_DISPI_INDEX_BPP] == 4) {
653 shift_control = 0;
654 s->sr[0x01] &= ~8; /* no double line */
655 } else {
656 shift_control = 2;
646be93b 657 s->sr[4] |= 0x08; /* set chain 4 mode */
141253b2 658 s->sr[2] |= 0x0f; /* activate all planes */
4fa0f5d2
FB
659 }
660 s->gr[0x05] = (s->gr[0x05] & ~0x60) | (shift_control << 5);
661 s->cr[0x09] &= ~0x9f; /* no double scan */
cae61cef
FB
662 } else {
663 /* XXX: the bios should do that */
26aa7d72 664 s->bank_offset = 0;
cae61cef 665 }
37dd208d 666 s->dac_8bit = (val & VBE_DISPI_8BIT_DAC) > 0;
141253b2 667 s->vbe_regs[s->vbe_index] = val;
cae61cef
FB
668 break;
669 case VBE_DISPI_INDEX_VIRT_WIDTH:
670 {
671 int w, h, line_offset;
672
673 if (val < s->vbe_regs[VBE_DISPI_INDEX_XRES])
674 return;
675 w = val;
676 if (s->vbe_regs[VBE_DISPI_INDEX_BPP] == 4)
677 line_offset = w >> 1;
678 else
679 line_offset = w * ((s->vbe_regs[VBE_DISPI_INDEX_BPP] + 7) >> 3);
680 h = s->vram_size / line_offset;
681 /* XXX: support weird bochs semantics ? */
682 if (h < s->vbe_regs[VBE_DISPI_INDEX_YRES])
683 return;
684 s->vbe_regs[VBE_DISPI_INDEX_VIRT_WIDTH] = w;
685 s->vbe_regs[VBE_DISPI_INDEX_VIRT_HEIGHT] = h;
686 s->vbe_line_offset = line_offset;
687 }
688 break;
689 case VBE_DISPI_INDEX_X_OFFSET:
690 case VBE_DISPI_INDEX_Y_OFFSET:
691 {
692 int x;
693 s->vbe_regs[s->vbe_index] = val;
694 s->vbe_start_addr = s->vbe_line_offset * s->vbe_regs[VBE_DISPI_INDEX_Y_OFFSET];
695 x = s->vbe_regs[VBE_DISPI_INDEX_X_OFFSET];
696 if (s->vbe_regs[VBE_DISPI_INDEX_BPP] == 4)
697 s->vbe_start_addr += x >> 1;
698 else
699 s->vbe_start_addr += x * ((s->vbe_regs[VBE_DISPI_INDEX_BPP] + 7) >> 3);
700 s->vbe_start_addr >>= 2;
4fa0f5d2
FB
701 }
702 break;
703 default:
704 break;
705 }
4fa0f5d2
FB
706 }
707}
708#endif
709
e89f66ec 710/* called for accesses between 0xa0000 and 0xc0000 */
c227f099 711uint32_t vga_mem_readb(void *opaque, target_phys_addr_t addr)
e89f66ec 712{
cedd91d2 713 VGACommonState *s = opaque;
e89f66ec
FB
714 int memory_map_mode, plane;
715 uint32_t ret;
3b46e624 716
e89f66ec
FB
717 /* convert to VGA memory offset */
718 memory_map_mode = (s->gr[6] >> 2) & 3;
26aa7d72 719 addr &= 0x1ffff;
e89f66ec
FB
720 switch(memory_map_mode) {
721 case 0:
e89f66ec
FB
722 break;
723 case 1:
26aa7d72 724 if (addr >= 0x10000)
e89f66ec 725 return 0xff;
cae61cef 726 addr += s->bank_offset;
e89f66ec
FB
727 break;
728 case 2:
26aa7d72 729 addr -= 0x10000;
e89f66ec
FB
730 if (addr >= 0x8000)
731 return 0xff;
732 break;
733 default:
734 case 3:
26aa7d72 735 addr -= 0x18000;
c92b2e84
FB
736 if (addr >= 0x8000)
737 return 0xff;
e89f66ec
FB
738 break;
739 }
3b46e624 740
e89f66ec
FB
741 if (s->sr[4] & 0x08) {
742 /* chain 4 mode : simplest access */
743 ret = s->vram_ptr[addr];
744 } else if (s->gr[5] & 0x10) {
745 /* odd/even mode (aka text mode mapping) */
746 plane = (s->gr[4] & 2) | (addr & 1);
747 ret = s->vram_ptr[((addr & ~1) << 1) | plane];
748 } else {
749 /* standard VGA latched access */
750 s->latch = ((uint32_t *)s->vram_ptr)[addr];
751
752 if (!(s->gr[5] & 0x08)) {
753 /* read mode 0 */
754 plane = s->gr[4];
b8ed223b 755 ret = GET_PLANE(s->latch, plane);
e89f66ec
FB
756 } else {
757 /* read mode 1 */
758 ret = (s->latch ^ mask16[s->gr[2]]) & mask16[s->gr[7]];
759 ret |= ret >> 16;
760 ret |= ret >> 8;
761 ret = (~ret) & 0xff;
762 }
763 }
764 return ret;
765}
766
c227f099 767static uint32_t vga_mem_readw(void *opaque, target_phys_addr_t addr)
e89f66ec
FB
768{
769 uint32_t v;
a4193c8a
FB
770 v = vga_mem_readb(opaque, addr);
771 v |= vga_mem_readb(opaque, addr + 1) << 8;
e89f66ec
FB
772 return v;
773}
774
c227f099 775static uint32_t vga_mem_readl(void *opaque, target_phys_addr_t addr)
e89f66ec
FB
776{
777 uint32_t v;
a4193c8a
FB
778 v = vga_mem_readb(opaque, addr);
779 v |= vga_mem_readb(opaque, addr + 1) << 8;
780 v |= vga_mem_readb(opaque, addr + 2) << 16;
781 v |= vga_mem_readb(opaque, addr + 3) << 24;
e89f66ec
FB
782 return v;
783}
784
e89f66ec 785/* called for accesses between 0xa0000 and 0xc0000 */
c227f099 786void vga_mem_writeb(void *opaque, target_phys_addr_t addr, uint32_t val)
e89f66ec 787{
cedd91d2 788 VGACommonState *s = opaque;
546fa6ab 789 int memory_map_mode, plane, write_mode, b, func_select, mask;
e89f66ec
FB
790 uint32_t write_mask, bit_mask, set_mask;
791
17b0018b 792#ifdef DEBUG_VGA_MEM
0bf9e31a 793 printf("vga: [0x" TARGET_FMT_plx "] = 0x%02x\n", addr, val);
e89f66ec
FB
794#endif
795 /* convert to VGA memory offset */
796 memory_map_mode = (s->gr[6] >> 2) & 3;
26aa7d72 797 addr &= 0x1ffff;
e89f66ec
FB
798 switch(memory_map_mode) {
799 case 0:
e89f66ec
FB
800 break;
801 case 1:
26aa7d72 802 if (addr >= 0x10000)
e89f66ec 803 return;
cae61cef 804 addr += s->bank_offset;
e89f66ec
FB
805 break;
806 case 2:
26aa7d72 807 addr -= 0x10000;
e89f66ec
FB
808 if (addr >= 0x8000)
809 return;
810 break;
811 default:
812 case 3:
26aa7d72 813 addr -= 0x18000;
c92b2e84
FB
814 if (addr >= 0x8000)
815 return;
e89f66ec
FB
816 break;
817 }
3b46e624 818
e89f66ec
FB
819 if (s->sr[4] & 0x08) {
820 /* chain 4 mode : simplest access */
821 plane = addr & 3;
546fa6ab
FB
822 mask = (1 << plane);
823 if (s->sr[2] & mask) {
e89f66ec 824 s->vram_ptr[addr] = val;
17b0018b 825#ifdef DEBUG_VGA_MEM
0bf9e31a 826 printf("vga: chain4: [0x" TARGET_FMT_plx "]\n", addr);
e89f66ec 827#endif
546fa6ab 828 s->plane_updated |= mask; /* only used to detect font change */
b1950430 829 memory_region_set_dirty(&s->vram, addr);
e89f66ec
FB
830 }
831 } else if (s->gr[5] & 0x10) {
832 /* odd/even mode (aka text mode mapping) */
833 plane = (s->gr[4] & 2) | (addr & 1);
546fa6ab
FB
834 mask = (1 << plane);
835 if (s->sr[2] & mask) {
e89f66ec
FB
836 addr = ((addr & ~1) << 1) | plane;
837 s->vram_ptr[addr] = val;
17b0018b 838#ifdef DEBUG_VGA_MEM
0bf9e31a 839 printf("vga: odd/even: [0x" TARGET_FMT_plx "]\n", addr);
e89f66ec 840#endif
546fa6ab 841 s->plane_updated |= mask; /* only used to detect font change */
b1950430 842 memory_region_set_dirty(&s->vram, addr);
e89f66ec
FB
843 }
844 } else {
845 /* standard VGA latched access */
846 write_mode = s->gr[5] & 3;
847 switch(write_mode) {
848 default:
849 case 0:
850 /* rotate */
851 b = s->gr[3] & 7;
852 val = ((val >> b) | (val << (8 - b))) & 0xff;
853 val |= val << 8;
854 val |= val << 16;
855
856 /* apply set/reset mask */
857 set_mask = mask16[s->gr[1]];
858 val = (val & ~set_mask) | (mask16[s->gr[0]] & set_mask);
859 bit_mask = s->gr[8];
860 break;
861 case 1:
862 val = s->latch;
863 goto do_write;
864 case 2:
865 val = mask16[val & 0x0f];
866 bit_mask = s->gr[8];
867 break;
868 case 3:
869 /* rotate */
870 b = s->gr[3] & 7;
a41bc9af 871 val = (val >> b) | (val << (8 - b));
e89f66ec
FB
872
873 bit_mask = s->gr[8] & val;
874 val = mask16[s->gr[0]];
875 break;
876 }
877
878 /* apply logical operation */
879 func_select = s->gr[3] >> 3;
880 switch(func_select) {
881 case 0:
882 default:
883 /* nothing to do */
884 break;
885 case 1:
886 /* and */
887 val &= s->latch;
888 break;
889 case 2:
890 /* or */
891 val |= s->latch;
892 break;
893 case 3:
894 /* xor */
895 val ^= s->latch;
896 break;
897 }
898
899 /* apply bit mask */
900 bit_mask |= bit_mask << 8;
901 bit_mask |= bit_mask << 16;
902 val = (val & bit_mask) | (s->latch & ~bit_mask);
903
904 do_write:
905 /* mask data according to sr[2] */
546fa6ab
FB
906 mask = s->sr[2];
907 s->plane_updated |= mask; /* only used to detect font change */
908 write_mask = mask16[mask];
5fafdf24
TS
909 ((uint32_t *)s->vram_ptr)[addr] =
910 (((uint32_t *)s->vram_ptr)[addr] & ~write_mask) |
e89f66ec 911 (val & write_mask);
17b0018b 912#ifdef DEBUG_VGA_MEM
0bf9e31a
BS
913 printf("vga: latch: [0x" TARGET_FMT_plx "] mask=0x%08x val=0x%08x\n",
914 addr * 4, write_mask, val);
e89f66ec 915#endif
b1950430 916 memory_region_set_dirty(&s->vram, addr << 2);
e89f66ec
FB
917 }
918}
919
c227f099 920static void vga_mem_writew(void *opaque, target_phys_addr_t addr, uint32_t val)
e89f66ec 921{
a4193c8a
FB
922 vga_mem_writeb(opaque, addr, val & 0xff);
923 vga_mem_writeb(opaque, addr + 1, (val >> 8) & 0xff);
e89f66ec
FB
924}
925
c227f099 926static void vga_mem_writel(void *opaque, target_phys_addr_t addr, uint32_t val)
e89f66ec 927{
a4193c8a
FB
928 vga_mem_writeb(opaque, addr, val & 0xff);
929 vga_mem_writeb(opaque, addr + 1, (val >> 8) & 0xff);
930 vga_mem_writeb(opaque, addr + 2, (val >> 16) & 0xff);
931 vga_mem_writeb(opaque, addr + 3, (val >> 24) & 0xff);
e89f66ec
FB
932}
933
e89f66ec
FB
934typedef void vga_draw_glyph8_func(uint8_t *d, int linesize,
935 const uint8_t *font_ptr, int h,
936 uint32_t fgcol, uint32_t bgcol);
937typedef void vga_draw_glyph9_func(uint8_t *d, int linesize,
5fafdf24 938 const uint8_t *font_ptr, int h,
e89f66ec 939 uint32_t fgcol, uint32_t bgcol, int dup9);
cedd91d2 940typedef void vga_draw_line_func(VGACommonState *s1, uint8_t *d,
e89f66ec
FB
941 const uint8_t *s, int width);
942
e89f66ec
FB
943#define DEPTH 8
944#include "vga_template.h"
945
946#define DEPTH 15
947#include "vga_template.h"
948
a2502b58
BS
949#define BGR_FORMAT
950#define DEPTH 15
951#include "vga_template.h"
952
953#define DEPTH 16
954#include "vga_template.h"
955
956#define BGR_FORMAT
e89f66ec
FB
957#define DEPTH 16
958#include "vga_template.h"
959
960#define DEPTH 32
961#include "vga_template.h"
962
d3079cd2
FB
963#define BGR_FORMAT
964#define DEPTH 32
965#include "vga_template.h"
966
17b0018b
FB
967static unsigned int rgb_to_pixel8_dup(unsigned int r, unsigned int g, unsigned b)
968{
969 unsigned int col;
970 col = rgb_to_pixel8(r, g, b);
971 col |= col << 8;
972 col |= col << 16;
973 return col;
974}
975
976static unsigned int rgb_to_pixel15_dup(unsigned int r, unsigned int g, unsigned b)
977{
978 unsigned int col;
979 col = rgb_to_pixel15(r, g, b);
980 col |= col << 16;
981 return col;
982}
983
b29169d2
BS
984static unsigned int rgb_to_pixel15bgr_dup(unsigned int r, unsigned int g,
985 unsigned int b)
986{
987 unsigned int col;
988 col = rgb_to_pixel15bgr(r, g, b);
989 col |= col << 16;
990 return col;
991}
992
17b0018b
FB
993static unsigned int rgb_to_pixel16_dup(unsigned int r, unsigned int g, unsigned b)
994{
995 unsigned int col;
996 col = rgb_to_pixel16(r, g, b);
997 col |= col << 16;
998 return col;
999}
1000
b29169d2
BS
1001static unsigned int rgb_to_pixel16bgr_dup(unsigned int r, unsigned int g,
1002 unsigned int b)
1003{
1004 unsigned int col;
1005 col = rgb_to_pixel16bgr(r, g, b);
1006 col |= col << 16;
1007 return col;
1008}
1009
17b0018b
FB
1010static unsigned int rgb_to_pixel32_dup(unsigned int r, unsigned int g, unsigned b)
1011{
1012 unsigned int col;
1013 col = rgb_to_pixel32(r, g, b);
1014 return col;
1015}
1016
d3079cd2
FB
1017static unsigned int rgb_to_pixel32bgr_dup(unsigned int r, unsigned int g, unsigned b)
1018{
1019 unsigned int col;
1020 col = rgb_to_pixel32bgr(r, g, b);
1021 return col;
1022}
1023
e89f66ec 1024/* return true if the palette was modified */
cedd91d2 1025static int update_palette16(VGACommonState *s)
e89f66ec 1026{
17b0018b 1027 int full_update, i;
e89f66ec 1028 uint32_t v, col, *palette;
e89f66ec
FB
1029
1030 full_update = 0;
1031 palette = s->last_palette;
1032 for(i = 0; i < 16; i++) {
1033 v = s->ar[i];
1034 if (s->ar[0x10] & 0x80)
1035 v = ((s->ar[0x14] & 0xf) << 4) | (v & 0xf);
1036 else
1037 v = ((s->ar[0x14] & 0xc) << 4) | (v & 0x3f);
1038 v = v * 3;
5fafdf24
TS
1039 col = s->rgb_to_pixel(c6_to_8(s->palette[v]),
1040 c6_to_8(s->palette[v + 1]),
17b0018b
FB
1041 c6_to_8(s->palette[v + 2]));
1042 if (col != palette[i]) {
1043 full_update = 1;
1044 palette[i] = col;
e89f66ec 1045 }
17b0018b
FB
1046 }
1047 return full_update;
1048}
1049
1050/* return true if the palette was modified */
cedd91d2 1051static int update_palette256(VGACommonState *s)
17b0018b
FB
1052{
1053 int full_update, i;
1054 uint32_t v, col, *palette;
1055
1056 full_update = 0;
1057 palette = s->last_palette;
1058 v = 0;
1059 for(i = 0; i < 256; i++) {
37dd208d 1060 if (s->dac_8bit) {
5fafdf24
TS
1061 col = s->rgb_to_pixel(s->palette[v],
1062 s->palette[v + 1],
37dd208d
FB
1063 s->palette[v + 2]);
1064 } else {
5fafdf24
TS
1065 col = s->rgb_to_pixel(c6_to_8(s->palette[v]),
1066 c6_to_8(s->palette[v + 1]),
37dd208d
FB
1067 c6_to_8(s->palette[v + 2]));
1068 }
e89f66ec
FB
1069 if (col != palette[i]) {
1070 full_update = 1;
1071 palette[i] = col;
1072 }
17b0018b 1073 v += 3;
e89f66ec
FB
1074 }
1075 return full_update;
1076}
1077
cedd91d2 1078static void vga_get_offsets(VGACommonState *s,
5fafdf24 1079 uint32_t *pline_offset,
83acc96b
FB
1080 uint32_t *pstart_addr,
1081 uint32_t *pline_compare)
e89f66ec 1082{
83acc96b 1083 uint32_t start_addr, line_offset, line_compare;
4fa0f5d2
FB
1084#ifdef CONFIG_BOCHS_VBE
1085 if (s->vbe_regs[VBE_DISPI_INDEX_ENABLE] & VBE_DISPI_ENABLED) {
1086 line_offset = s->vbe_line_offset;
1087 start_addr = s->vbe_start_addr;
83acc96b 1088 line_compare = 65535;
4fa0f5d2
FB
1089 } else
1090#endif
3b46e624 1091 {
4fa0f5d2
FB
1092 /* compute line_offset in bytes */
1093 line_offset = s->cr[0x13];
4fa0f5d2 1094 line_offset <<= 3;
08e48902 1095
4fa0f5d2
FB
1096 /* starting address */
1097 start_addr = s->cr[0x0d] | (s->cr[0x0c] << 8);
83acc96b
FB
1098
1099 /* line compare */
5fafdf24 1100 line_compare = s->cr[0x18] |
83acc96b
FB
1101 ((s->cr[0x07] & 0x10) << 4) |
1102 ((s->cr[0x09] & 0x40) << 3);
4fa0f5d2 1103 }
798b0c25
FB
1104 *pline_offset = line_offset;
1105 *pstart_addr = start_addr;
83acc96b 1106 *pline_compare = line_compare;
798b0c25
FB
1107}
1108
1109/* update start_addr and line_offset. Return TRUE if modified */
cedd91d2 1110static int update_basic_params(VGACommonState *s)
798b0c25
FB
1111{
1112 int full_update;
1113 uint32_t start_addr, line_offset, line_compare;
3b46e624 1114
798b0c25
FB
1115 full_update = 0;
1116
83acc96b 1117 s->get_offsets(s, &line_offset, &start_addr, &line_compare);
e89f66ec
FB
1118
1119 if (line_offset != s->line_offset ||
1120 start_addr != s->start_addr ||
1121 line_compare != s->line_compare) {
1122 s->line_offset = line_offset;
1123 s->start_addr = start_addr;
1124 s->line_compare = line_compare;
1125 full_update = 1;
1126 }
1127 return full_update;
1128}
1129
b29169d2 1130#define NB_DEPTHS 7
d3079cd2
FB
1131
1132static inline int get_depth_index(DisplayState *s)
e89f66ec 1133{
0e1f5a0c 1134 switch(ds_get_bits_per_pixel(s)) {
e89f66ec
FB
1135 default:
1136 case 8:
1137 return 0;
1138 case 15:
8927bcfd 1139 return 1;
e89f66ec 1140 case 16:
8927bcfd 1141 return 2;
e89f66ec 1142 case 32:
7b5d76da
AL
1143 if (is_surface_bgr(s->surface))
1144 return 4;
1145 else
1146 return 3;
e89f66ec
FB
1147 }
1148}
1149
68f04a3c 1150static vga_draw_glyph8_func * const vga_draw_glyph8_table[NB_DEPTHS] = {
e89f66ec
FB
1151 vga_draw_glyph8_8,
1152 vga_draw_glyph8_16,
1153 vga_draw_glyph8_16,
1154 vga_draw_glyph8_32,
d3079cd2 1155 vga_draw_glyph8_32,
b29169d2
BS
1156 vga_draw_glyph8_16,
1157 vga_draw_glyph8_16,
e89f66ec
FB
1158};
1159
68f04a3c 1160static vga_draw_glyph8_func * const vga_draw_glyph16_table[NB_DEPTHS] = {
17b0018b
FB
1161 vga_draw_glyph16_8,
1162 vga_draw_glyph16_16,
1163 vga_draw_glyph16_16,
1164 vga_draw_glyph16_32,
d3079cd2 1165 vga_draw_glyph16_32,
b29169d2
BS
1166 vga_draw_glyph16_16,
1167 vga_draw_glyph16_16,
17b0018b
FB
1168};
1169
68f04a3c 1170static vga_draw_glyph9_func * const vga_draw_glyph9_table[NB_DEPTHS] = {
e89f66ec
FB
1171 vga_draw_glyph9_8,
1172 vga_draw_glyph9_16,
1173 vga_draw_glyph9_16,
1174 vga_draw_glyph9_32,
d3079cd2 1175 vga_draw_glyph9_32,
b29169d2
BS
1176 vga_draw_glyph9_16,
1177 vga_draw_glyph9_16,
e89f66ec 1178};
3b46e624 1179
e89f66ec
FB
1180static const uint8_t cursor_glyph[32 * 4] = {
1181 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1182 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1183 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1184 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1185 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1186 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1187 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1188 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1189 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1190 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1191 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1192 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1193 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1194 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1195 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
1196 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
3b46e624 1197};
e89f66ec 1198
cedd91d2 1199static void vga_get_text_resolution(VGACommonState *s, int *pwidth, int *pheight,
4c5e8c5c
BS
1200 int *pcwidth, int *pcheight)
1201{
1202 int width, cwidth, height, cheight;
1203
1204 /* total width & height */
1205 cheight = (s->cr[9] & 0x1f) + 1;
1206 cwidth = 8;
1207 if (!(s->sr[1] & 0x01))
1208 cwidth = 9;
1209 if (s->sr[1] & 0x08)
1210 cwidth = 16; /* NOTE: no 18 pixel wide */
1211 width = (s->cr[0x01] + 1);
1212 if (s->cr[0x06] == 100) {
1213 /* ugly hack for CGA 160x100x16 - explain me the logic */
1214 height = 100;
1215 } else {
1216 height = s->cr[0x12] |
1217 ((s->cr[0x07] & 0x02) << 7) |
1218 ((s->cr[0x07] & 0x40) << 3);
1219 height = (height + 1) / cheight;
1220 }
1221
1222 *pwidth = width;
1223 *pheight = height;
1224 *pcwidth = cwidth;
1225 *pcheight = cheight;
1226}
1227
7d957bd8
AL
1228typedef unsigned int rgb_to_pixel_dup_func(unsigned int r, unsigned int g, unsigned b);
1229
68f04a3c 1230static rgb_to_pixel_dup_func * const rgb_to_pixel_dup_table[NB_DEPTHS] = {
bdb19571
AL
1231 rgb_to_pixel8_dup,
1232 rgb_to_pixel15_dup,
1233 rgb_to_pixel16_dup,
1234 rgb_to_pixel32_dup,
1235 rgb_to_pixel32bgr_dup,
1236 rgb_to_pixel15bgr_dup,
1237 rgb_to_pixel16bgr_dup,
1238};
7d957bd8 1239
5fafdf24
TS
1240/*
1241 * Text mode update
e89f66ec
FB
1242 * Missing:
1243 * - double scan
5fafdf24 1244 * - double width
e89f66ec
FB
1245 * - underline
1246 * - flashing
1247 */
cedd91d2 1248static void vga_draw_text(VGACommonState *s, int full_update)
e89f66ec
FB
1249{
1250 int cx, cy, cheight, cw, ch, cattr, height, width, ch_attr;
cae334cd 1251 int cx_min, cx_max, linesize, x_incr, line, line1;
e89f66ec 1252 uint32_t offset, fgcol, bgcol, v, cursor_offset;
d1984194 1253 uint8_t *d1, *d, *src, *dest, *cursor_ptr;
e89f66ec
FB
1254 const uint8_t *font_ptr, *font_base[2];
1255 int dup9, line_offset, depth_index;
1256 uint32_t *palette;
1257 uint32_t *ch_attr_ptr;
1258 vga_draw_glyph8_func *vga_draw_glyph8;
1259 vga_draw_glyph9_func *vga_draw_glyph9;
1260
e89f66ec
FB
1261 /* compute font data address (in plane 2) */
1262 v = s->sr[3];
1078f663 1263 offset = (((v >> 4) & 1) | ((v << 1) & 6)) * 8192 * 4 + 2;
e89f66ec
FB
1264 if (offset != s->font_offsets[0]) {
1265 s->font_offsets[0] = offset;
1266 full_update = 1;
1267 }
1268 font_base[0] = s->vram_ptr + offset;
1269
1078f663 1270 offset = (((v >> 5) & 1) | ((v >> 1) & 6)) * 8192 * 4 + 2;
e89f66ec
FB
1271 font_base[1] = s->vram_ptr + offset;
1272 if (offset != s->font_offsets[1]) {
1273 s->font_offsets[1] = offset;
1274 full_update = 1;
1275 }
546fa6ab
FB
1276 if (s->plane_updated & (1 << 2)) {
1277 /* if the plane 2 was modified since the last display, it
1278 indicates the font may have been modified */
1279 s->plane_updated = 0;
1280 full_update = 1;
1281 }
799e709b 1282 full_update |= update_basic_params(s);
e89f66ec
FB
1283
1284 line_offset = s->line_offset;
e89f66ec 1285
4c5e8c5c 1286 vga_get_text_resolution(s, &width, &height, &cw, &cheight);
3294b949
FB
1287 if ((height * width) > CH_ATTR_SIZE) {
1288 /* better than nothing: exit if transient size is too big */
1289 return;
1290 }
1291
799e709b
AL
1292 if (width != s->last_width || height != s->last_height ||
1293 cw != s->last_cw || cheight != s->last_ch || s->last_depth) {
1294 s->last_scr_width = width * cw;
1295 s->last_scr_height = height * cheight;
1296 qemu_console_resize(s->ds, s->last_scr_width, s->last_scr_height);
1297 s->last_depth = 0;
1298 s->last_width = width;
1299 s->last_height = height;
1300 s->last_ch = cheight;
1301 s->last_cw = cw;
1302 full_update = 1;
1303 }
7d957bd8
AL
1304 s->rgb_to_pixel =
1305 rgb_to_pixel_dup_table[get_depth_index(s->ds)];
1306 full_update |= update_palette16(s);
1307 palette = s->last_palette;
1308 x_incr = cw * ((ds_get_bits_per_pixel(s->ds) + 7) >> 3);
1309
e89f66ec
FB
1310 cursor_offset = ((s->cr[0x0e] << 8) | s->cr[0x0f]) - s->start_addr;
1311 if (cursor_offset != s->cursor_offset ||
1312 s->cr[0xa] != s->cursor_start ||
1313 s->cr[0xb] != s->cursor_end) {
1314 /* if the cursor position changed, we update the old and new
1315 chars */
1316 if (s->cursor_offset < CH_ATTR_SIZE)
1317 s->last_ch_attr[s->cursor_offset] = -1;
1318 if (cursor_offset < CH_ATTR_SIZE)
1319 s->last_ch_attr[cursor_offset] = -1;
1320 s->cursor_offset = cursor_offset;
1321 s->cursor_start = s->cr[0xa];
1322 s->cursor_end = s->cr[0xb];
1323 }
39cf7803 1324 cursor_ptr = s->vram_ptr + (s->start_addr + cursor_offset) * 4;
3b46e624 1325
d3079cd2 1326 depth_index = get_depth_index(s->ds);
17b0018b
FB
1327 if (cw == 16)
1328 vga_draw_glyph8 = vga_draw_glyph16_table[depth_index];
1329 else
1330 vga_draw_glyph8 = vga_draw_glyph8_table[depth_index];
e89f66ec 1331 vga_draw_glyph9 = vga_draw_glyph9_table[depth_index];
3b46e624 1332
0e1f5a0c
AL
1333 dest = ds_get_data(s->ds);
1334 linesize = ds_get_linesize(s->ds);
e89f66ec 1335 ch_attr_ptr = s->last_ch_attr;
d1984194 1336 line = 0;
1337 offset = s->start_addr * 4;
e89f66ec
FB
1338 for(cy = 0; cy < height; cy++) {
1339 d1 = dest;
d1984194 1340 src = s->vram_ptr + offset;
e89f66ec
FB
1341 cx_min = width;
1342 cx_max = -1;
1343 for(cx = 0; cx < width; cx++) {
1344 ch_attr = *(uint16_t *)src;
1345 if (full_update || ch_attr != *ch_attr_ptr) {
1346 if (cx < cx_min)
1347 cx_min = cx;
1348 if (cx > cx_max)
1349 cx_max = cx;
1350 *ch_attr_ptr = ch_attr;
e2542fe2 1351#ifdef HOST_WORDS_BIGENDIAN
e89f66ec
FB
1352 ch = ch_attr >> 8;
1353 cattr = ch_attr & 0xff;
1354#else
1355 ch = ch_attr & 0xff;
1356 cattr = ch_attr >> 8;
1357#endif
1358 font_ptr = font_base[(cattr >> 3) & 1];
1359 font_ptr += 32 * 4 * ch;
1360 bgcol = palette[cattr >> 4];
1361 fgcol = palette[cattr & 0x0f];
17b0018b 1362 if (cw != 9) {
5fafdf24 1363 vga_draw_glyph8(d1, linesize,
e89f66ec
FB
1364 font_ptr, cheight, fgcol, bgcol);
1365 } else {
1366 dup9 = 0;
1367 if (ch >= 0xb0 && ch <= 0xdf && (s->ar[0x10] & 0x04))
1368 dup9 = 1;
5fafdf24 1369 vga_draw_glyph9(d1, linesize,
e89f66ec
FB
1370 font_ptr, cheight, fgcol, bgcol, dup9);
1371 }
1372 if (src == cursor_ptr &&
1373 !(s->cr[0x0a] & 0x20)) {
1374 int line_start, line_last, h;
1375 /* draw the cursor */
1376 line_start = s->cr[0x0a] & 0x1f;
1377 line_last = s->cr[0x0b] & 0x1f;
1378 /* XXX: check that */
1379 if (line_last > cheight - 1)
1380 line_last = cheight - 1;
1381 if (line_last >= line_start && line_start < cheight) {
1382 h = line_last - line_start + 1;
1383 d = d1 + linesize * line_start;
17b0018b 1384 if (cw != 9) {
5fafdf24 1385 vga_draw_glyph8(d, linesize,
e89f66ec
FB
1386 cursor_glyph, h, fgcol, bgcol);
1387 } else {
5fafdf24 1388 vga_draw_glyph9(d, linesize,
e89f66ec
FB
1389 cursor_glyph, h, fgcol, bgcol, 1);
1390 }
1391 }
1392 }
1393 }
1394 d1 += x_incr;
1395 src += 4;
1396 ch_attr_ptr++;
1397 }
1398 if (cx_max != -1) {
5fafdf24 1399 dpy_update(s->ds, cx_min * cw, cy * cheight,
e89f66ec
FB
1400 (cx_max - cx_min + 1) * cw, cheight);
1401 }
1402 dest += linesize * cheight;
cae334cd 1403 line1 = line + cheight;
1404 offset += line_offset;
1405 if (line < s->line_compare && line1 >= s->line_compare) {
d1984194 1406 offset = 0;
1407 }
cae334cd 1408 line = line1;
e89f66ec
FB
1409 }
1410}
1411
17b0018b
FB
1412enum {
1413 VGA_DRAW_LINE2,
1414 VGA_DRAW_LINE2D2,
1415 VGA_DRAW_LINE4,
1416 VGA_DRAW_LINE4D2,
1417 VGA_DRAW_LINE8D2,
1418 VGA_DRAW_LINE8,
1419 VGA_DRAW_LINE15,
1420 VGA_DRAW_LINE16,
4fa0f5d2 1421 VGA_DRAW_LINE24,
17b0018b
FB
1422 VGA_DRAW_LINE32,
1423 VGA_DRAW_LINE_NB,
1424};
1425
68f04a3c 1426static vga_draw_line_func * const vga_draw_line_table[NB_DEPTHS * VGA_DRAW_LINE_NB] = {
e89f66ec
FB
1427 vga_draw_line2_8,
1428 vga_draw_line2_16,
1429 vga_draw_line2_16,
1430 vga_draw_line2_32,
d3079cd2 1431 vga_draw_line2_32,
b29169d2
BS
1432 vga_draw_line2_16,
1433 vga_draw_line2_16,
e89f66ec 1434
17b0018b
FB
1435 vga_draw_line2d2_8,
1436 vga_draw_line2d2_16,
1437 vga_draw_line2d2_16,
1438 vga_draw_line2d2_32,
d3079cd2 1439 vga_draw_line2d2_32,
b29169d2
BS
1440 vga_draw_line2d2_16,
1441 vga_draw_line2d2_16,
17b0018b 1442
e89f66ec
FB
1443 vga_draw_line4_8,
1444 vga_draw_line4_16,
1445 vga_draw_line4_16,
1446 vga_draw_line4_32,
d3079cd2 1447 vga_draw_line4_32,
b29169d2
BS
1448 vga_draw_line4_16,
1449 vga_draw_line4_16,
e89f66ec 1450
17b0018b
FB
1451 vga_draw_line4d2_8,
1452 vga_draw_line4d2_16,
1453 vga_draw_line4d2_16,
1454 vga_draw_line4d2_32,
d3079cd2 1455 vga_draw_line4d2_32,
b29169d2
BS
1456 vga_draw_line4d2_16,
1457 vga_draw_line4d2_16,
17b0018b
FB
1458
1459 vga_draw_line8d2_8,
1460 vga_draw_line8d2_16,
1461 vga_draw_line8d2_16,
1462 vga_draw_line8d2_32,
d3079cd2 1463 vga_draw_line8d2_32,
b29169d2
BS
1464 vga_draw_line8d2_16,
1465 vga_draw_line8d2_16,
17b0018b 1466
e89f66ec
FB
1467 vga_draw_line8_8,
1468 vga_draw_line8_16,
1469 vga_draw_line8_16,
1470 vga_draw_line8_32,
d3079cd2 1471 vga_draw_line8_32,
b29169d2
BS
1472 vga_draw_line8_16,
1473 vga_draw_line8_16,
e89f66ec
FB
1474
1475 vga_draw_line15_8,
1476 vga_draw_line15_15,
1477 vga_draw_line15_16,
1478 vga_draw_line15_32,
d3079cd2 1479 vga_draw_line15_32bgr,
b29169d2
BS
1480 vga_draw_line15_15bgr,
1481 vga_draw_line15_16bgr,
e89f66ec
FB
1482
1483 vga_draw_line16_8,
1484 vga_draw_line16_15,
1485 vga_draw_line16_16,
1486 vga_draw_line16_32,
d3079cd2 1487 vga_draw_line16_32bgr,
b29169d2
BS
1488 vga_draw_line16_15bgr,
1489 vga_draw_line16_16bgr,
e89f66ec 1490
4fa0f5d2
FB
1491 vga_draw_line24_8,
1492 vga_draw_line24_15,
1493 vga_draw_line24_16,
1494 vga_draw_line24_32,
d3079cd2 1495 vga_draw_line24_32bgr,
b29169d2
BS
1496 vga_draw_line24_15bgr,
1497 vga_draw_line24_16bgr,
4fa0f5d2 1498
e89f66ec
FB
1499 vga_draw_line32_8,
1500 vga_draw_line32_15,
1501 vga_draw_line32_16,
1502 vga_draw_line32_32,
d3079cd2 1503 vga_draw_line32_32bgr,
b29169d2
BS
1504 vga_draw_line32_15bgr,
1505 vga_draw_line32_16bgr,
d3079cd2
FB
1506};
1507
cedd91d2 1508static int vga_get_bpp(VGACommonState *s)
798b0c25
FB
1509{
1510 int ret;
1511#ifdef CONFIG_BOCHS_VBE
1512 if (s->vbe_regs[VBE_DISPI_INDEX_ENABLE] & VBE_DISPI_ENABLED) {
1513 ret = s->vbe_regs[VBE_DISPI_INDEX_BPP];
5fafdf24 1514 } else
798b0c25
FB
1515#endif
1516 {
1517 ret = 0;
1518 }
1519 return ret;
1520}
1521
cedd91d2 1522static void vga_get_resolution(VGACommonState *s, int *pwidth, int *pheight)
a130a41e
FB
1523{
1524 int width, height;
3b46e624 1525
8454df8b
FB
1526#ifdef CONFIG_BOCHS_VBE
1527 if (s->vbe_regs[VBE_DISPI_INDEX_ENABLE] & VBE_DISPI_ENABLED) {
1528 width = s->vbe_regs[VBE_DISPI_INDEX_XRES];
1529 height = s->vbe_regs[VBE_DISPI_INDEX_YRES];
5fafdf24 1530 } else
8454df8b
FB
1531#endif
1532 {
1533 width = (s->cr[0x01] + 1) * 8;
5fafdf24
TS
1534 height = s->cr[0x12] |
1535 ((s->cr[0x07] & 0x02) << 7) |
8454df8b
FB
1536 ((s->cr[0x07] & 0x40) << 3);
1537 height = (height + 1);
1538 }
a130a41e
FB
1539 *pwidth = width;
1540 *pheight = height;
1541}
1542
cedd91d2 1543void vga_invalidate_scanlines(VGACommonState *s, int y1, int y2)
a8aa669b
FB
1544{
1545 int y;
1546 if (y1 >= VGA_MAX_HEIGHT)
1547 return;
1548 if (y2 >= VGA_MAX_HEIGHT)
1549 y2 = VGA_MAX_HEIGHT;
1550 for(y = y1; y < y2; y++) {
1551 s->invalidated_y_table[y >> 5] |= 1 << (y & 0x1f);
1552 }
1553}
1554
cedd91d2 1555static void vga_sync_dirty_bitmap(VGACommonState *s)
2bec46dc 1556{
b1950430 1557 memory_region_sync_dirty_bitmap(&s->vram);
2bec46dc
AL
1558}
1559
50af3246
JQ
1560void vga_dirty_log_start(VGACommonState *s)
1561{
b1950430 1562 memory_region_set_log(&s->vram, true, DIRTY_MEMORY_VGA);
b5cc6e32
AL
1563}
1564
1565void vga_dirty_log_stop(VGACommonState *s)
1566{
b1950430 1567 memory_region_set_log(&s->vram, false, DIRTY_MEMORY_VGA);
b5cc6e32
AL
1568}
1569
1570void vga_dirty_log_restart(VGACommonState *s)
1571{
1572 vga_dirty_log_stop(s);
1573 vga_dirty_log_start(s);
50af3246
JQ
1574}
1575
799e709b
AL
1576/*
1577 * graphic modes
1578 */
cedd91d2 1579static void vga_draw_graphic(VGACommonState *s, int full_update)
e89f66ec 1580{
12c7e75a
AK
1581 int y1, y, update, linesize, y_start, double_scan, mask, depth;
1582 int width, height, shift_control, line_offset, bwidth, bits;
c227f099 1583 ram_addr_t page0, page1, page_min, page_max;
a07cf92a 1584 int disp_width, multi_scan, multi_run;
799e709b
AL
1585 uint8_t *d;
1586 uint32_t v, addr1, addr;
1587 vga_draw_line_func *vga_draw_line;
1588
1589 full_update |= update_basic_params(s);
1590
1591 if (!full_update)
1592 vga_sync_dirty_bitmap(s);
2bec46dc 1593
a130a41e 1594 s->get_resolution(s, &width, &height);
17b0018b 1595 disp_width = width;
09a79b49 1596
e89f66ec 1597 shift_control = (s->gr[0x05] >> 5) & 3;
f6c958c8 1598 double_scan = (s->cr[0x09] >> 7);
799e709b
AL
1599 if (shift_control != 1) {
1600 multi_scan = (((s->cr[0x09] & 0x1f) + 1) << double_scan) - 1;
1601 } else {
1602 /* in CGA modes, multi_scan is ignored */
1603 /* XXX: is it correct ? */
1604 multi_scan = double_scan;
1605 }
1606 multi_run = multi_scan;
17b0018b
FB
1607 if (shift_control != s->shift_control ||
1608 double_scan != s->double_scan) {
799e709b 1609 full_update = 1;
e89f66ec 1610 s->shift_control = shift_control;
17b0018b 1611 s->double_scan = double_scan;
e89f66ec 1612 }
3b46e624 1613
aba35a6c 1614 if (shift_control == 0) {
1615 if (s->sr[0x01] & 8) {
1616 disp_width <<= 1;
1617 }
1618 } else if (shift_control == 1) {
1619 if (s->sr[0x01] & 8) {
1620 disp_width <<= 1;
1621 }
1622 }
1623
799e709b 1624 depth = s->get_bpp(s);
e3697092
AJ
1625 if (s->line_offset != s->last_line_offset ||
1626 disp_width != s->last_width ||
1627 height != s->last_height ||
799e709b 1628 s->last_depth != depth) {
e2542fe2 1629#if defined(HOST_WORDS_BIGENDIAN) == defined(TARGET_WORDS_BIGENDIAN)
e3697092 1630 if (depth == 16 || depth == 32) {
0da2ea1b 1631#else
1632 if (depth == 32) {
1633#endif
b8c18e4c
AL
1634 qemu_free_displaysurface(s->ds);
1635 s->ds->surface = qemu_create_displaysurface_from(disp_width, height, depth,
1636 s->line_offset,
1637 s->vram_ptr + (s->start_addr * 4));
e2542fe2 1638#if defined(HOST_WORDS_BIGENDIAN) != defined(TARGET_WORDS_BIGENDIAN)
b8c18e4c 1639 s->ds->surface->pf = qemu_different_endianness_pixelformat(depth);
0da2ea1b 1640#endif
b8c18e4c 1641 dpy_resize(s->ds);
e3697092
AJ
1642 } else {
1643 qemu_console_resize(s->ds, disp_width, height);
1644 }
1645 s->last_scr_width = disp_width;
1646 s->last_scr_height = height;
1647 s->last_width = disp_width;
1648 s->last_height = height;
1649 s->last_line_offset = s->line_offset;
1650 s->last_depth = depth;
799e709b
AL
1651 full_update = 1;
1652 } else if (is_buffer_shared(s->ds->surface) &&
e3697092
AJ
1653 (full_update || s->ds->surface->data != s->vram_ptr + (s->start_addr * 4))) {
1654 s->ds->surface->data = s->vram_ptr + (s->start_addr * 4);
1655 dpy_setdata(s->ds);
1656 }
1657
1658 s->rgb_to_pixel =
1659 rgb_to_pixel_dup_table[get_depth_index(s->ds)];
1660
799e709b 1661 if (shift_control == 0) {
17b0018b
FB
1662 full_update |= update_palette16(s);
1663 if (s->sr[0x01] & 8) {
1664 v = VGA_DRAW_LINE4D2;
17b0018b
FB
1665 } else {
1666 v = VGA_DRAW_LINE4;
1667 }
15342721 1668 bits = 4;
799e709b 1669 } else if (shift_control == 1) {
17b0018b
FB
1670 full_update |= update_palette16(s);
1671 if (s->sr[0x01] & 8) {
1672 v = VGA_DRAW_LINE2D2;
17b0018b
FB
1673 } else {
1674 v = VGA_DRAW_LINE2;
1675 }
15342721 1676 bits = 4;
17b0018b 1677 } else {
798b0c25
FB
1678 switch(s->get_bpp(s)) {
1679 default:
1680 case 0:
4fa0f5d2
FB
1681 full_update |= update_palette256(s);
1682 v = VGA_DRAW_LINE8D2;
15342721 1683 bits = 4;
798b0c25
FB
1684 break;
1685 case 8:
1686 full_update |= update_palette256(s);
1687 v = VGA_DRAW_LINE8;
15342721 1688 bits = 8;
798b0c25
FB
1689 break;
1690 case 15:
1691 v = VGA_DRAW_LINE15;
15342721 1692 bits = 16;
798b0c25
FB
1693 break;
1694 case 16:
1695 v = VGA_DRAW_LINE16;
15342721 1696 bits = 16;
798b0c25
FB
1697 break;
1698 case 24:
1699 v = VGA_DRAW_LINE24;
15342721 1700 bits = 24;
798b0c25
FB
1701 break;
1702 case 32:
1703 v = VGA_DRAW_LINE32;
15342721 1704 bits = 32;
798b0c25 1705 break;
4fa0f5d2 1706 }
17b0018b 1707 }
d3079cd2 1708 vga_draw_line = vga_draw_line_table[v * NB_DEPTHS + get_depth_index(s->ds)];
17b0018b 1709
7d957bd8 1710 if (!is_buffer_shared(s->ds->surface) && s->cursor_invalidate)
a8aa669b 1711 s->cursor_invalidate(s);
3b46e624 1712
e89f66ec 1713 line_offset = s->line_offset;
17b0018b 1714#if 0
f6c958c8 1715 printf("w=%d h=%d v=%d line_offset=%d cr[0x09]=0x%02x cr[0x17]=0x%02x linecmp=%d sr[0x01]=0x%02x\n",
17b0018b
FB
1716 width, height, v, line_offset, s->cr[9], s->cr[0x17], s->line_compare, s->sr[0x01]);
1717#endif
e89f66ec 1718 addr1 = (s->start_addr * 4);
15342721 1719 bwidth = (width * bits + 7) / 8;
39cf7803 1720 y_start = -1;
12c7e75a
AK
1721 page_min = -1;
1722 page_max = 0;
0e1f5a0c
AL
1723 d = ds_get_data(s->ds);
1724 linesize = ds_get_linesize(s->ds);
17b0018b 1725 y1 = 0;
e89f66ec
FB
1726 for(y = 0; y < height; y++) {
1727 addr = addr1;
39cf7803 1728 if (!(s->cr[0x17] & 1)) {
17b0018b 1729 int shift;
e89f66ec 1730 /* CGA compatibility handling */
17b0018b
FB
1731 shift = 14 + ((s->cr[0x17] >> 6) & 1);
1732 addr = (addr & ~(1 << shift)) | ((y1 & 1) << shift);
e89f66ec 1733 }
39cf7803 1734 if (!(s->cr[0x17] & 2)) {
17b0018b 1735 addr = (addr & ~0x8000) | ((y1 & 2) << 14);
e89f66ec 1736 }
b1950430
AK
1737 page0 = addr & TARGET_PAGE_MASK;
1738 page1 = (addr + bwidth - 1) & TARGET_PAGE_MASK;
5fafdf24 1739 update = full_update |
b1950430
AK
1740 memory_region_get_dirty(&s->vram, page0, DIRTY_MEMORY_VGA) |
1741 memory_region_get_dirty(&s->vram, page1, DIRTY_MEMORY_VGA);
4fa0f5d2 1742 if ((page1 - page0) > TARGET_PAGE_SIZE) {
39cf7803 1743 /* if wide line, can use another page */
b1950430
AK
1744 update |= memory_region_get_dirty(&s->vram,
1745 page0 + TARGET_PAGE_SIZE,
1746 DIRTY_MEMORY_VGA);
39cf7803 1747 }
a8aa669b
FB
1748 /* explicit invalidation for the hardware cursor */
1749 update |= (s->invalidated_y_table[y >> 5] >> (y & 0x1f)) & 1;
e89f66ec 1750 if (update) {
39cf7803
FB
1751 if (y_start < 0)
1752 y_start = y;
e89f66ec
FB
1753 if (page0 < page_min)
1754 page_min = page0;
1755 if (page1 > page_max)
1756 page_max = page1;
7d957bd8
AL
1757 if (!(is_buffer_shared(s->ds->surface))) {
1758 vga_draw_line(s, d, s->vram_ptr + addr, width);
1759 if (s->cursor_draw_line)
1760 s->cursor_draw_line(s, d, y);
1761 }
39cf7803
FB
1762 } else {
1763 if (y_start >= 0) {
1764 /* flush to display */
5fafdf24 1765 dpy_update(s->ds, 0, y_start,
799e709b 1766 disp_width, y - y_start);
39cf7803
FB
1767 y_start = -1;
1768 }
e89f66ec 1769 }
a07cf92a 1770 if (!multi_run) {
f6c958c8
FB
1771 mask = (s->cr[0x17] & 3) ^ 3;
1772 if ((y1 & mask) == mask)
1773 addr1 += line_offset;
1774 y1++;
799e709b 1775 multi_run = multi_scan;
a07cf92a
FB
1776 } else {
1777 multi_run--;
e89f66ec 1778 }
f6c958c8
FB
1779 /* line compare acts on the displayed lines */
1780 if (y == s->line_compare)
1781 addr1 = 0;
e89f66ec
FB
1782 d += linesize;
1783 }
39cf7803
FB
1784 if (y_start >= 0) {
1785 /* flush to display */
5fafdf24 1786 dpy_update(s->ds, 0, y_start,
799e709b 1787 disp_width, y - y_start);
39cf7803 1788 }
e89f66ec 1789 /* reset modified pages */
12c7e75a 1790 if (page_max >= page_min) {
b1950430
AK
1791 memory_region_reset_dirty(&s->vram,
1792 page_min,
1793 page_max + TARGET_PAGE_SIZE - page_min,
1794 DIRTY_MEMORY_VGA);
e89f66ec 1795 }
a8aa669b 1796 memset(s->invalidated_y_table, 0, ((height + 31) >> 5) * 4);
e89f66ec
FB
1797}
1798
cedd91d2 1799static void vga_draw_blank(VGACommonState *s, int full_update)
2aebb3eb
FB
1800{
1801 int i, w, val;
1802 uint8_t *d;
1803
1804 if (!full_update)
1805 return;
1806 if (s->last_scr_width <= 0 || s->last_scr_height <= 0)
1807 return;
2bec46dc 1808
7d957bd8
AL
1809 s->rgb_to_pixel =
1810 rgb_to_pixel_dup_table[get_depth_index(s->ds)];
0e1f5a0c 1811 if (ds_get_bits_per_pixel(s->ds) == 8)
2aebb3eb
FB
1812 val = s->rgb_to_pixel(0, 0, 0);
1813 else
1814 val = 0;
0e1f5a0c
AL
1815 w = s->last_scr_width * ((ds_get_bits_per_pixel(s->ds) + 7) >> 3);
1816 d = ds_get_data(s->ds);
2aebb3eb
FB
1817 for(i = 0; i < s->last_scr_height; i++) {
1818 memset(d, val, w);
0e1f5a0c 1819 d += ds_get_linesize(s->ds);
2aebb3eb 1820 }
5fafdf24 1821 dpy_update(s->ds, 0, 0,
2aebb3eb
FB
1822 s->last_scr_width, s->last_scr_height);
1823}
1824
799e709b
AL
1825#define GMODE_TEXT 0
1826#define GMODE_GRAPH 1
1827#define GMODE_BLANK 2
1828
95219897 1829static void vga_update_display(void *opaque)
e89f66ec 1830{
cedd91d2 1831 VGACommonState *s = opaque;
799e709b 1832 int full_update, graphic_mode;
e89f66ec 1833
0e1f5a0c 1834 if (ds_get_bits_per_pixel(s->ds) == 0) {
0f35920c 1835 /* nothing to do */
59a983b9 1836 } else {
3098b9fd 1837 full_update = 0;
799e709b
AL
1838 if (!(s->ar_index & 0x20)) {
1839 graphic_mode = GMODE_BLANK;
1840 } else {
1841 graphic_mode = s->gr[6] & 1;
1842 }
1843 if (graphic_mode != s->graphic_mode) {
1844 s->graphic_mode = graphic_mode;
1845 full_update = 1;
1846 }
1847 switch(graphic_mode) {
2aebb3eb 1848 case GMODE_TEXT:
e89f66ec 1849 vga_draw_text(s, full_update);
2aebb3eb
FB
1850 break;
1851 case GMODE_GRAPH:
1852 vga_draw_graphic(s, full_update);
1853 break;
1854 case GMODE_BLANK:
1855 default:
1856 vga_draw_blank(s, full_update);
1857 break;
1858 }
e89f66ec
FB
1859 }
1860}
1861
a130a41e 1862/* force a full display refresh */
95219897 1863static void vga_invalidate_display(void *opaque)
a130a41e 1864{
cedd91d2 1865 VGACommonState *s = opaque;
3b46e624 1866
3098b9fd
AJ
1867 s->last_width = -1;
1868 s->last_height = -1;
a130a41e
FB
1869}
1870
03a3e7ba 1871void vga_common_reset(VGACommonState *s)
e89f66ec 1872{
6e6b7363
BS
1873 s->sr_index = 0;
1874 memset(s->sr, '\0', sizeof(s->sr));
1875 s->gr_index = 0;
1876 memset(s->gr, '\0', sizeof(s->gr));
1877 s->ar_index = 0;
1878 memset(s->ar, '\0', sizeof(s->ar));
1879 s->ar_flip_flop = 0;
1880 s->cr_index = 0;
1881 memset(s->cr, '\0', sizeof(s->cr));
1882 s->msr = 0;
1883 s->fcr = 0;
1884 s->st00 = 0;
1885 s->st01 = 0;
1886 s->dac_state = 0;
1887 s->dac_sub_index = 0;
1888 s->dac_read_index = 0;
1889 s->dac_write_index = 0;
1890 memset(s->dac_cache, '\0', sizeof(s->dac_cache));
1891 s->dac_8bit = 0;
1892 memset(s->palette, '\0', sizeof(s->palette));
1893 s->bank_offset = 0;
1894#ifdef CONFIG_BOCHS_VBE
1895 s->vbe_index = 0;
1896 memset(s->vbe_regs, '\0', sizeof(s->vbe_regs));
af92284b 1897 s->vbe_regs[VBE_DISPI_INDEX_ID] = VBE_DISPI_ID5;
6e6b7363
BS
1898 s->vbe_start_addr = 0;
1899 s->vbe_line_offset = 0;
1900 s->vbe_bank_mask = (s->vram_size >> 16) - 1;
1901#endif
1902 memset(s->font_offsets, '\0', sizeof(s->font_offsets));
799e709b 1903 s->graphic_mode = -1; /* force full update */
6e6b7363
BS
1904 s->shift_control = 0;
1905 s->double_scan = 0;
1906 s->line_offset = 0;
1907 s->line_compare = 0;
1908 s->start_addr = 0;
1909 s->plane_updated = 0;
1910 s->last_cw = 0;
1911 s->last_ch = 0;
1912 s->last_width = 0;
1913 s->last_height = 0;
1914 s->last_scr_width = 0;
1915 s->last_scr_height = 0;
1916 s->cursor_start = 0;
1917 s->cursor_end = 0;
1918 s->cursor_offset = 0;
1919 memset(s->invalidated_y_table, '\0', sizeof(s->invalidated_y_table));
1920 memset(s->last_palette, '\0', sizeof(s->last_palette));
1921 memset(s->last_ch_attr, '\0', sizeof(s->last_ch_attr));
1922 switch (vga_retrace_method) {
1923 case VGA_RETRACE_DUMB:
1924 break;
1925 case VGA_RETRACE_PRECISE:
1926 memset(&s->retrace_info, 0, sizeof (s->retrace_info));
1927 break;
1928 }
e89f66ec
FB
1929}
1930
03a3e7ba
JQ
1931static void vga_reset(void *opaque)
1932{
cedd91d2 1933 VGACommonState *s = opaque;
03a3e7ba
JQ
1934 vga_common_reset(s);
1935}
1936
4d3b6f6e
AZ
1937#define TEXTMODE_X(x) ((x) % width)
1938#define TEXTMODE_Y(x) ((x) / width)
1939#define VMEM2CHTYPE(v) ((v & 0xff0007ff) | \
1940 ((v & 0x00000800) << 10) | ((v & 0x00007000) >> 1))
1941/* relay text rendering to the display driver
1942 * instead of doing a full vga_update_display() */
c227f099 1943static void vga_update_text(void *opaque, console_ch_t *chardata)
4d3b6f6e 1944{
cedd91d2 1945 VGACommonState *s = opaque;
799e709b 1946 int graphic_mode, i, cursor_offset, cursor_visible;
4d3b6f6e
AZ
1947 int cw, cheight, width, height, size, c_min, c_max;
1948 uint32_t *src;
c227f099 1949 console_ch_t *dst, val;
4d3b6f6e 1950 char msg_buffer[80];
799e709b
AL
1951 int full_update = 0;
1952
1953 if (!(s->ar_index & 0x20)) {
1954 graphic_mode = GMODE_BLANK;
1955 } else {
1956 graphic_mode = s->gr[6] & 1;
1957 }
1958 if (graphic_mode != s->graphic_mode) {
1959 s->graphic_mode = graphic_mode;
1960 full_update = 1;
1961 }
1962 if (s->last_width == -1) {
1963 s->last_width = 0;
1964 full_update = 1;
1965 }
4d3b6f6e 1966
799e709b 1967 switch (graphic_mode) {
4d3b6f6e
AZ
1968 case GMODE_TEXT:
1969 /* TODO: update palette */
799e709b 1970 full_update |= update_basic_params(s);
4d3b6f6e 1971
799e709b
AL
1972 /* total width & height */
1973 cheight = (s->cr[9] & 0x1f) + 1;
1974 cw = 8;
1975 if (!(s->sr[1] & 0x01))
1976 cw = 9;
1977 if (s->sr[1] & 0x08)
1978 cw = 16; /* NOTE: no 18 pixel wide */
1979 width = (s->cr[0x01] + 1);
1980 if (s->cr[0x06] == 100) {
1981 /* ugly hack for CGA 160x100x16 - explain me the logic */
1982 height = 100;
1983 } else {
1984 height = s->cr[0x12] |
1985 ((s->cr[0x07] & 0x02) << 7) |
1986 ((s->cr[0x07] & 0x40) << 3);
1987 height = (height + 1) / cheight;
4d3b6f6e
AZ
1988 }
1989
1990 size = (height * width);
1991 if (size > CH_ATTR_SIZE) {
1992 if (!full_update)
1993 return;
1994
363a37d5
BS
1995 snprintf(msg_buffer, sizeof(msg_buffer), "%i x %i Text mode",
1996 width, height);
4d3b6f6e
AZ
1997 break;
1998 }
1999
799e709b
AL
2000 if (width != s->last_width || height != s->last_height ||
2001 cw != s->last_cw || cheight != s->last_ch) {
2002 s->last_scr_width = width * cw;
2003 s->last_scr_height = height * cheight;
2004 s->ds->surface->width = width;
2005 s->ds->surface->height = height;
2006 dpy_resize(s->ds);
2007 s->last_width = width;
2008 s->last_height = height;
2009 s->last_ch = cheight;
2010 s->last_cw = cw;
2011 full_update = 1;
2012 }
2013
4d3b6f6e
AZ
2014 /* Update "hardware" cursor */
2015 cursor_offset = ((s->cr[0x0e] << 8) | s->cr[0x0f]) - s->start_addr;
2016 if (cursor_offset != s->cursor_offset ||
2017 s->cr[0xa] != s->cursor_start ||
2018 s->cr[0xb] != s->cursor_end || full_update) {
2019 cursor_visible = !(s->cr[0xa] & 0x20);
2020 if (cursor_visible && cursor_offset < size && cursor_offset >= 0)
2021 dpy_cursor(s->ds,
2022 TEXTMODE_X(cursor_offset),
2023 TEXTMODE_Y(cursor_offset));
2024 else
2025 dpy_cursor(s->ds, -1, -1);
2026 s->cursor_offset = cursor_offset;
2027 s->cursor_start = s->cr[0xa];
2028 s->cursor_end = s->cr[0xb];
2029 }
2030
2031 src = (uint32_t *) s->vram_ptr + s->start_addr;
2032 dst = chardata;
2033
2034 if (full_update) {
2035 for (i = 0; i < size; src ++, dst ++, i ++)
9ae19b65 2036 console_write_ch(dst, VMEM2CHTYPE(le32_to_cpu(*src)));
4d3b6f6e
AZ
2037
2038 dpy_update(s->ds, 0, 0, width, height);
2039 } else {
2040 c_max = 0;
2041
2042 for (i = 0; i < size; src ++, dst ++, i ++) {
9ae19b65 2043 console_write_ch(&val, VMEM2CHTYPE(le32_to_cpu(*src)));
4d3b6f6e
AZ
2044 if (*dst != val) {
2045 *dst = val;
2046 c_max = i;
2047 break;
2048 }
2049 }
2050 c_min = i;
2051 for (; i < size; src ++, dst ++, i ++) {
9ae19b65 2052 console_write_ch(&val, VMEM2CHTYPE(le32_to_cpu(*src)));
4d3b6f6e
AZ
2053 if (*dst != val) {
2054 *dst = val;
2055 c_max = i;
2056 }
2057 }
2058
2059 if (c_min <= c_max) {
2060 i = TEXTMODE_Y(c_min);
2061 dpy_update(s->ds, 0, i, width, TEXTMODE_Y(c_max) - i + 1);
2062 }
2063 }
2064
2065 return;
2066 case GMODE_GRAPH:
2067 if (!full_update)
2068 return;
2069
2070 s->get_resolution(s, &width, &height);
363a37d5
BS
2071 snprintf(msg_buffer, sizeof(msg_buffer), "%i x %i Graphic mode",
2072 width, height);
4d3b6f6e
AZ
2073 break;
2074 case GMODE_BLANK:
2075 default:
2076 if (!full_update)
2077 return;
2078
363a37d5 2079 snprintf(msg_buffer, sizeof(msg_buffer), "VGA Blank mode");
4d3b6f6e
AZ
2080 break;
2081 }
2082
2083 /* Display a message */
5228c2d3
AZ
2084 s->last_width = 60;
2085 s->last_height = height = 3;
4d3b6f6e 2086 dpy_cursor(s->ds, -1, -1);
7d957bd8
AL
2087 s->ds->surface->width = s->last_width;
2088 s->ds->surface->height = height;
2089 dpy_resize(s->ds);
4d3b6f6e 2090
5228c2d3 2091 for (dst = chardata, i = 0; i < s->last_width * height; i ++)
4d3b6f6e
AZ
2092 console_write_ch(dst ++, ' ');
2093
2094 size = strlen(msg_buffer);
5228c2d3
AZ
2095 width = (s->last_width - size) / 2;
2096 dst = chardata + s->last_width + width;
4d3b6f6e
AZ
2097 for (i = 0; i < size; i ++)
2098 console_write_ch(dst ++, 0x00200100 | msg_buffer[i]);
2099
5228c2d3 2100 dpy_update(s->ds, 0, 0, s->last_width, height);
4d3b6f6e
AZ
2101}
2102
b1950430
AK
2103static uint64_t vga_mem_read(void *opaque, target_phys_addr_t addr,
2104 unsigned size)
2105{
2106 VGACommonState *s = opaque;
2107
2108 switch (size) {
2109 case 1: return vga_mem_readb(s, addr);
2110 case 2: return vga_mem_readw(s, addr);
2111 case 4: return vga_mem_readl(s, addr);
2112 default: abort();
2113 }
2114}
e89f66ec 2115
b1950430
AK
2116static void vga_mem_write(void *opaque, target_phys_addr_t addr,
2117 uint64_t data, unsigned size)
2118{
2119 VGACommonState *s = opaque;
2120
2121 switch (size) {
2122 case 1: return vga_mem_writeb(s, addr, data);
2123 case 2: return vga_mem_writew(s, addr, data);
2124 case 4: return vga_mem_writel(s, addr, data);
2125 default: abort();
2126 }
2127}
2128
2129const MemoryRegionOps vga_mem_ops = {
2130 .read = vga_mem_read,
2131 .write = vga_mem_write,
2132 .endianness = DEVICE_LITTLE_ENDIAN,
e89f66ec
FB
2133};
2134
11b6b345 2135static int vga_common_post_load(void *opaque, int version_id)
b0a21b53 2136{
0d65ddc3 2137 VGACommonState *s = opaque;
11b6b345
JQ
2138
2139 /* force refresh */
2140 s->graphic_mode = -1;
2141 return 0;
2142}
2143
2144const VMStateDescription vmstate_vga_common = {
2145 .name = "vga",
2146 .version_id = 2,
2147 .minimum_version_id = 2,
2148 .minimum_version_id_old = 2,
2149 .post_load = vga_common_post_load,
2150 .fields = (VMStateField []) {
2151 VMSTATE_UINT32(latch, VGACommonState),
2152 VMSTATE_UINT8(sr_index, VGACommonState),
2153 VMSTATE_PARTIAL_BUFFER(sr, VGACommonState, 8),
2154 VMSTATE_UINT8(gr_index, VGACommonState),
2155 VMSTATE_PARTIAL_BUFFER(gr, VGACommonState, 16),
2156 VMSTATE_UINT8(ar_index, VGACommonState),
2157 VMSTATE_BUFFER(ar, VGACommonState),
2158 VMSTATE_INT32(ar_flip_flop, VGACommonState),
2159 VMSTATE_UINT8(cr_index, VGACommonState),
2160 VMSTATE_BUFFER(cr, VGACommonState),
2161 VMSTATE_UINT8(msr, VGACommonState),
2162 VMSTATE_UINT8(fcr, VGACommonState),
2163 VMSTATE_UINT8(st00, VGACommonState),
2164 VMSTATE_UINT8(st01, VGACommonState),
2165
2166 VMSTATE_UINT8(dac_state, VGACommonState),
2167 VMSTATE_UINT8(dac_sub_index, VGACommonState),
2168 VMSTATE_UINT8(dac_read_index, VGACommonState),
2169 VMSTATE_UINT8(dac_write_index, VGACommonState),
2170 VMSTATE_BUFFER(dac_cache, VGACommonState),
2171 VMSTATE_BUFFER(palette, VGACommonState),
2172
2173 VMSTATE_INT32(bank_offset, VGACommonState),
2174 VMSTATE_UINT8_EQUAL(is_vbe_vmstate, VGACommonState),
b0a21b53 2175#ifdef CONFIG_BOCHS_VBE
11b6b345
JQ
2176 VMSTATE_UINT16(vbe_index, VGACommonState),
2177 VMSTATE_UINT16_ARRAY(vbe_regs, VGACommonState, VBE_DISPI_INDEX_NB),
2178 VMSTATE_UINT32(vbe_start_addr, VGACommonState),
2179 VMSTATE_UINT32(vbe_line_offset, VGACommonState),
2180 VMSTATE_UINT32(vbe_bank_mask, VGACommonState),
b0a21b53 2181#endif
11b6b345
JQ
2182 VMSTATE_END_OF_LIST()
2183 }
2184};
2185
a4a2f59c 2186void vga_common_init(VGACommonState *s, int vga_ram_size)
e89f66ec 2187{
17b0018b 2188 int i, j, v, b;
e89f66ec
FB
2189
2190 for(i = 0;i < 256; i++) {
2191 v = 0;
2192 for(j = 0; j < 8; j++) {
2193 v |= ((i >> j) & 1) << (j * 4);
2194 }
2195 expand4[i] = v;
2196
2197 v = 0;
2198 for(j = 0; j < 4; j++) {
2199 v |= ((i >> (2 * j)) & 3) << (j * 4);
2200 }
2201 expand2[i] = v;
2202 }
17b0018b
FB
2203 for(i = 0; i < 16; i++) {
2204 v = 0;
2205 for(j = 0; j < 4; j++) {
2206 b = ((i >> j) & 1);
2207 v |= b << (2 * j);
2208 v |= b << (2 * j + 1);
2209 }
2210 expand4to8[i] = v;
2211 }
e89f66ec 2212
2a3138ab
JQ
2213#ifdef CONFIG_BOCHS_VBE
2214 s->is_vbe_vmstate = 1;
2215#else
2216 s->is_vbe_vmstate = 0;
2217#endif
b1950430
AK
2218 memory_region_init_ram(&s->vram, NULL, "vga.vram", vga_ram_size);
2219 s->vram_ptr = memory_region_get_ram_ptr(&s->vram);
e89f66ec 2220 s->vram_size = vga_ram_size;
798b0c25
FB
2221 s->get_bpp = vga_get_bpp;
2222 s->get_offsets = vga_get_offsets;
a130a41e 2223 s->get_resolution = vga_get_resolution;
d34cab9f
TS
2224 s->update = vga_update_display;
2225 s->invalidate = vga_invalidate_display;
2226 s->screen_dump = vga_screen_dump;
4d3b6f6e 2227 s->text_update = vga_update_text;
cb5a7aa8 2228 switch (vga_retrace_method) {
2229 case VGA_RETRACE_DUMB:
2230 s->retrace = vga_dumb_retrace;
2231 s->update_retrace_info = vga_dumb_update_retrace_info;
2232 break;
2233
2234 case VGA_RETRACE_PRECISE:
2235 s->retrace = vga_precise_retrace;
2236 s->update_retrace_info = vga_precise_update_retrace_info;
cb5a7aa8 2237 break;
2238 }
b1950430 2239 vga_dirty_log_start(s);
798b0c25
FB
2240}
2241
d2269f6f 2242/* used by both ISA and PCI */
b1950430 2243MemoryRegion *vga_init_io(VGACommonState *s)
798b0c25 2244{
b1950430
AK
2245 MemoryRegion *vga_mem;
2246
0f35920c 2247 register_ioport_write(0x3c0, 16, 1, vga_ioport_write, s);
e89f66ec 2248
0f35920c
FB
2249 register_ioport_write(0x3b4, 2, 1, vga_ioport_write, s);
2250 register_ioport_write(0x3d4, 2, 1, vga_ioport_write, s);
2251 register_ioport_write(0x3ba, 1, 1, vga_ioport_write, s);
2252 register_ioport_write(0x3da, 1, 1, vga_ioport_write, s);
e89f66ec 2253
0f35920c 2254 register_ioport_read(0x3c0, 16, 1, vga_ioport_read, s);
e89f66ec 2255
0f35920c
FB
2256 register_ioport_read(0x3b4, 2, 1, vga_ioport_read, s);
2257 register_ioport_read(0x3d4, 2, 1, vga_ioport_read, s);
2258 register_ioport_read(0x3ba, 1, 1, vga_ioport_read, s);
2259 register_ioport_read(0x3da, 1, 1, vga_ioport_read, s);
e89f66ec 2260
4fa0f5d2 2261#ifdef CONFIG_BOCHS_VBE
09a79b49
FB
2262#if defined (TARGET_I386)
2263 register_ioport_read(0x1ce, 1, 2, vbe_ioport_read_index, s);
2264 register_ioport_read(0x1cf, 1, 2, vbe_ioport_read_data, s);
4fa0f5d2 2265
09a79b49
FB
2266 register_ioport_write(0x1ce, 1, 2, vbe_ioport_write_index, s);
2267 register_ioport_write(0x1cf, 1, 2, vbe_ioport_write_data, s);
09a79b49
FB
2268#else
2269 register_ioport_read(0x1ce, 1, 2, vbe_ioport_read_index, s);
2270 register_ioport_read(0x1d0, 1, 2, vbe_ioport_read_data, s);
2271
2272 register_ioport_write(0x1ce, 1, 2, vbe_ioport_write_index, s);
2273 register_ioport_write(0x1d0, 1, 2, vbe_ioport_write_data, s);
4fa0f5d2 2274#endif
09a79b49 2275#endif /* CONFIG_BOCHS_VBE */
4fa0f5d2 2276
b1950430
AK
2277 vga_mem = qemu_malloc(sizeof(*vga_mem));
2278 memory_region_init_io(vga_mem, &vga_mem_ops, s,
2279 "vga-lowmem", 0x20000);
2280
2281 return vga_mem;
7435b791
BS
2282}
2283
2284void vga_init(VGACommonState *s)
2285{
b1950430 2286 MemoryRegion *vga_io_memory;
7435b791
BS
2287
2288 qemu_register_reset(vga_reset, s);
2289
2290 s->bank_offset = 0;
2291
2292 vga_io_memory = vga_init_io(s);
b1950430
AK
2293 memory_region_add_subregion_overlap(get_system_memory(),
2294 isa_mem_base + 0x000a0000,
2295 vga_io_memory,
2296 1);
2297 memory_region_set_coalescing(vga_io_memory);
d2269f6f
FB
2298}
2299
f0138a63
AL
2300void vga_init_vbe(VGACommonState *s)
2301{
2302#ifdef CONFIG_BOCHS_VBE
2303 /* XXX: use optimized standard vga accesses */
b1950430
AK
2304 memory_region_add_subregion(get_system_memory(),
2305 VBE_DISPI_LFB_PHYSICAL_ADDRESS,
2306 &s->vram);
f0138a63
AL
2307 s->vbe_mapped = 1;
2308#endif
2309}
59a983b9
FB
2310/********************************************************/
2311/* vga screen dump */
2312
04a52b41 2313static void vga_save_dpy_update(DisplayState *ds,
59a983b9
FB
2314 int x, int y, int w, int h)
2315{
04a52b41
SS
2316 if (screen_dump_filename) {
2317 ppm_save(screen_dump_filename, ds->surface);
2318 screen_dump_filename = NULL;
2319 }
59a983b9
FB
2320}
2321
7d957bd8 2322static void vga_save_dpy_resize(DisplayState *s)
59a983b9 2323{
59a983b9
FB
2324}
2325
2326static void vga_save_dpy_refresh(DisplayState *s)
2327{
2328}
2329
e07d630a 2330int ppm_save(const char *filename, struct DisplaySurface *ds)
59a983b9
FB
2331{
2332 FILE *f;
2333 uint8_t *d, *d1;
e07d630a 2334 uint32_t v;
59a983b9 2335 int y, x;
e07d630a 2336 uint8_t r, g, b;
f8e378f2
AK
2337 int ret;
2338 char *linebuf, *pbuf;
59a983b9
FB
2339
2340 f = fopen(filename, "wb");
2341 if (!f)
2342 return -1;
2343 fprintf(f, "P6\n%d %d\n%d\n",
e07d630a 2344 ds->width, ds->height, 255);
f8e378f2 2345 linebuf = qemu_malloc(ds->width * 3);
e07d630a
AL
2346 d1 = ds->data;
2347 for(y = 0; y < ds->height; y++) {
59a983b9 2348 d = d1;
f8e378f2 2349 pbuf = linebuf;
e07d630a
AL
2350 for(x = 0; x < ds->width; x++) {
2351 if (ds->pf.bits_per_pixel == 32)
2352 v = *(uint32_t *)d;
2353 else
2354 v = (uint32_t) (*(uint16_t *)d);
2355 r = ((v >> ds->pf.rshift) & ds->pf.rmax) * 256 /
2356 (ds->pf.rmax + 1);
2357 g = ((v >> ds->pf.gshift) & ds->pf.gmax) * 256 /
2358 (ds->pf.gmax + 1);
2359 b = ((v >> ds->pf.bshift) & ds->pf.bmax) * 256 /
2360 (ds->pf.bmax + 1);
f8e378f2
AK
2361 *pbuf++ = r;
2362 *pbuf++ = g;
2363 *pbuf++ = b;
e07d630a 2364 d += ds->pf.bytes_per_pixel;
59a983b9 2365 }
e07d630a 2366 d1 += ds->linesize;
f8e378f2
AK
2367 ret = fwrite(linebuf, 1, pbuf - linebuf, f);
2368 (void)ret;
59a983b9 2369 }
f8e378f2 2370 qemu_free(linebuf);
59a983b9
FB
2371 fclose(f);
2372 return 0;
2373}
2374
04a52b41 2375static DisplayChangeListener* vga_screen_dump_init(DisplayState *ds)
4c5e8c5c 2376{
04a52b41 2377 DisplayChangeListener *dcl;
4c5e8c5c 2378
04a52b41
SS
2379 dcl = qemu_mallocz(sizeof(DisplayChangeListener));
2380 dcl->dpy_update = vga_save_dpy_update;
2381 dcl->dpy_resize = vga_save_dpy_resize;
2382 dcl->dpy_refresh = vga_save_dpy_refresh;
2383 register_displaychangelistener(ds, dcl);
2384 return dcl;
4c5e8c5c
BS
2385}
2386
2387/* save the vga display in a PPM image even if no display is
2388 available */
2389static void vga_screen_dump(void *opaque, const char *filename)
2390{
cedd91d2 2391 VGACommonState *s = opaque;
4c5e8c5c 2392
04a52b41
SS
2393 if (!screen_dump_dcl)
2394 screen_dump_dcl = vga_screen_dump_init(s->ds);
2395
2396 screen_dump_filename = (char *)filename;
9d1b494a 2397 vga_invalidate_display(s);
04a52b41 2398 vga_hw_update();
4c5e8c5c 2399}
04a52b41 2400