]> git.proxmox.com Git - mirror_ubuntu-bionic-kernel.git/blame - sound/synth/Kconfig
Merge commit 'upstream-x86-entry' into WIP.x86/mm
[mirror_ubuntu-bionic-kernel.git] / sound / synth / Kconfig
CommitLineData
82721155
TI
1config SND_SYNTH_EMUX
2 tristate