]> git.proxmox.com Git - mirror_qemu.git/blame - target/riscv/cpu_helper.c
hw/riscv/spike.c: rename MachineState 'mc' pointers to' ms'
[mirror_qemu.git] / target / riscv / cpu_helper.c
CommitLineData
0c3e702a 1/*
df354dd4 2 * RISC-V CPU helpers for qemu.
0c3e702a
MC
3 *
4 * Copyright (c) 2016-2017 Sagar Karandikar, sagark@eecs.berkeley.edu
5 * Copyright (c) 2017-2018 SiFive, Inc.
6 *
7 * This program is free software; you can redistribute it and/or modify it
8 * under the terms and conditions of the GNU General Public License,
9 * version 2 or later, as published by the Free Software Foundation.
10 *
11 * This program is distributed in the hope it will be useful, but WITHOUT
12 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
13 * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
14 * more details.
15 *
16 * You should have received a copy of the GNU General Public License along with
17 * this program. If not, see <http://www.gnu.org/licenses/>.
18 */
19
20#include "qemu/osdep.h"
21#include "qemu/log.h"
7ec5d303 22#include "qemu/main-loop.h"
0c3e702a 23#include "cpu.h"
892320fa 24#include "pmu.h"
0c3e702a 25#include "exec/exec-all.h"
8e2aa21b 26#include "instmap.h"
dcb32f1d 27#include "tcg/tcg-op.h"
929f0a7f 28#include "trace.h"
6b5fe137 29#include "semihosting/common-semi.h"
2c9d7471 30#include "sysemu/cpu-timers.h"
892320fa 31#include "cpu_bits.h"
2c9d7471 32#include "debug.h"
0c3e702a
MC
33
34int riscv_cpu_mmu_index(CPURISCVState *env, bool ifetch)
35{
36#ifdef CONFIG_USER_ONLY
37 return 0;
38#else
39 return env->priv;
40#endif
41}
42
53677acf
RH
43void cpu_get_tb_cpu_state(CPURISCVState *env, target_ulong *pc,
44 target_ulong *cs_base, uint32_t *pflags)
45{
b4a99d40
FC
46 CPUState *cs = env_cpu(env);
47 RISCVCPU *cpu = RISCV_CPU(cs);
48
53677acf
RH
49 uint32_t flags = 0;
50
8c796f1a 51 *pc = env->xl == MXL_RV32 ? env->pc & UINT32_MAX : env->pc;
53677acf
RH
52 *cs_base = 0;
53
32e579b8 54 if (riscv_has_ext(env, RVV) || cpu->cfg.ext_zve32f || cpu->cfg.ext_zve64f) {
a689a82b
FC
55 /*
56 * If env->vl equals to VLMAX, we can use generic vector operation
57 * expanders (GVEC) to accerlate the vector operations.
58 * However, as LMUL could be a fractional number. The maximum
59 * vector size can be operated might be less than 8 bytes,
60 * which is not supported by GVEC. So we set vl_eq_vlmax flag to true
61 * only when maxsz >= 8 bytes.
62 */
53677acf 63 uint32_t vlmax = vext_get_vlmax(env_archcpu(env), env->vtype);
a689a82b
FC
64 uint32_t sew = FIELD_EX64(env->vtype, VTYPE, VSEW);
65 uint32_t maxsz = vlmax << sew;
66 bool vl_eq_vlmax = (env->vstart == 0) && (vlmax == env->vl) &&
67 (maxsz >= 8);
d96a271a 68 flags = FIELD_DP32(flags, TB_FLAGS, VILL, env->vill);
a689a82b 69 flags = FIELD_DP32(flags, TB_FLAGS, SEW, sew);
53677acf
RH
70 flags = FIELD_DP32(flags, TB_FLAGS, LMUL,
71 FIELD_EX64(env->vtype, VTYPE, VLMUL));
72 flags = FIELD_DP32(flags, TB_FLAGS, VL_EQ_VLMAX, vl_eq_vlmax);
f1eed927 73 flags = FIELD_DP32(flags, TB_FLAGS, VTA,
74 FIELD_EX64(env->vtype, VTYPE, VTA));
355d5584
YTC
75 flags = FIELD_DP32(flags, TB_FLAGS, VMA,
76 FIELD_EX64(env->vtype, VTYPE, VMA));
53677acf
RH
77 } else {
78 flags = FIELD_DP32(flags, TB_FLAGS, VILL, 1);
79 }
80
81#ifdef CONFIG_USER_ONLY
82 flags |= TB_FLAGS_MSTATUS_FS;
61b4b69d 83 flags |= TB_FLAGS_MSTATUS_VS;
53677acf
RH
84#else
85 flags |= cpu_mmu_index(env, 0);
86 if (riscv_cpu_fp_enabled(env)) {
87 flags |= env->mstatus & MSTATUS_FS;
88 }
89
61b4b69d
LZ
90 if (riscv_cpu_vector_enabled(env)) {
91 flags |= env->mstatus & MSTATUS_VS;
92 }
93
53677acf
RH
94 if (riscv_has_ext(env, RVH)) {
95 if (env->priv == PRV_M ||
96 (env->priv == PRV_S && !riscv_cpu_virt_enabled(env)) ||
97 (env->priv == PRV_U && !riscv_cpu_virt_enabled(env) &&
98 get_field(env->hstatus, HSTATUS_HU))) {
99 flags = FIELD_DP32(flags, TB_FLAGS, HLSX, 1);
100 }
101
102 flags = FIELD_DP32(flags, TB_FLAGS, MSTATUS_HS_FS,
103 get_field(env->mstatus_hs, MSTATUS_FS));
8e1ee1fb
FC
104
105 flags = FIELD_DP32(flags, TB_FLAGS, MSTATUS_HS_VS,
106 get_field(env->mstatus_hs, MSTATUS_VS));
53677acf 107 }
2c9d7471 108 if (riscv_feature(env, RISCV_FEATURE_DEBUG) && !icount_enabled()) {
577f0286 109 flags = FIELD_DP32(flags, TB_FLAGS, ITRIGGER, env->itrigger_enabled);
2c9d7471 110 }
53677acf
RH
111#endif
112
440544e1 113 flags = FIELD_DP32(flags, TB_FLAGS, XL, env->xl);
4208dc7e
LZ
114 if (env->cur_pmmask < (env->xl == MXL_RV32 ? UINT32_MAX : UINT64_MAX)) {
115 flags = FIELD_DP32(flags, TB_FLAGS, PM_MASK_ENABLED, 1);
116 }
117 if (env->cur_pmbase != 0) {
118 flags = FIELD_DP32(flags, TB_FLAGS, PM_BASE_ENABLED, 1);
119 }
92371bd9 120
53677acf
RH
121 *pflags = flags;
122}
123
40bfa5f6
LZ
124void riscv_cpu_update_mask(CPURISCVState *env)
125{
126 target_ulong mask = -1, base = 0;
127 /*
128 * TODO: Current RVJ spec does not specify
129 * how the extension interacts with XLEN.
130 */
131#ifndef CONFIG_USER_ONLY
132 if (riscv_has_ext(env, RVJ)) {
133 switch (env->priv) {
134 case PRV_M:
135 if (env->mmte & M_PM_ENABLE) {
136 mask = env->mpmmask;
137 base = env->mpmbase;
138 }
139 break;
140 case PRV_S:
141 if (env->mmte & S_PM_ENABLE) {
142 mask = env->spmmask;
143 base = env->spmbase;
144 }
145 break;
146 case PRV_U:
147 if (env->mmte & U_PM_ENABLE) {
148 mask = env->upmmask;
149 base = env->upmbase;
150 }
151 break;
152 default:
153 g_assert_not_reached();
154 }
155 }
156#endif
157 if (env->xl == MXL_RV32) {
158 env->cur_pmmask = mask & UINT32_MAX;
159 env->cur_pmbase = base & UINT32_MAX;
160 } else {
161 env->cur_pmmask = mask;
162 env->cur_pmbase = base;
163 }
164}
165
0c3e702a 166#ifndef CONFIG_USER_ONLY
43dc93af
AP
167
168/*
169 * The HS-mode is allowed to configure priority only for the
170 * following VS-mode local interrupts:
171 *
172 * 0 (Reserved interrupt, reads as zero)
173 * 1 Supervisor software interrupt
174 * 4 (Reserved interrupt, reads as zero)
175 * 5 Supervisor timer interrupt
176 * 8 (Reserved interrupt, reads as zero)
177 * 13 (Reserved interrupt)
178 * 14 "
179 * 15 "
180 * 16 "
43577499
AP
181 * 17 "
182 * 18 "
183 * 19 "
184 * 20 "
185 * 21 "
43dc93af 186 * 22 "
43577499 187 * 23 "
43dc93af
AP
188 */
189
190static const int hviprio_index2irq[] = {
43577499 191 0, 1, 4, 5, 8, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 };
43dc93af
AP
192static const int hviprio_index2rdzero[] = {
193 1, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 };
194
195int riscv_cpu_hviprio_index2irq(int index, int *out_irq, int *out_rdzero)
0c3e702a 196{
43dc93af
AP
197 if (index < 0 || ARRAY_SIZE(hviprio_index2irq) <= index) {
198 return -EINVAL;
199 }
3ef10a09 200
43dc93af
AP
201 if (out_irq) {
202 *out_irq = hviprio_index2irq[index];
203 }
3ef10a09 204
43dc93af
AP
205 if (out_rdzero) {
206 *out_rdzero = hviprio_index2rdzero[index];
207 }
cd032fe7 208
43dc93af
AP
209 return 0;
210}
3ef10a09 211
43dc93af
AP
212/*
213 * Default priorities of local interrupts are defined in the
214 * RISC-V Advanced Interrupt Architecture specification.
215 *
216 * ----------------------------------------------------------------
217 * Default |
218 * Priority | Major Interrupt Numbers
219 * ----------------------------------------------------------------
43577499
AP
220 * Highest | 47, 23, 46, 45, 22, 44,
221 * | 43, 21, 42, 41, 20, 40
43dc93af
AP
222 * |
223 * | 11 (0b), 3 (03), 7 (07)
224 * | 9 (09), 1 (01), 5 (05)
225 * | 12 (0c)
226 * | 10 (0a), 2 (02), 6 (06)
227 * |
43577499
AP
228 * | 39, 19, 38, 37, 18, 36,
229 * Lowest | 35, 17, 34, 33, 16, 32
43dc93af
AP
230 * ----------------------------------------------------------------
231 */
232static const uint8_t default_iprio[64] = {
43577499
AP
233 /* Custom interrupts 48 to 63 */
234 [63] = IPRIO_MMAXIPRIO,
235 [62] = IPRIO_MMAXIPRIO,
236 [61] = IPRIO_MMAXIPRIO,
237 [60] = IPRIO_MMAXIPRIO,
238 [59] = IPRIO_MMAXIPRIO,
239 [58] = IPRIO_MMAXIPRIO,
240 [57] = IPRIO_MMAXIPRIO,
241 [56] = IPRIO_MMAXIPRIO,
242 [55] = IPRIO_MMAXIPRIO,
243 [54] = IPRIO_MMAXIPRIO,
244 [53] = IPRIO_MMAXIPRIO,
245 [52] = IPRIO_MMAXIPRIO,
246 [51] = IPRIO_MMAXIPRIO,
247 [50] = IPRIO_MMAXIPRIO,
248 [49] = IPRIO_MMAXIPRIO,
249 [48] = IPRIO_MMAXIPRIO,
250
251 /* Custom interrupts 24 to 31 */
252 [31] = IPRIO_MMAXIPRIO,
253 [30] = IPRIO_MMAXIPRIO,
254 [29] = IPRIO_MMAXIPRIO,
255 [28] = IPRIO_MMAXIPRIO,
256 [27] = IPRIO_MMAXIPRIO,
257 [26] = IPRIO_MMAXIPRIO,
258 [25] = IPRIO_MMAXIPRIO,
259 [24] = IPRIO_MMAXIPRIO,
260
261 [47] = IPRIO_DEFAULT_UPPER,
262 [23] = IPRIO_DEFAULT_UPPER + 1,
263 [46] = IPRIO_DEFAULT_UPPER + 2,
264 [45] = IPRIO_DEFAULT_UPPER + 3,
265 [22] = IPRIO_DEFAULT_UPPER + 4,
266 [44] = IPRIO_DEFAULT_UPPER + 5,
267
268 [43] = IPRIO_DEFAULT_UPPER + 6,
269 [21] = IPRIO_DEFAULT_UPPER + 7,
270 [42] = IPRIO_DEFAULT_UPPER + 8,
271 [41] = IPRIO_DEFAULT_UPPER + 9,
272 [20] = IPRIO_DEFAULT_UPPER + 10,
273 [40] = IPRIO_DEFAULT_UPPER + 11,
43dc93af
AP
274
275 [11] = IPRIO_DEFAULT_M,
276 [3] = IPRIO_DEFAULT_M + 1,
277 [7] = IPRIO_DEFAULT_M + 2,
278
279 [9] = IPRIO_DEFAULT_S,
280 [1] = IPRIO_DEFAULT_S + 1,
281 [5] = IPRIO_DEFAULT_S + 2,
282
283 [12] = IPRIO_DEFAULT_SGEXT,
284
285 [10] = IPRIO_DEFAULT_VS,
286 [2] = IPRIO_DEFAULT_VS + 1,
287 [6] = IPRIO_DEFAULT_VS + 2,
288
43577499
AP
289 [39] = IPRIO_DEFAULT_LOWER,
290 [19] = IPRIO_DEFAULT_LOWER + 1,
291 [38] = IPRIO_DEFAULT_LOWER + 2,
292 [37] = IPRIO_DEFAULT_LOWER + 3,
293 [18] = IPRIO_DEFAULT_LOWER + 4,
294 [36] = IPRIO_DEFAULT_LOWER + 5,
295
296 [35] = IPRIO_DEFAULT_LOWER + 6,
297 [17] = IPRIO_DEFAULT_LOWER + 7,
298 [34] = IPRIO_DEFAULT_LOWER + 8,
299 [33] = IPRIO_DEFAULT_LOWER + 9,
300 [16] = IPRIO_DEFAULT_LOWER + 10,
301 [32] = IPRIO_DEFAULT_LOWER + 11,
43dc93af
AP
302};
303
304uint8_t riscv_cpu_default_priority(int irq)
305{
306 if (irq < 0 || irq > 63) {
307 return IPRIO_MMAXIPRIO;
308 }
309
310 return default_iprio[irq] ? default_iprio[irq] : IPRIO_MMAXIPRIO;
311};
312
313static int riscv_cpu_pending_to_irq(CPURISCVState *env,
314 int extirq, unsigned int extirq_def_prio,
315 uint64_t pending, uint8_t *iprio)
316{
dc9acc9c 317 RISCVCPU *cpu = env_archcpu(env);
43dc93af
AP
318 int irq, best_irq = RISCV_EXCP_NONE;
319 unsigned int prio, best_prio = UINT_MAX;
320
321 if (!pending) {
322 return RISCV_EXCP_NONE;
323 }
324
325 irq = ctz64(pending);
dc9acc9c 326 if (!((extirq == IRQ_M_EXT) ? cpu->cfg.ext_smaia : cpu->cfg.ext_ssaia)) {
43dc93af
AP
327 return irq;
328 }
329
330 pending = pending >> irq;
331 while (pending) {
332 prio = iprio[irq];
333 if (!prio) {
334 if (irq == extirq) {
335 prio = extirq_def_prio;
336 } else {
337 prio = (riscv_cpu_default_priority(irq) < extirq_def_prio) ?
338 1 : IPRIO_MMAXIPRIO;
339 }
340 }
341 if ((pending & 0x1) && (prio <= best_prio)) {
342 best_irq = irq;
343 best_prio = prio;
344 }
345 irq++;
346 pending = pending >> 1;
347 }
348
349 return best_irq;
350}
351
8f42415f 352uint64_t riscv_cpu_all_pending(CPURISCVState *env)
43dc93af
AP
353{
354 uint32_t gein = get_field(env->hstatus, HSTATUS_VGEIN);
355 uint64_t vsgein = (env->hgeip & (1ULL << gein)) ? MIP_VSEIP : 0;
3ec0fe18 356 uint64_t vstip = (env->vstime_irq) ? MIP_VSTIP : 0;
43dc93af 357
3ec0fe18 358 return (env->mip | vsgein | vstip) & env->mie;
43dc93af
AP
359}
360
361int riscv_cpu_mirq_pending(CPURISCVState *env)
362{
363 uint64_t irqs = riscv_cpu_all_pending(env) & ~env->mideleg &
364 ~(MIP_SGEIP | MIP_VSSIP | MIP_VSTIP | MIP_VSEIP);
365
366 return riscv_cpu_pending_to_irq(env, IRQ_M_EXT, IPRIO_DEFAULT_M,
367 irqs, env->miprio);
368}
369
370int riscv_cpu_sirq_pending(CPURISCVState *env)
371{
372 uint64_t irqs = riscv_cpu_all_pending(env) & env->mideleg &
373 ~(MIP_VSSIP | MIP_VSTIP | MIP_VSEIP);
374
375 return riscv_cpu_pending_to_irq(env, IRQ_S_EXT, IPRIO_DEFAULT_S,
376 irqs, env->siprio);
377}
378
379int riscv_cpu_vsirq_pending(CPURISCVState *env)
380{
381 uint64_t irqs = riscv_cpu_all_pending(env) & env->mideleg &
382 (MIP_VSSIP | MIP_VSTIP | MIP_VSEIP);
383
384 return riscv_cpu_pending_to_irq(env, IRQ_S_EXT, IPRIO_DEFAULT_S,
385 irqs >> 1, env->hviprio);
386}
387
388static int riscv_cpu_local_irq_pending(CPURISCVState *env)
389{
390 int virq;
391 uint64_t irqs, pending, mie, hsie, vsie;
392
393 /* Determine interrupt enable state of all privilege modes */
394 if (riscv_cpu_virt_enabled(env)) {
395 mie = 1;
396 hsie = 1;
397 vsie = (env->priv < PRV_S) ||
398 (env->priv == PRV_S && get_field(env->mstatus, MSTATUS_SIE));
0c3e702a 399 } else {
43dc93af
AP
400 mie = (env->priv < PRV_M) ||
401 (env->priv == PRV_M && get_field(env->mstatus, MSTATUS_MIE));
402 hsie = (env->priv < PRV_S) ||
403 (env->priv == PRV_S && get_field(env->mstatus, MSTATUS_SIE));
404 vsie = 0;
405 }
406
407 /* Determine all pending interrupts */
408 pending = riscv_cpu_all_pending(env);
409
410 /* Check M-mode interrupts */
411 irqs = pending & ~env->mideleg & -mie;
412 if (irqs) {
413 return riscv_cpu_pending_to_irq(env, IRQ_M_EXT, IPRIO_DEFAULT_M,
414 irqs, env->miprio);
415 }
416
417 /* Check HS-mode interrupts */
418 irqs = pending & env->mideleg & ~env->hideleg & -hsie;
419 if (irqs) {
420 return riscv_cpu_pending_to_irq(env, IRQ_S_EXT, IPRIO_DEFAULT_S,
421 irqs, env->siprio);
0c3e702a 422 }
43dc93af
AP
423
424 /* Check VS-mode interrupts */
425 irqs = pending & env->mideleg & env->hideleg & -vsie;
426 if (irqs) {
427 virq = riscv_cpu_pending_to_irq(env, IRQ_S_EXT, IPRIO_DEFAULT_S,
428 irqs >> 1, env->hviprio);
429 return (virq <= 0) ? virq : virq + 1;
430 }
431
432 /* Indicate no pending interrupt */
433 return RISCV_EXCP_NONE;
0c3e702a 434}
0c3e702a
MC
435
436bool riscv_cpu_exec_interrupt(CPUState *cs, int interrupt_request)
437{
0c3e702a
MC
438 if (interrupt_request & CPU_INTERRUPT_HARD) {
439 RISCVCPU *cpu = RISCV_CPU(cs);
440 CPURISCVState *env = &cpu->env;
efbdbc26 441 int interruptno = riscv_cpu_local_irq_pending(env);
0c3e702a
MC
442 if (interruptno >= 0) {
443 cs->exception_index = RISCV_EXCP_INT_FLAG | interruptno;
444 riscv_cpu_do_interrupt(cs);
445 return true;
446 }
447 }
0c3e702a
MC
448 return false;
449}
450
b345b480
AF
451/* Return true is floating point support is currently enabled */
452bool riscv_cpu_fp_enabled(CPURISCVState *env)
453{
454 if (env->mstatus & MSTATUS_FS) {
29409c1d
AF
455 if (riscv_cpu_virt_enabled(env) && !(env->mstatus_hs & MSTATUS_FS)) {
456 return false;
457 }
b345b480
AF
458 return true;
459 }
460
461 return false;
462}
463
61b4b69d
LZ
464/* Return true is vector support is currently enabled */
465bool riscv_cpu_vector_enabled(CPURISCVState *env)
466{
467 if (env->mstatus & MSTATUS_VS) {
468 if (riscv_cpu_virt_enabled(env) && !(env->mstatus_hs & MSTATUS_VS)) {
469 return false;
470 }
471 return true;
472 }
473
474 return false;
475}
476
66e594f2
AF
477void riscv_cpu_swap_hypervisor_regs(CPURISCVState *env)
478{
c163b3ba 479 uint64_t mstatus_mask = MSTATUS_MXR | MSTATUS_SUM |
284d697c 480 MSTATUS_SPP | MSTATUS_SPIE | MSTATUS_SIE |
61b4b69d 481 MSTATUS64_UXL | MSTATUS_VS;
c163b3ba
WL
482
483 if (riscv_has_ext(env, RVF)) {
484 mstatus_mask |= MSTATUS_FS;
485 }
66e594f2
AF
486 bool current_virt = riscv_cpu_virt_enabled(env);
487
488 g_assert(riscv_has_ext(env, RVH));
489
66e594f2
AF
490 if (current_virt) {
491 /* Current V=1 and we are about to change to V=0 */
492 env->vsstatus = env->mstatus & mstatus_mask;
493 env->mstatus &= ~mstatus_mask;
494 env->mstatus |= env->mstatus_hs;
495
496 env->vstvec = env->stvec;
497 env->stvec = env->stvec_hs;
498
499 env->vsscratch = env->sscratch;
500 env->sscratch = env->sscratch_hs;
501
502 env->vsepc = env->sepc;
503 env->sepc = env->sepc_hs;
504
505 env->vscause = env->scause;
506 env->scause = env->scause_hs;
507
ac12b601
AP
508 env->vstval = env->stval;
509 env->stval = env->stval_hs;
66e594f2
AF
510
511 env->vsatp = env->satp;
512 env->satp = env->satp_hs;
513 } else {
514 /* Current V=0 and we are about to change to V=1 */
515 env->mstatus_hs = env->mstatus & mstatus_mask;
516 env->mstatus &= ~mstatus_mask;
517 env->mstatus |= env->vsstatus;
518
519 env->stvec_hs = env->stvec;
520 env->stvec = env->vstvec;
521
522 env->sscratch_hs = env->sscratch;
523 env->sscratch = env->vsscratch;
524
525 env->sepc_hs = env->sepc;
526 env->sepc = env->vsepc;
527
528 env->scause_hs = env->scause;
529 env->scause = env->vscause;
530
ac12b601
AP
531 env->stval_hs = env->stval;
532 env->stval = env->vstval;
66e594f2
AF
533
534 env->satp_hs = env->satp;
535 env->satp = env->vsatp;
536 }
537}
538
cd032fe7
AP
539target_ulong riscv_cpu_get_geilen(CPURISCVState *env)
540{
541 if (!riscv_has_ext(env, RVH)) {
542 return 0;
543 }
544
545 return env->geilen;
546}
547
548void riscv_cpu_set_geilen(CPURISCVState *env, target_ulong geilen)
549{
550 if (!riscv_has_ext(env, RVH)) {
551 return;
552 }
553
554 if (geilen > (TARGET_LONG_BITS - 1)) {
555 return;
556 }
557
558 env->geilen = geilen;
559}
560
ef6bb7b6
AF
561bool riscv_cpu_virt_enabled(CPURISCVState *env)
562{
563 if (!riscv_has_ext(env, RVH)) {
564 return false;
565 }
566
567 return get_field(env->virt, VIRT_ONOFF);
568}
569
570void riscv_cpu_set_virt_enabled(CPURISCVState *env, bool enable)
571{
572 if (!riscv_has_ext(env, RVH)) {
573 return;
574 }
575
eccc5a12
AF
576 /* Flush the TLB on all virt mode changes. */
577 if (get_field(env->virt, VIRT_ONOFF) != enable) {
578 tlb_flush(env_cpu(env));
579 }
580
ef6bb7b6 581 env->virt = set_field(env->virt, VIRT_ONOFF, enable);
02d9565b
AP
582
583 if (enable) {
584 /*
585 * The guest external interrupts from an interrupt controller are
586 * delivered only when the Guest/VM is running (i.e. V=1). This means
587 * any guest external interrupt which is triggered while the Guest/VM
588 * is not running (i.e. V=0) will be missed on QEMU resulting in guest
589 * with sluggish response to serial console input and other I/O events.
590 *
591 * To solve this, we check and inject interrupt after setting V=1.
592 */
593 riscv_cpu_update_mip(env_archcpu(env), 0, 0);
594 }
ef6bb7b6
AF
595}
596
1c1c060a 597bool riscv_cpu_two_stage_lookup(int mmu_idx)
5a894dd7 598{
1c1c060a 599 return mmu_idx & TB_FLAGS_PRIV_HYP_ACCESS_MASK;
5a894dd7
AF
600}
601
d028ac75 602int riscv_cpu_claim_interrupts(RISCVCPU *cpu, uint64_t interrupts)
e3e7039c
MC
603{
604 CPURISCVState *env = &cpu->env;
605 if (env->miclaim & interrupts) {
606 return -1;
607 } else {
608 env->miclaim |= interrupts;
609 return 0;
610 }
611}
612
d028ac75 613uint64_t riscv_cpu_update_mip(RISCVCPU *cpu, uint64_t mask, uint64_t value)
df354dd4
MC
614{
615 CPURISCVState *env = &cpu->env;
0a01f2ee 616 CPUState *cs = CPU(cpu);
3ec0fe18 617 uint64_t gein, vsgein = 0, vstip = 0, old = env->mip;
7ec5d303 618
cd032fe7
AP
619 if (riscv_cpu_virt_enabled(env)) {
620 gein = get_field(env->hstatus, HSTATUS_VGEIN);
621 vsgein = (env->hgeip & (1ULL << gein)) ? MIP_VSEIP : 0;
622 }
623
3ec0fe18
AP
624 vstip = env->vstime_irq ? MIP_VSTIP : 0;
625
b3eb5b86 626 QEMU_IOTHREAD_LOCK_GUARD();
df354dd4 627
7ec5d303 628 env->mip = (env->mip & ~mask) | (value & mask);
df354dd4 629
3ec0fe18 630 if (env->mip | vsgein | vstip) {
7ec5d303
AF
631 cpu_interrupt(cs, CPU_INTERRUPT_HARD);
632 } else {
633 cpu_reset_interrupt(cs, CPU_INTERRUPT_HARD);
634 }
0a01f2ee 635
df354dd4
MC
636 return old;
637}
638
e2f01f3c
FC
639void riscv_cpu_set_rdtime_fn(CPURISCVState *env, uint64_t (*fn)(void *),
640 void *arg)
c6957248
AP
641{
642 env->rdtime_fn = fn;
a47ef6e9 643 env->rdtime_fn_arg = arg;
c6957248
AP
644}
645
69077dd6
AP
646void riscv_cpu_set_aia_ireg_rmw_fn(CPURISCVState *env, uint32_t priv,
647 int (*rmw_fn)(void *arg,
648 target_ulong reg,
649 target_ulong *val,
650 target_ulong new_val,
651 target_ulong write_mask),
652 void *rmw_fn_arg)
653{
654 if (priv <= PRV_M) {
655 env->aia_ireg_rmw_fn[priv] = rmw_fn;
656 env->aia_ireg_rmw_fn_arg[priv] = rmw_fn_arg;
657 }
658}
659
fb738839 660void riscv_cpu_set_mode(CPURISCVState *env, target_ulong newpriv)
df354dd4
MC
661{
662 if (newpriv > PRV_M) {
663 g_assert_not_reached();
664 }
665 if (newpriv == PRV_H) {
666 newpriv = PRV_U;
667 }
5a4ae64c
LZ
668 if (icount_enabled() && newpriv != env->priv) {
669 riscv_itrigger_update_priv(env);
670 }
df354dd4
MC
671 /* tlb_flush is unnecessary as mode is contained in mmu_idx */
672 env->priv = newpriv;
440544e1 673 env->xl = cpu_recompute_xl(env);
40bfa5f6 674 riscv_cpu_update_mask(env);
c13b169f
JS
675
676 /*
677 * Clear the load reservation - otherwise a reservation placed in one
678 * context/process can be used by another, resulting in an SC succeeding
679 * incorrectly. Version 2.2 of the ISA specification explicitly requires
680 * this behaviour, while later revisions say that the kernel "should" use
681 * an SC instruction to force the yielding of a load reservation on a
682 * preemptive context switch. As a result, do both.
683 */
684 env->load_res = -1;
df354dd4
MC
685}
686
b297129a
JS
687/*
688 * get_physical_address_pmp - check PMP permission for this physical address
689 *
690 * Match the PMP region and check permission for this physical address and it's
691 * TLB page. Returns 0 if the permission checking was successful
692 *
693 * @env: CPURISCVState
694 * @prot: The returned protection attributes
695 * @tlb_size: TLB page size containing addr. It could be modified after PMP
696 * permission checking. NULL if not set TLB page for addr.
697 * @addr: The physical address to be checked permission
698 * @access_type: The type of MMU access
699 * @mode: Indicates current privilege level.
700 */
701static int get_physical_address_pmp(CPURISCVState *env, int *prot,
702 target_ulong *tlb_size, hwaddr addr,
703 int size, MMUAccessType access_type,
704 int mode)
705{
706 pmp_priv_t pmp_priv;
824cac68 707 int pmp_index = -1;
b297129a
JS
708
709 if (!riscv_feature(env, RISCV_FEATURE_PMP)) {
710 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
711 return TRANSLATE_SUCCESS;
712 }
713
824cac68
LZ
714 pmp_index = pmp_hart_has_privs(env, addr, size, 1 << access_type,
715 &pmp_priv, mode);
716 if (pmp_index < 0) {
b297129a
JS
717 *prot = 0;
718 return TRANSLATE_PMP_FAIL;
719 }
720
721 *prot = pmp_priv_to_page_prot(pmp_priv);
824cac68
LZ
722 if ((tlb_size != NULL) && pmp_index != MAX_RISCV_PMPS) {
723 target_ulong tlb_sa = addr & ~(TARGET_PAGE_SIZE - 1);
724 target_ulong tlb_ea = tlb_sa + TARGET_PAGE_SIZE - 1;
725
726 *tlb_size = pmp_get_tlb_size(env, pmp_index, tlb_sa, tlb_ea);
b297129a
JS
727 }
728
729 return TRANSLATE_SUCCESS;
730}
731
0c3e702a
MC
732/* get_physical_address - get the physical address for this virtual address
733 *
734 * Do a page table walk to obtain the physical address corresponding to a
735 * virtual address. Returns 0 if the translation was successful
736 *
737 * Adapted from Spike's mmu_t::translate and mmu_t::walk
738 *
1448689c
AF
739 * @env: CPURISCVState
740 * @physical: This will be set to the calculated physical address
741 * @prot: The returned protection attributes
742 * @addr: The virtual address to be translated
33a9a57d
YJ
743 * @fault_pte_addr: If not NULL, this will be set to fault pte address
744 * when a error occurs on pte address translation.
745 * This will already be shifted to match htval.
1448689c
AF
746 * @access_type: The type of MMU access
747 * @mmu_idx: Indicates current privilege level
748 * @first_stage: Are we in first stage translation?
749 * Second stage is used for hypervisor guest translation
36a18664 750 * @two_stage: Are we going to perform two stage translation
11c27c6d 751 * @is_debug: Is this access from a debugger or the monitor?
0c3e702a
MC
752 */
753static int get_physical_address(CPURISCVState *env, hwaddr *physical,
754 int *prot, target_ulong addr,
33a9a57d 755 target_ulong *fault_pte_addr,
1448689c 756 int access_type, int mmu_idx,
11c27c6d
JF
757 bool first_stage, bool two_stage,
758 bool is_debug)
0c3e702a
MC
759{
760 /* NOTE: the env->pc value visible here will not be
761 * correct, but the value visible to the exception handler
762 * (riscv_cpu_do_interrupt) is correct */
aacb578f
PD
763 MemTxResult res;
764 MemTxAttrs attrs = MEMTXATTRS_UNSPECIFIED;
c445593d 765 int mode = mmu_idx & TB_FLAGS_PRIV_MMU_MASK;
36a18664 766 bool use_background = false;
05e6ca5e
GR
767 hwaddr ppn;
768 RISCVCPU *cpu = env_archcpu(env);
2bacb224
WL
769 int napot_bits = 0;
770 target_ulong napot_mask;
0c3e702a 771
36a18664
AF
772 /*
773 * Check if we should use the background registers for the two
774 * stage translation. We don't need to check if we actually need
775 * two stage translation as that happened before this function
776 * was called. Background registers will be used if the guest has
777 * forced a two stage translation to be on (in HS or M mode).
778 */
db9ab38b 779 if (!riscv_cpu_virt_enabled(env) && two_stage) {
29b3361b
AF
780 use_background = true;
781 }
782
90ec1cff
GK
783 /* MPRV does not affect the virtual-machine load/store
784 instructions, HLV, HLVX, and HSV. */
785 if (riscv_cpu_two_stage_lookup(mmu_idx)) {
786 mode = get_field(env->hstatus, HSTATUS_SPVP);
787 } else if (mode == PRV_M && access_type != MMU_INST_FETCH) {
0c3e702a
MC
788 if (get_field(env->mstatus, MSTATUS_MPRV)) {
789 mode = get_field(env->mstatus, MSTATUS_MPP);
790 }
791 }
792
36a18664
AF
793 if (first_stage == false) {
794 /* We are in stage 2 translation, this is similar to stage 1. */
795 /* Stage 2 is always taken as U-mode */
796 mode = PRV_U;
797 }
798
0c3e702a
MC
799 if (mode == PRV_M || !riscv_feature(env, RISCV_FEATURE_MMU)) {
800 *physical = addr;
801 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
802 return TRANSLATE_SUCCESS;
803 }
804
805 *prot = 0;
806
ddf78132 807 hwaddr base;
36a18664
AF
808 int levels, ptidxbits, ptesize, vm, sum, mxr, widened;
809
810 if (first_stage == true) {
811 mxr = get_field(env->mstatus, MSTATUS_MXR);
812 } else {
813 mxr = get_field(env->vsstatus, MSTATUS_MXR);
814 }
0c3e702a 815
1a9540d1
AF
816 if (first_stage == true) {
817 if (use_background) {
db23e5d9 818 if (riscv_cpu_mxl(env) == MXL_RV32) {
419ddf00
AF
819 base = (hwaddr)get_field(env->vsatp, SATP32_PPN) << PGSHIFT;
820 vm = get_field(env->vsatp, SATP32_MODE);
821 } else {
822 base = (hwaddr)get_field(env->vsatp, SATP64_PPN) << PGSHIFT;
823 vm = get_field(env->vsatp, SATP64_MODE);
824 }
36a18664 825 } else {
db23e5d9 826 if (riscv_cpu_mxl(env) == MXL_RV32) {
419ddf00
AF
827 base = (hwaddr)get_field(env->satp, SATP32_PPN) << PGSHIFT;
828 vm = get_field(env->satp, SATP32_MODE);
829 } else {
830 base = (hwaddr)get_field(env->satp, SATP64_PPN) << PGSHIFT;
831 vm = get_field(env->satp, SATP64_MODE);
832 }
0c3e702a 833 }
36a18664 834 widened = 0;
1a9540d1 835 } else {
db23e5d9 836 if (riscv_cpu_mxl(env) == MXL_RV32) {
994b6bb2
AF
837 base = (hwaddr)get_field(env->hgatp, SATP32_PPN) << PGSHIFT;
838 vm = get_field(env->hgatp, SATP32_MODE);
839 } else {
840 base = (hwaddr)get_field(env->hgatp, SATP64_PPN) << PGSHIFT;
841 vm = get_field(env->hgatp, SATP64_MODE);
842 }
1a9540d1
AF
843 widened = 2;
844 }
c63ca4ff 845 /* status.SUM will be ignored if execute on background */
11c27c6d 846 sum = get_field(env->mstatus, MSTATUS_SUM) || use_background || is_debug;
1a9540d1
AF
847 switch (vm) {
848 case VM_1_10_SV32:
849 levels = 2; ptidxbits = 10; ptesize = 4; break;
850 case VM_1_10_SV39:
851 levels = 3; ptidxbits = 9; ptesize = 8; break;
852 case VM_1_10_SV48:
853 levels = 4; ptidxbits = 9; ptesize = 8; break;
854 case VM_1_10_SV57:
855 levels = 5; ptidxbits = 9; ptesize = 8; break;
856 case VM_1_10_MBARE:
857 *physical = addr;
858 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
859 return TRANSLATE_SUCCESS;
860 default:
861 g_assert_not_reached();
0c3e702a
MC
862 }
863
3109cd98 864 CPUState *cs = env_cpu(env);
36a18664
AF
865 int va_bits = PGSHIFT + levels * ptidxbits + widened;
866 target_ulong mask, masked_msbs;
867
868 if (TARGET_LONG_BITS > (va_bits - 1)) {
869 mask = (1L << (TARGET_LONG_BITS - (va_bits - 1))) - 1;
870 } else {
871 mask = 0;
872 }
873 masked_msbs = (addr >> (va_bits - 1)) & mask;
874
0c3e702a
MC
875 if (masked_msbs != 0 && masked_msbs != mask) {
876 return TRANSLATE_FAIL;
877 }
878
879 int ptshift = (levels - 1) * ptidxbits;
880 int i;
881
882#if !TCG_OVERSIZED_GUEST
883restart:
884#endif
885 for (i = 0; i < levels; i++, ptshift -= ptidxbits) {
36a18664
AF
886 target_ulong idx;
887 if (i == 0) {
888 idx = (addr >> (PGSHIFT + ptshift)) &
889 ((1 << (ptidxbits + widened)) - 1);
890 } else {
891 idx = (addr >> (PGSHIFT + ptshift)) &
0c3e702a 892 ((1 << ptidxbits) - 1);
36a18664 893 }
0c3e702a
MC
894
895 /* check that physical address of PTE is legal */
36a18664
AF
896 hwaddr pte_addr;
897
898 if (two_stage && first_stage) {
38472890 899 int vbase_prot;
36a18664
AF
900 hwaddr vbase;
901
902 /* Do the second stage translation on the base PTE address. */
88914473 903 int vbase_ret = get_physical_address(env, &vbase, &vbase_prot,
33a9a57d 904 base, NULL, MMU_DATA_LOAD,
11c27c6d
JF
905 mmu_idx, false, true,
906 is_debug);
88914473
AF
907
908 if (vbase_ret != TRANSLATE_SUCCESS) {
33a9a57d
YJ
909 if (fault_pte_addr) {
910 *fault_pte_addr = (base + idx * ptesize) >> 2;
911 }
912 return TRANSLATE_G_STAGE_FAIL;
88914473 913 }
36a18664
AF
914
915 pte_addr = vbase + idx * ptesize;
916 } else {
917 pte_addr = base + idx * ptesize;
918 }
1f447aec 919
b297129a
JS
920 int pmp_prot;
921 int pmp_ret = get_physical_address_pmp(env, &pmp_prot, NULL, pte_addr,
922 sizeof(target_ulong),
923 MMU_DATA_LOAD, PRV_S);
924 if (pmp_ret != TRANSLATE_SUCCESS) {
1f447aec
HA
925 return TRANSLATE_PMP_FAIL;
926 }
aacb578f 927
f08c7ff3 928 target_ulong pte;
db23e5d9 929 if (riscv_cpu_mxl(env) == MXL_RV32) {
f08c7ff3
AF
930 pte = address_space_ldl(cs->as, pte_addr, attrs, &res);
931 } else {
932 pte = address_space_ldq(cs->as, pte_addr, attrs, &res);
933 }
934
aacb578f
PD
935 if (res != MEMTX_OK) {
936 return TRANSLATE_FAIL;
937 }
938
05e6ca5e
GR
939 if (riscv_cpu_sxl(env) == MXL_RV32) {
940 ppn = pte >> PTE_PPN_SHIFT;
941 } else if (cpu->cfg.ext_svpbmt || cpu->cfg.ext_svnapot) {
942 ppn = (pte & (target_ulong)PTE_PPN_MASK) >> PTE_PPN_SHIFT;
943 } else {
944 ppn = pte >> PTE_PPN_SHIFT;
945 if ((pte & ~(target_ulong)PTE_PPN_MASK) >> PTE_PPN_SHIFT) {
946 return TRANSLATE_FAIL;
947 }
948 }
0c3e702a 949
c3b03e58
MC
950 if (!(pte & PTE_V)) {
951 /* Invalid PTE */
952 return TRANSLATE_FAIL;
bbce8ba8
WL
953 } else if (!cpu->cfg.ext_svpbmt && (pte & PTE_PBMT)) {
954 return TRANSLATE_FAIL;
c3b03e58
MC
955 } else if (!(pte & (PTE_R | PTE_W | PTE_X))) {
956 /* Inner PTE, continue walking */
bbce8ba8 957 if (pte & (PTE_D | PTE_A | PTE_U | PTE_ATTR)) {
b6ecc63c
WL
958 return TRANSLATE_FAIL;
959 }
0c3e702a 960 base = ppn << PGSHIFT;
c3b03e58
MC
961 } else if ((pte & (PTE_R | PTE_W | PTE_X)) == PTE_W) {
962 /* Reserved leaf PTE flags: PTE_W */
963 return TRANSLATE_FAIL;
964 } else if ((pte & (PTE_R | PTE_W | PTE_X)) == (PTE_W | PTE_X)) {
965 /* Reserved leaf PTE flags: PTE_W + PTE_X */
966 return TRANSLATE_FAIL;
967 } else if ((pte & PTE_U) && ((mode != PRV_U) &&
968 (!sum || access_type == MMU_INST_FETCH))) {
969 /* User PTE flags when not U mode and mstatus.SUM is not set,
970 or the access type is an instruction fetch */
971 return TRANSLATE_FAIL;
972 } else if (!(pte & PTE_U) && (mode != PRV_S)) {
973 /* Supervisor PTE flags when not S mode */
974 return TRANSLATE_FAIL;
975 } else if (ppn & ((1ULL << ptshift) - 1)) {
976 /* Misaligned PPN */
977 return TRANSLATE_FAIL;
978 } else if (access_type == MMU_DATA_LOAD && !((pte & PTE_R) ||
979 ((pte & PTE_X) && mxr))) {
980 /* Read access check failed */
981 return TRANSLATE_FAIL;
982 } else if (access_type == MMU_DATA_STORE && !(pte & PTE_W)) {
983 /* Write access check failed */
984 return TRANSLATE_FAIL;
985 } else if (access_type == MMU_INST_FETCH && !(pte & PTE_X)) {
986 /* Fetch access check failed */
987 return TRANSLATE_FAIL;
0c3e702a
MC
988 } else {
989 /* if necessary, set accessed and dirty bits. */
990 target_ulong updated_pte = pte | PTE_A |
991 (access_type == MMU_DATA_STORE ? PTE_D : 0);
992
993 /* Page table updates need to be atomic with MTTCG enabled */
994 if (updated_pte != pte) {
c3b03e58
MC
995 /*
996 * - if accessed or dirty bits need updating, and the PTE is
997 * in RAM, then we do so atomically with a compare and swap.
998 * - if the PTE is in IO space or ROM, then it can't be updated
999 * and we return TRANSLATE_FAIL.
1000 * - if the PTE changed by the time we went to update it, then
1001 * it is no longer valid and we must re-walk the page table.
1002 */
0c3e702a
MC
1003 MemoryRegion *mr;
1004 hwaddr l = sizeof(target_ulong), addr1;
1005 mr = address_space_translate(cs->as, pte_addr,
bc6b1cec 1006 &addr1, &l, false, MEMTXATTRS_UNSPECIFIED);
c3b03e58 1007 if (memory_region_is_ram(mr)) {
0c3e702a
MC
1008 target_ulong *pte_pa =
1009 qemu_map_ram_ptr(mr->ram_block, addr1);
1010#if TCG_OVERSIZED_GUEST
1011 /* MTTCG is not enabled on oversized TCG guests so
1012 * page table updates do not need to be atomic */
1013 *pte_pa = pte = updated_pte;
1014#else
1015 target_ulong old_pte =
d73415a3 1016 qatomic_cmpxchg(pte_pa, pte, updated_pte);
0c3e702a
MC
1017 if (old_pte != pte) {
1018 goto restart;
1019 } else {
1020 pte = updated_pte;
1021 }
1022#endif
1023 } else {
1024 /* misconfigured PTE in ROM (AD bits are not preset) or
1025 * PTE is in IO space and can't be updated atomically */
1026 return TRANSLATE_FAIL;
1027 }
1028 }
1029
1030 /* for superpage mappings, make a fake leaf PTE for the TLB's
1031 benefit. */
1032 target_ulong vpn = addr >> PGSHIFT;
2bacb224
WL
1033
1034 if (cpu->cfg.ext_svnapot && (pte & PTE_N)) {
1035 napot_bits = ctzl(ppn) + 1;
1036 if ((i != (levels - 1)) || (napot_bits != 4)) {
1037 return TRANSLATE_FAIL;
1038 }
1039 }
1040
1041 napot_mask = (1 << napot_bits) - 1;
1042 *physical = (((ppn & ~napot_mask) | (vpn & napot_mask) |
1043 (vpn & (((target_ulong)1 << ptshift) - 1))
1044 ) << PGSHIFT) | (addr & ~TARGET_PAGE_MASK);
0c3e702a 1045
c3b03e58
MC
1046 /* set permissions on the TLB entry */
1047 if ((pte & PTE_R) || ((pte & PTE_X) && mxr)) {
0c3e702a
MC
1048 *prot |= PAGE_READ;
1049 }
1050 if ((pte & PTE_X)) {
1051 *prot |= PAGE_EXEC;
1052 }
c3b03e58
MC
1053 /* add write permission on stores or if the page is already dirty,
1054 so that we TLB miss on later writes to update the dirty bit */
0c3e702a
MC
1055 if ((pte & PTE_W) &&
1056 (access_type == MMU_DATA_STORE || (pte & PTE_D))) {
1057 *prot |= PAGE_WRITE;
1058 }
1059 return TRANSLATE_SUCCESS;
1060 }
1061 }
1062 return TRANSLATE_FAIL;
1063}
1064
1065static void raise_mmu_exception(CPURISCVState *env, target_ulong address,
1448689c 1066 MMUAccessType access_type, bool pmp_violation,
8e2aa21b
AP
1067 bool first_stage, bool two_stage,
1068 bool two_stage_indirect)
0c3e702a 1069{
3109cd98 1070 CPUState *cs = env_cpu(env);
994b6bb2 1071 int page_fault_exceptions, vm;
419ddf00
AF
1072 uint64_t stap_mode;
1073
db23e5d9 1074 if (riscv_cpu_mxl(env) == MXL_RV32) {
419ddf00
AF
1075 stap_mode = SATP32_MODE;
1076 } else {
1077 stap_mode = SATP64_MODE;
1078 }
994b6bb2 1079
1448689c 1080 if (first_stage) {
419ddf00 1081 vm = get_field(env->satp, stap_mode);
1448689c 1082 } else {
419ddf00 1083 vm = get_field(env->hgatp, stap_mode);
1448689c 1084 }
419ddf00 1085
994b6bb2
AF
1086 page_fault_exceptions = vm != VM_1_10_MBARE && !pmp_violation;
1087
0c3e702a
MC
1088 switch (access_type) {
1089 case MMU_INST_FETCH:
b2ef6ab9
AF
1090 if (riscv_cpu_virt_enabled(env) && !first_stage) {
1091 cs->exception_index = RISCV_EXCP_INST_GUEST_PAGE_FAULT;
1092 } else {
1093 cs->exception_index = page_fault_exceptions ?
1094 RISCV_EXCP_INST_PAGE_FAULT : RISCV_EXCP_INST_ACCESS_FAULT;
1095 }
0c3e702a
MC
1096 break;
1097 case MMU_DATA_LOAD:
1c1c060a 1098 if (two_stage && !first_stage) {
b2ef6ab9
AF
1099 cs->exception_index = RISCV_EXCP_LOAD_GUEST_ACCESS_FAULT;
1100 } else {
1101 cs->exception_index = page_fault_exceptions ?
1102 RISCV_EXCP_LOAD_PAGE_FAULT : RISCV_EXCP_LOAD_ACCESS_FAULT;
1103 }
0c3e702a
MC
1104 break;
1105 case MMU_DATA_STORE:
1c1c060a 1106 if (two_stage && !first_stage) {
b2ef6ab9
AF
1107 cs->exception_index = RISCV_EXCP_STORE_GUEST_AMO_ACCESS_FAULT;
1108 } else {
1109 cs->exception_index = page_fault_exceptions ?
1110 RISCV_EXCP_STORE_PAGE_FAULT : RISCV_EXCP_STORE_AMO_ACCESS_FAULT;
1111 }
0c3e702a
MC
1112 break;
1113 default:
1114 g_assert_not_reached();
1115 }
1116 env->badaddr = address;
ec352d0c 1117 env->two_stage_lookup = two_stage;
8e2aa21b 1118 env->two_stage_indirect_lookup = two_stage_indirect;
0c3e702a
MC
1119}
1120
1121hwaddr riscv_cpu_get_phys_page_debug(CPUState *cs, vaddr addr)
1122{
1123 RISCVCPU *cpu = RISCV_CPU(cs);
36a18664 1124 CPURISCVState *env = &cpu->env;
0c3e702a
MC
1125 hwaddr phys_addr;
1126 int prot;
1127 int mmu_idx = cpu_mmu_index(&cpu->env, false);
1128
33a9a57d 1129 if (get_physical_address(env, &phys_addr, &prot, addr, NULL, 0, mmu_idx,
11c27c6d 1130 true, riscv_cpu_virt_enabled(env), true)) {
0c3e702a
MC
1131 return -1;
1132 }
36a18664
AF
1133
1134 if (riscv_cpu_virt_enabled(env)) {
33a9a57d 1135 if (get_physical_address(env, &phys_addr, &prot, phys_addr, NULL,
11c27c6d 1136 0, mmu_idx, false, true, true)) {
36a18664
AF
1137 return -1;
1138 }
1139 }
1140
9ef82119 1141 return phys_addr & TARGET_PAGE_MASK;
0c3e702a
MC
1142}
1143
37207e12
PD
1144void riscv_cpu_do_transaction_failed(CPUState *cs, hwaddr physaddr,
1145 vaddr addr, unsigned size,
1146 MMUAccessType access_type,
1147 int mmu_idx, MemTxAttrs attrs,
1148 MemTxResult response, uintptr_t retaddr)
cbf58276
MC
1149{
1150 RISCVCPU *cpu = RISCV_CPU(cs);
1151 CPURISCVState *env = &cpu->env;
1152
37207e12 1153 if (access_type == MMU_DATA_STORE) {
cbf58276 1154 cs->exception_index = RISCV_EXCP_STORE_AMO_ACCESS_FAULT;
f9e580c1 1155 } else if (access_type == MMU_DATA_LOAD) {
cbf58276 1156 cs->exception_index = RISCV_EXCP_LOAD_ACCESS_FAULT;
f9e580c1
EB
1157 } else {
1158 cs->exception_index = RISCV_EXCP_INST_ACCESS_FAULT;
cbf58276
MC
1159 }
1160
1161 env->badaddr = addr;
ec352d0c
GK
1162 env->two_stage_lookup = riscv_cpu_virt_enabled(env) ||
1163 riscv_cpu_two_stage_lookup(mmu_idx);
8e2aa21b 1164 env->two_stage_indirect_lookup = false;
ac684717 1165 cpu_loop_exit_restore(cs, retaddr);
cbf58276
MC
1166}
1167
0c3e702a
MC
1168void riscv_cpu_do_unaligned_access(CPUState *cs, vaddr addr,
1169 MMUAccessType access_type, int mmu_idx,
1170 uintptr_t retaddr)
1171{
1172 RISCVCPU *cpu = RISCV_CPU(cs);
1173 CPURISCVState *env = &cpu->env;
1174 switch (access_type) {
1175 case MMU_INST_FETCH:
1176 cs->exception_index = RISCV_EXCP_INST_ADDR_MIS;
1177 break;
1178 case MMU_DATA_LOAD:
1179 cs->exception_index = RISCV_EXCP_LOAD_ADDR_MIS;
1180 break;
1181 case MMU_DATA_STORE:
1182 cs->exception_index = RISCV_EXCP_STORE_AMO_ADDR_MIS;
1183 break;
1184 default:
1185 g_assert_not_reached();
1186 }
1187 env->badaddr = addr;
ec352d0c
GK
1188 env->two_stage_lookup = riscv_cpu_virt_enabled(env) ||
1189 riscv_cpu_two_stage_lookup(mmu_idx);
8e2aa21b 1190 env->two_stage_indirect_lookup = false;
ac684717 1191 cpu_loop_exit_restore(cs, retaddr);
0c3e702a 1192}
0c3e702a 1193
892320fa
AP
1194
1195static void pmu_tlb_fill_incr_ctr(RISCVCPU *cpu, MMUAccessType access_type)
1196{
1197 enum riscv_pmu_event_idx pmu_event_type;
1198
1199 switch (access_type) {
1200 case MMU_INST_FETCH:
1201 pmu_event_type = RISCV_PMU_EVENT_CACHE_ITLB_PREFETCH_MISS;
1202 break;
1203 case MMU_DATA_LOAD:
1204 pmu_event_type = RISCV_PMU_EVENT_CACHE_DTLB_READ_MISS;
1205 break;
1206 case MMU_DATA_STORE:
1207 pmu_event_type = RISCV_PMU_EVENT_CACHE_DTLB_WRITE_MISS;
1208 break;
1209 default:
1210 return;
1211 }
1212
1213 riscv_pmu_incr_ctr(cpu, pmu_event_type);
1214}
1215
8a4ca3c1
RH
1216bool riscv_cpu_tlb_fill(CPUState *cs, vaddr address, int size,
1217 MMUAccessType access_type, int mmu_idx,
1218 bool probe, uintptr_t retaddr)
0c3e702a
MC
1219{
1220 RISCVCPU *cpu = RISCV_CPU(cs);
1221 CPURISCVState *env = &cpu->env;
36a18664 1222 vaddr im_address;
0c3e702a 1223 hwaddr pa = 0;
b297129a 1224 int prot, prot2, prot_pmp;
635b0b0e 1225 bool pmp_violation = false;
36a18664 1226 bool first_stage_error = true;
1c1c060a 1227 bool two_stage_lookup = false;
8e2aa21b 1228 bool two_stage_indirect_error = false;
0c3e702a 1229 int ret = TRANSLATE_FAIL;
cc0fdb29 1230 int mode = mmu_idx;
b297129a
JS
1231 /* default TLB page size */
1232 target_ulong tlb_size = TARGET_PAGE_SIZE;
0c3e702a 1233
36a18664
AF
1234 env->guest_phys_fault_addr = 0;
1235
8a4ca3c1
RH
1236 qemu_log_mask(CPU_LOG_MMU, "%s ad %" VADDR_PRIx " rw %d mmu_idx %d\n",
1237 __func__, address, access_type, mmu_idx);
1238
90ec1cff
GK
1239 /* MPRV does not affect the virtual-machine load/store
1240 instructions, HLV, HLVX, and HSV. */
1241 if (riscv_cpu_two_stage_lookup(mmu_idx)) {
1242 mode = get_field(env->hstatus, HSTATUS_SPVP);
1243 } else if (mode == PRV_M && access_type != MMU_INST_FETCH &&
1244 get_field(env->mstatus, MSTATUS_MPRV)) {
1245 mode = get_field(env->mstatus, MSTATUS_MPP);
1246 if (riscv_has_ext(env, RVH) && get_field(env->mstatus, MSTATUS_MPV)) {
1247 two_stage_lookup = true;
cc0fdb29
HA
1248 }
1249 }
1250
eacd03cb 1251 pmu_tlb_fill_incr_ctr(cpu, access_type);
29b3361b 1252 if (riscv_cpu_virt_enabled(env) ||
1c1c060a
AF
1253 ((riscv_cpu_two_stage_lookup(mmu_idx) || two_stage_lookup) &&
1254 access_type != MMU_INST_FETCH)) {
36a18664 1255 /* Two stage lookup */
33a9a57d
YJ
1256 ret = get_physical_address(env, &pa, &prot, address,
1257 &env->guest_phys_fault_addr, access_type,
11c27c6d 1258 mmu_idx, true, true, false);
36a18664 1259
33a9a57d
YJ
1260 /*
1261 * A G-stage exception may be triggered during two state lookup.
1262 * And the env->guest_phys_fault_addr has already been set in
1263 * get_physical_address().
1264 */
1265 if (ret == TRANSLATE_G_STAGE_FAIL) {
1266 first_stage_error = false;
8e2aa21b 1267 two_stage_indirect_error = true;
33a9a57d
YJ
1268 access_type = MMU_DATA_LOAD;
1269 }
1270
36a18664
AF
1271 qemu_log_mask(CPU_LOG_MMU,
1272 "%s 1st-stage address=%" VADDR_PRIx " ret %d physical "
883f2c59 1273 HWADDR_FMT_plx " prot %d\n",
36a18664
AF
1274 __func__, address, ret, pa, prot);
1275
33a9a57d 1276 if (ret == TRANSLATE_SUCCESS) {
36a18664
AF
1277 /* Second stage lookup */
1278 im_address = pa;
1279
33a9a57d 1280 ret = get_physical_address(env, &pa, &prot2, im_address, NULL,
11c27c6d
JF
1281 access_type, mmu_idx, false, true,
1282 false);
36a18664
AF
1283
1284 qemu_log_mask(CPU_LOG_MMU,
1285 "%s 2nd-stage address=%" VADDR_PRIx " ret %d physical "
883f2c59 1286 HWADDR_FMT_plx " prot %d\n",
8f67cd6d
AF
1287 __func__, im_address, ret, pa, prot2);
1288
1289 prot &= prot2;
36a18664 1290
b297129a
JS
1291 if (ret == TRANSLATE_SUCCESS) {
1292 ret = get_physical_address_pmp(env, &prot_pmp, &tlb_size, pa,
1293 size, access_type, mode);
663e1193
JS
1294
1295 qemu_log_mask(CPU_LOG_MMU,
883f2c59 1296 "%s PMP address=" HWADDR_FMT_plx " ret %d prot"
663e1193
JS
1297 " %d tlb_size " TARGET_FMT_lu "\n",
1298 __func__, pa, ret, prot_pmp, tlb_size);
1299
b297129a 1300 prot &= prot_pmp;
36a18664
AF
1301 }
1302
1303 if (ret != TRANSLATE_SUCCESS) {
1304 /*
1305 * Guest physical address translation failed, this is a HS
1306 * level exception
1307 */
1308 first_stage_error = false;
1309 env->guest_phys_fault_addr = (im_address |
1310 (address &
1311 (TARGET_PAGE_SIZE - 1))) >> 2;
1312 }
1313 }
1314 } else {
1315 /* Single stage lookup */
33a9a57d 1316 ret = get_physical_address(env, &pa, &prot, address, NULL,
11c27c6d 1317 access_type, mmu_idx, true, false, false);
36a18664
AF
1318
1319 qemu_log_mask(CPU_LOG_MMU,
1320 "%s address=%" VADDR_PRIx " ret %d physical "
883f2c59 1321 HWADDR_FMT_plx " prot %d\n",
36a18664 1322 __func__, address, ret, pa, prot);
8a4ca3c1 1323
b297129a
JS
1324 if (ret == TRANSLATE_SUCCESS) {
1325 ret = get_physical_address_pmp(env, &prot_pmp, &tlb_size, pa,
1326 size, access_type, mode);
663e1193
JS
1327
1328 qemu_log_mask(CPU_LOG_MMU,
883f2c59 1329 "%s PMP address=" HWADDR_FMT_plx " ret %d prot"
663e1193
JS
1330 " %d tlb_size " TARGET_FMT_lu "\n",
1331 __func__, pa, ret, prot_pmp, tlb_size);
1332
b297129a
JS
1333 prot &= prot_pmp;
1334 }
1f447aec 1335 }
b297129a 1336
1f447aec 1337 if (ret == TRANSLATE_PMP_FAIL) {
635b0b0e 1338 pmp_violation = true;
0c3e702a 1339 }
36a18664 1340
0c3e702a 1341 if (ret == TRANSLATE_SUCCESS) {
b297129a
JS
1342 tlb_set_page(cs, address & ~(tlb_size - 1), pa & ~(tlb_size - 1),
1343 prot, mmu_idx, tlb_size);
8a4ca3c1
RH
1344 return true;
1345 } else if (probe) {
1346 return false;
1347 } else {
1c1c060a
AF
1348 raise_mmu_exception(env, address, access_type, pmp_violation,
1349 first_stage_error,
1350 riscv_cpu_virt_enabled(env) ||
8e2aa21b
AP
1351 riscv_cpu_two_stage_lookup(mmu_idx),
1352 two_stage_indirect_error);
ac684717 1353 cpu_loop_exit_restore(cs, retaddr);
0c3e702a 1354 }
36a18664
AF
1355
1356 return true;
0c3e702a 1357}
8e2aa21b
AP
1358
1359static target_ulong riscv_transformed_insn(CPURISCVState *env,
1360 target_ulong insn,
1361 target_ulong taddr)
1362{
1363 target_ulong xinsn = 0;
1364 target_ulong access_rs1 = 0, access_imm = 0, access_size = 0;
1365
1366 /*
1367 * Only Quadrant 0 and Quadrant 2 of RVC instruction space need to
1368 * be uncompressed. The Quadrant 1 of RVC instruction space need
1369 * not be transformed because these instructions won't generate
1370 * any load/store trap.
1371 */
1372
1373 if ((insn & 0x3) != 0x3) {
1374 /* Transform 16bit instruction into 32bit instruction */
1375 switch (GET_C_OP(insn)) {
1376 case OPC_RISC_C_OP_QUAD0: /* Quadrant 0 */
1377 switch (GET_C_FUNC(insn)) {
1378 case OPC_RISC_C_FUNC_FLD_LQ:
1379 if (riscv_cpu_xlen(env) != 128) { /* C.FLD (RV32/64) */
1380 xinsn = OPC_RISC_FLD;
1381 xinsn = SET_RD(xinsn, GET_C_RS2S(insn));
1382 access_rs1 = GET_C_RS1S(insn);
1383 access_imm = GET_C_LD_IMM(insn);
1384 access_size = 8;
1385 }
1386 break;
1387 case OPC_RISC_C_FUNC_LW: /* C.LW */
1388 xinsn = OPC_RISC_LW;
1389 xinsn = SET_RD(xinsn, GET_C_RS2S(insn));
1390 access_rs1 = GET_C_RS1S(insn);
1391 access_imm = GET_C_LW_IMM(insn);
1392 access_size = 4;
1393 break;
1394 case OPC_RISC_C_FUNC_FLW_LD:
1395 if (riscv_cpu_xlen(env) == 32) { /* C.FLW (RV32) */
1396 xinsn = OPC_RISC_FLW;
1397 xinsn = SET_RD(xinsn, GET_C_RS2S(insn));
1398 access_rs1 = GET_C_RS1S(insn);
1399 access_imm = GET_C_LW_IMM(insn);
1400 access_size = 4;
1401 } else { /* C.LD (RV64/RV128) */
1402 xinsn = OPC_RISC_LD;
1403 xinsn = SET_RD(xinsn, GET_C_RS2S(insn));
1404 access_rs1 = GET_C_RS1S(insn);
1405 access_imm = GET_C_LD_IMM(insn);
1406 access_size = 8;
1407 }
1408 break;
1409 case OPC_RISC_C_FUNC_FSD_SQ:
1410 if (riscv_cpu_xlen(env) != 128) { /* C.FSD (RV32/64) */
1411 xinsn = OPC_RISC_FSD;
1412 xinsn = SET_RS2(xinsn, GET_C_RS2S(insn));
1413 access_rs1 = GET_C_RS1S(insn);
1414 access_imm = GET_C_SD_IMM(insn);
1415 access_size = 8;
1416 }
1417 break;
1418 case OPC_RISC_C_FUNC_SW: /* C.SW */
1419 xinsn = OPC_RISC_SW;
1420 xinsn = SET_RS2(xinsn, GET_C_RS2S(insn));
1421 access_rs1 = GET_C_RS1S(insn);
1422 access_imm = GET_C_SW_IMM(insn);
1423 access_size = 4;
1424 break;
1425 case OPC_RISC_C_FUNC_FSW_SD:
1426 if (riscv_cpu_xlen(env) == 32) { /* C.FSW (RV32) */
1427 xinsn = OPC_RISC_FSW;
1428 xinsn = SET_RS2(xinsn, GET_C_RS2S(insn));
1429 access_rs1 = GET_C_RS1S(insn);
1430 access_imm = GET_C_SW_IMM(insn);
1431 access_size = 4;
1432 } else { /* C.SD (RV64/RV128) */
1433 xinsn = OPC_RISC_SD;
1434 xinsn = SET_RS2(xinsn, GET_C_RS2S(insn));
1435 access_rs1 = GET_C_RS1S(insn);
1436 access_imm = GET_C_SD_IMM(insn);
1437 access_size = 8;
1438 }
1439 break;
1440 default:
1441 break;
1442 }
1443 break;
1444 case OPC_RISC_C_OP_QUAD2: /* Quadrant 2 */
1445 switch (GET_C_FUNC(insn)) {
1446 case OPC_RISC_C_FUNC_FLDSP_LQSP:
1447 if (riscv_cpu_xlen(env) != 128) { /* C.FLDSP (RV32/64) */
1448 xinsn = OPC_RISC_FLD;
1449 xinsn = SET_RD(xinsn, GET_C_RD(insn));
1450 access_rs1 = 2;
1451 access_imm = GET_C_LDSP_IMM(insn);
1452 access_size = 8;
1453 }
1454 break;
1455 case OPC_RISC_C_FUNC_LWSP: /* C.LWSP */
1456 xinsn = OPC_RISC_LW;
1457 xinsn = SET_RD(xinsn, GET_C_RD(insn));
1458 access_rs1 = 2;
1459 access_imm = GET_C_LWSP_IMM(insn);
1460 access_size = 4;
1461 break;
1462 case OPC_RISC_C_FUNC_FLWSP_LDSP:
1463 if (riscv_cpu_xlen(env) == 32) { /* C.FLWSP (RV32) */
1464 xinsn = OPC_RISC_FLW;
1465 xinsn = SET_RD(xinsn, GET_C_RD(insn));
1466 access_rs1 = 2;
1467 access_imm = GET_C_LWSP_IMM(insn);
1468 access_size = 4;
1469 } else { /* C.LDSP (RV64/RV128) */
1470 xinsn = OPC_RISC_LD;
1471 xinsn = SET_RD(xinsn, GET_C_RD(insn));
1472 access_rs1 = 2;
1473 access_imm = GET_C_LDSP_IMM(insn);
1474 access_size = 8;
1475 }
1476 break;
1477 case OPC_RISC_C_FUNC_FSDSP_SQSP:
1478 if (riscv_cpu_xlen(env) != 128) { /* C.FSDSP (RV32/64) */
1479 xinsn = OPC_RISC_FSD;
1480 xinsn = SET_RS2(xinsn, GET_C_RS2(insn));
1481 access_rs1 = 2;
1482 access_imm = GET_C_SDSP_IMM(insn);
1483 access_size = 8;
1484 }
1485 break;
1486 case OPC_RISC_C_FUNC_SWSP: /* C.SWSP */
1487 xinsn = OPC_RISC_SW;
1488 xinsn = SET_RS2(xinsn, GET_C_RS2(insn));
1489 access_rs1 = 2;
1490 access_imm = GET_C_SWSP_IMM(insn);
1491 access_size = 4;
1492 break;
1493 case 7:
1494 if (riscv_cpu_xlen(env) == 32) { /* C.FSWSP (RV32) */
1495 xinsn = OPC_RISC_FSW;
1496 xinsn = SET_RS2(xinsn, GET_C_RS2(insn));
1497 access_rs1 = 2;
1498 access_imm = GET_C_SWSP_IMM(insn);
1499 access_size = 4;
1500 } else { /* C.SDSP (RV64/RV128) */
1501 xinsn = OPC_RISC_SD;
1502 xinsn = SET_RS2(xinsn, GET_C_RS2(insn));
1503 access_rs1 = 2;
1504 access_imm = GET_C_SDSP_IMM(insn);
1505 access_size = 8;
1506 }
1507 break;
1508 default:
1509 break;
1510 }
1511 break;
1512 default:
1513 break;
1514 }
1515
1516 /*
1517 * Clear Bit1 of transformed instruction to indicate that
1518 * original insruction was a 16bit instruction
1519 */
1520 xinsn &= ~((target_ulong)0x2);
1521 } else {
1522 /* Transform 32bit (or wider) instructions */
1523 switch (MASK_OP_MAJOR(insn)) {
1524 case OPC_RISC_ATOMIC:
1525 xinsn = insn;
1526 access_rs1 = GET_RS1(insn);
1527 access_size = 1 << GET_FUNCT3(insn);
1528 break;
1529 case OPC_RISC_LOAD:
1530 case OPC_RISC_FP_LOAD:
1531 xinsn = SET_I_IMM(insn, 0);
1532 access_rs1 = GET_RS1(insn);
1533 access_imm = GET_IMM(insn);
1534 access_size = 1 << GET_FUNCT3(insn);
1535 break;
1536 case OPC_RISC_STORE:
1537 case OPC_RISC_FP_STORE:
1538 xinsn = SET_S_IMM(insn, 0);
1539 access_rs1 = GET_RS1(insn);
1540 access_imm = GET_STORE_IMM(insn);
1541 access_size = 1 << GET_FUNCT3(insn);
1542 break;
1543 case OPC_RISC_SYSTEM:
1544 if (MASK_OP_SYSTEM(insn) == OPC_RISC_HLVHSV) {
1545 xinsn = insn;
1546 access_rs1 = GET_RS1(insn);
1547 access_size = 1 << ((GET_FUNCT7(insn) >> 1) & 0x3);
1548 access_size = 1 << access_size;
1549 }
1550 break;
1551 default:
1552 break;
1553 }
1554 }
1555
1556 if (access_size) {
1557 xinsn = SET_RS1(xinsn, (taddr - (env->gpr[access_rs1] + access_imm)) &
1558 (access_size - 1));
1559 }
1560
1561 return xinsn;
1562}
263e2ab2 1563#endif /* !CONFIG_USER_ONLY */
0c3e702a
MC
1564
1565/*
1566 * Handle Traps
1567 *
1568 * Adapted from Spike's processor_t::take_trap.
1569 *
1570 */
1571void riscv_cpu_do_interrupt(CPUState *cs)
1572{
1573#if !defined(CONFIG_USER_ONLY)
1574
1575 RISCVCPU *cpu = RISCV_CPU(cs);
1576 CPURISCVState *env = &cpu->env;
86d0c457 1577 bool write_gva = false;
284d697c 1578 uint64_t s;
0c3e702a 1579
acbbb94e
MC
1580 /* cs->exception is 32-bits wide unlike mcause which is XLEN-bits wide
1581 * so we mask off the MSB and separate into trap type and cause.
1582 */
1583 bool async = !!(cs->exception_index & RISCV_EXCP_INT_FLAG);
1584 target_ulong cause = cs->exception_index & RISCV_EXCP_INT_MASK;
d028ac75 1585 uint64_t deleg = async ? env->mideleg : env->medeleg;
acbbb94e 1586 target_ulong tval = 0;
8e2aa21b 1587 target_ulong tinst = 0;
30675539
AF
1588 target_ulong htval = 0;
1589 target_ulong mtval2 = 0;
acbbb94e 1590
a10b9d93 1591 if (cause == RISCV_EXCP_SEMIHOST) {
7d7fb116
PM
1592 do_common_semihosting(cs);
1593 env->pc += 4;
1594 return;
a10b9d93
KP
1595 }
1596
acbbb94e
MC
1597 if (!async) {
1598 /* set tval to badaddr for traps with address information */
1599 switch (cause) {
ab67a1d0
AF
1600 case RISCV_EXCP_LOAD_GUEST_ACCESS_FAULT:
1601 case RISCV_EXCP_STORE_GUEST_AMO_ACCESS_FAULT:
acbbb94e
MC
1602 case RISCV_EXCP_LOAD_ADDR_MIS:
1603 case RISCV_EXCP_STORE_AMO_ADDR_MIS:
1604 case RISCV_EXCP_LOAD_ACCESS_FAULT:
1605 case RISCV_EXCP_STORE_AMO_ACCESS_FAULT:
acbbb94e
MC
1606 case RISCV_EXCP_LOAD_PAGE_FAULT:
1607 case RISCV_EXCP_STORE_PAGE_FAULT:
24826da0 1608 write_gva = env->two_stage_lookup;
acbbb94e 1609 tval = env->badaddr;
8e2aa21b
AP
1610 if (env->two_stage_indirect_lookup) {
1611 /*
1612 * special pseudoinstruction for G-stage fault taken while
1613 * doing VS-stage page table walk.
1614 */
1615 tinst = (riscv_cpu_xlen(env) == 32) ? 0x00002000 : 0x00003000;
1616 } else {
1617 /*
1618 * The "Addr. Offset" field in transformed instruction is
1619 * non-zero only for misaligned access.
1620 */
1621 tinst = riscv_transformed_insn(env, env->bins, tval);
1622 }
1623 break;
1624 case RISCV_EXCP_INST_GUEST_PAGE_FAULT:
1625 case RISCV_EXCP_INST_ADDR_MIS:
1626 case RISCV_EXCP_INST_ACCESS_FAULT:
1627 case RISCV_EXCP_INST_PAGE_FAULT:
1628 write_gva = env->two_stage_lookup;
1629 tval = env->badaddr;
1630 if (env->two_stage_indirect_lookup) {
1631 /*
1632 * special pseudoinstruction for G-stage fault taken while
1633 * doing VS-stage page table walk.
1634 */
1635 tinst = (riscv_cpu_xlen(env) == 32) ? 0x00002000 : 0x00003000;
1636 }
acbbb94e 1637 break;
48eaeb56 1638 case RISCV_EXCP_ILLEGAL_INST:
62cf0245 1639 case RISCV_EXCP_VIRT_INSTRUCTION_FAULT:
48eaeb56
AF
1640 tval = env->bins;
1641 break;
acbbb94e
MC
1642 default:
1643 break;
0c3e702a 1644 }
acbbb94e
MC
1645 /* ecall is dispatched as one cause so translate based on mode */
1646 if (cause == RISCV_EXCP_U_ECALL) {
1647 assert(env->priv <= 3);
5eb9e782
AF
1648
1649 if (env->priv == PRV_M) {
1650 cause = RISCV_EXCP_M_ECALL;
1651 } else if (env->priv == PRV_S && riscv_cpu_virt_enabled(env)) {
1652 cause = RISCV_EXCP_VS_ECALL;
1653 } else if (env->priv == PRV_S && !riscv_cpu_virt_enabled(env)) {
1654 cause = RISCV_EXCP_S_ECALL;
1655 } else if (env->priv == PRV_U) {
1656 cause = RISCV_EXCP_U_ECALL;
1657 }
0c3e702a
MC
1658 }
1659 }
1660
c51a3f5d 1661 trace_riscv_trap(env->mhartid, async, cause, env->pc, tval,
69430111
AF
1662 riscv_cpu_get_trap_name(cause, async));
1663
1664 qemu_log_mask(CPU_LOG_INT,
1665 "%s: hart:"TARGET_FMT_ld", async:%d, cause:"TARGET_FMT_lx", "
1666 "epc:0x"TARGET_FMT_lx", tval:0x"TARGET_FMT_lx", desc=%s\n",
1667 __func__, env->mhartid, async, cause, env->pc, tval,
1668 riscv_cpu_get_trap_name(cause, async));
0c3e702a 1669
acbbb94e
MC
1670 if (env->priv <= PRV_S &&
1671 cause < TARGET_LONG_BITS && ((deleg >> cause) & 1)) {
0c3e702a 1672 /* handle the trap in S-mode */
5eb9e782 1673 if (riscv_has_ext(env, RVH)) {
d028ac75 1674 uint64_t hdeleg = async ? env->hideleg : env->hedeleg;
1c1c060a 1675
50d16087 1676 if (riscv_cpu_virt_enabled(env) && ((hdeleg >> cause) & 1)) {
84b1c04b 1677 /* Trap to VS mode */
c5969a3a
RK
1678 /*
1679 * See if we need to adjust cause. Yes if its VS mode interrupt
1680 * no if hypervisor has delegated one of hs mode's interrupt
1681 */
1682 if (cause == IRQ_VS_TIMER || cause == IRQ_VS_SOFT ||
84b1c04b 1683 cause == IRQ_VS_EXT) {
c5969a3a 1684 cause = cause - 1;
84b1c04b 1685 }
86d0c457 1686 write_gva = false;
5eb9e782
AF
1687 } else if (riscv_cpu_virt_enabled(env)) {
1688 /* Trap into HS mode, from virt */
1689 riscv_cpu_swap_hypervisor_regs(env);
f2d5850f 1690 env->hstatus = set_field(env->hstatus, HSTATUS_SPVP,
ace54453 1691 env->priv);
5eb9e782
AF
1692 env->hstatus = set_field(env->hstatus, HSTATUS_SPV,
1693 riscv_cpu_virt_enabled(env));
1694
86d0c457 1695
30675539
AF
1696 htval = env->guest_phys_fault_addr;
1697
5eb9e782 1698 riscv_cpu_set_virt_enabled(env, 0);
5eb9e782
AF
1699 } else {
1700 /* Trap into HS mode */
ec352d0c 1701 env->hstatus = set_field(env->hstatus, HSTATUS_SPV, false);
30675539 1702 htval = env->guest_phys_fault_addr;
5eb9e782 1703 }
86d0c457 1704 env->hstatus = set_field(env->hstatus, HSTATUS_GVA, write_gva);
5eb9e782
AF
1705 }
1706
1707 s = env->mstatus;
1a9540d1 1708 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_SIE));
0c3e702a
MC
1709 s = set_field(s, MSTATUS_SPP, env->priv);
1710 s = set_field(s, MSTATUS_SIE, 0);
c7b95171 1711 env->mstatus = s;
16fdb8ff 1712 env->scause = cause | ((target_ulong)async << (TARGET_LONG_BITS - 1));
acbbb94e 1713 env->sepc = env->pc;
ac12b601 1714 env->stval = tval;
30675539 1715 env->htval = htval;
8e2aa21b 1716 env->htinst = tinst;
acbbb94e
MC
1717 env->pc = (env->stvec >> 2 << 2) +
1718 ((async && (env->stvec & 3) == 1) ? cause * 4 : 0);
fb738839 1719 riscv_cpu_set_mode(env, PRV_S);
0c3e702a 1720 } else {
acbbb94e 1721 /* handle the trap in M-mode */
5eb9e782
AF
1722 if (riscv_has_ext(env, RVH)) {
1723 if (riscv_cpu_virt_enabled(env)) {
1724 riscv_cpu_swap_hypervisor_regs(env);
1725 }
1726 env->mstatus = set_field(env->mstatus, MSTATUS_MPV,
284d697c 1727 riscv_cpu_virt_enabled(env));
9034e90a
AF
1728 if (riscv_cpu_virt_enabled(env) && tval) {
1729 env->mstatus = set_field(env->mstatus, MSTATUS_GVA, 1);
1730 }
5eb9e782 1731
30675539
AF
1732 mtval2 = env->guest_phys_fault_addr;
1733
5eb9e782
AF
1734 /* Trapping to M mode, virt is disabled */
1735 riscv_cpu_set_virt_enabled(env, 0);
5eb9e782
AF
1736 }
1737
1738 s = env->mstatus;
1a9540d1 1739 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_MIE));
0c3e702a
MC
1740 s = set_field(s, MSTATUS_MPP, env->priv);
1741 s = set_field(s, MSTATUS_MIE, 0);
c7b95171 1742 env->mstatus = s;
acbbb94e
MC
1743 env->mcause = cause | ~(((target_ulong)-1) >> async);
1744 env->mepc = env->pc;
ac12b601 1745 env->mtval = tval;
30675539 1746 env->mtval2 = mtval2;
8e2aa21b 1747 env->mtinst = tinst;
acbbb94e
MC
1748 env->pc = (env->mtvec >> 2 << 2) +
1749 ((async && (env->mtvec & 3) == 1) ? cause * 4 : 0);
fb738839 1750 riscv_cpu_set_mode(env, PRV_M);
0c3e702a 1751 }
d9360e96
MC
1752
1753 /* NOTE: it is not necessary to yield load reservations here. It is only
1754 * necessary for an SC from "another hart" to cause a load reservation
1755 * to be yielded. Refer to the memory consistency model section of the
1756 * RISC-V ISA Specification.
1757 */
1758
ec352d0c 1759 env->two_stage_lookup = false;
8e2aa21b 1760 env->two_stage_indirect_lookup = false;
0c3e702a 1761#endif
330d2ae3 1762 cs->exception_index = RISCV_EXCP_NONE; /* mark handled to qemu */
0c3e702a 1763}