]> git.proxmox.com Git - mirror_qemu.git/blame - target-arm/cpu.h
hw/display: QOM'ify pl110.c
[mirror_qemu.git] / target-arm / cpu.h
CommitLineData
2c0262af
FB
1/*
2 * ARM virtual CPU header
5fafdf24 3 *
2c0262af
FB
4 * Copyright (c) 2003 Fabrice Bellard
5 *
6 * This library is free software; you can redistribute it and/or
7 * modify it under the terms of the GNU Lesser General Public
8 * License as published by the Free Software Foundation; either
9 * version 2 of the License, or (at your option) any later version.
10 *
11 * This library is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
14 * Lesser General Public License for more details.
15 *
16 * You should have received a copy of the GNU Lesser General Public
8167ee88 17 * License along with this library; if not, see <http://www.gnu.org/licenses/>.
2c0262af 18 */
2c0262af 19
07f5a258
MA
20#ifndef ARM_CPU_H
21#define ARM_CPU_H
3cf1e035 22
72b0cd35
PM
23#include "kvm-consts.h"
24
3926cc84
AG
25#if defined(TARGET_AARCH64)
26 /* AArch64 definitions */
27# define TARGET_LONG_BITS 64
3926cc84
AG
28#else
29# define TARGET_LONG_BITS 32
3926cc84 30#endif
9042c0e2 31
9349b4f9 32#define CPUArchState struct CPUARMState
c2764719 33
9a78eead 34#include "qemu-common.h"
74e75564 35#include "cpu-qom.h"
022c62cb 36#include "exec/cpu-defs.h"
2c0262af 37
6b4c305c 38#include "fpu/softfloat.h"
53cd6637 39
b8a9e8f1
FB
40#define EXCP_UDEF 1 /* undefined instruction */
41#define EXCP_SWI 2 /* software interrupt */
42#define EXCP_PREFETCH_ABORT 3
43#define EXCP_DATA_ABORT 4
b5ff1b31
FB
44#define EXCP_IRQ 5
45#define EXCP_FIQ 6
06c949e6 46#define EXCP_BKPT 7
9ee6e8bb 47#define EXCP_EXCEPTION_EXIT 8 /* Return from v7M exception. */
fbb4a2e3 48#define EXCP_KERNEL_TRAP 9 /* Jumped to kernel code page. */
426f5abc 49#define EXCP_STREX 10
35979d71 50#define EXCP_HVC 11 /* HyperVisor Call */
607d98b8 51#define EXCP_HYP_TRAP 12
e0d6e6a5 52#define EXCP_SMC 13 /* Secure Monitor Call */
136e67e9
EI
53#define EXCP_VIRQ 14
54#define EXCP_VFIQ 15
8012c84f 55#define EXCP_SEMIHOST 16 /* semihosting call (A64 only) */
9ee6e8bb
PB
56
57#define ARMV7M_EXCP_RESET 1
58#define ARMV7M_EXCP_NMI 2
59#define ARMV7M_EXCP_HARD 3
60#define ARMV7M_EXCP_MEM 4
61#define ARMV7M_EXCP_BUS 5
62#define ARMV7M_EXCP_USAGE 6
63#define ARMV7M_EXCP_SVC 11
64#define ARMV7M_EXCP_DEBUG 12
65#define ARMV7M_EXCP_PENDSV 14
66#define ARMV7M_EXCP_SYSTICK 15
2c0262af 67
403946c0
RH
68/* ARM-specific interrupt pending bits. */
69#define CPU_INTERRUPT_FIQ CPU_INTERRUPT_TGT_EXT_1
136e67e9
EI
70#define CPU_INTERRUPT_VIRQ CPU_INTERRUPT_TGT_EXT_2
71#define CPU_INTERRUPT_VFIQ CPU_INTERRUPT_TGT_EXT_3
403946c0 72
e4fe830b
PM
73/* The usual mapping for an AArch64 system register to its AArch32
74 * counterpart is for the 32 bit world to have access to the lower
75 * half only (with writes leaving the upper half untouched). It's
76 * therefore useful to be able to pass TCG the offset of the least
77 * significant half of a uint64_t struct member.
78 */
79#ifdef HOST_WORDS_BIGENDIAN
5cd8a118 80#define offsetoflow32(S, M) (offsetof(S, M) + sizeof(uint32_t))
b0fe2427 81#define offsetofhigh32(S, M) offsetof(S, M)
e4fe830b
PM
82#else
83#define offsetoflow32(S, M) offsetof(S, M)
b0fe2427 84#define offsetofhigh32(S, M) (offsetof(S, M) + sizeof(uint32_t))
e4fe830b
PM
85#endif
86
136e67e9 87/* Meanings of the ARMCPU object's four inbound GPIO lines */
7c1840b6
PM
88#define ARM_CPU_IRQ 0
89#define ARM_CPU_FIQ 1
136e67e9
EI
90#define ARM_CPU_VIRQ 2
91#define ARM_CPU_VFIQ 3
403946c0 92
c1e37810 93#define NB_MMU_MODES 7
aaa1f954
EI
94/* ARM-specific extra insn start words:
95 * 1: Conditional execution bits
96 * 2: Partial exception syndrome for data aborts
97 */
98#define TARGET_INSN_START_EXTRA_WORDS 2
99
100/* The 2nd extra word holding syndrome info for data aborts does not use
101 * the upper 6 bits nor the lower 14 bits. We mask and shift it down to
102 * help the sleb128 encoder do a better job.
103 * When restoring the CPU state, we shift it back up.
104 */
105#define ARM_INSN_START_WORD2_MASK ((1 << 26) - 1)
106#define ARM_INSN_START_WORD2_SHIFT 14
6ebbf390 107
b7bcbe95
FB
108/* We currently assume float and double are IEEE single and double
109 precision respectively.
110 Doing runtime conversions is tricky because VFP registers may contain
111 integer values (eg. as the result of a FTOSI instruction).
8e96005d
FB
112 s<2n> maps to the least significant half of d<n>
113 s<2n+1> maps to the most significant half of d<n>
114 */
b7bcbe95 115
55d284af
PM
116/* CPU state for each instance of a generic timer (in cp15 c14) */
117typedef struct ARMGenericTimer {
118 uint64_t cval; /* Timer CompareValue register */
a7adc4b7 119 uint64_t ctl; /* Timer Control register */
55d284af
PM
120} ARMGenericTimer;
121
122#define GTIMER_PHYS 0
123#define GTIMER_VIRT 1
b0e66d95 124#define GTIMER_HYP 2
b4d3978c
PM
125#define GTIMER_SEC 3
126#define NUM_GTIMERS 4
55d284af 127
11f136ee
FA
128typedef struct {
129 uint64_t raw_tcr;
130 uint32_t mask;
131 uint32_t base_mask;
132} TCR;
133
2c0262af 134typedef struct CPUARMState {
b5ff1b31 135 /* Regs for current mode. */
2c0262af 136 uint32_t regs[16];
3926cc84
AG
137
138 /* 32/64 switch only happens when taking and returning from
139 * exceptions so the overlap semantics are taken care of then
140 * instead of having a complicated union.
141 */
142 /* Regs for A64 mode. */
143 uint64_t xregs[32];
144 uint64_t pc;
d356312f
PM
145 /* PSTATE isn't an architectural register for ARMv8. However, it is
146 * convenient for us to assemble the underlying state into a 32 bit format
147 * identical to the architectural format used for the SPSR. (This is also
148 * what the Linux kernel's 'pstate' field in signal handlers and KVM's
149 * 'pstate' register are.) Of the PSTATE bits:
150 * NZCV are kept in the split out env->CF/VF/NF/ZF, (which have the same
151 * semantics as for AArch32, as described in the comments on each field)
152 * nRW (also known as M[4]) is kept, inverted, in env->aarch64
4cc35614 153 * DAIF (exception masks) are kept in env->daif
d356312f 154 * all other bits are stored in their correct places in env->pstate
3926cc84
AG
155 */
156 uint32_t pstate;
157 uint32_t aarch64; /* 1 if CPU is in aarch64 state; inverse of PSTATE.nRW */
158
b90372ad 159 /* Frequently accessed CPSR bits are stored separately for efficiency.
d37aca66 160 This contains all the other bits. Use cpsr_{read,write} to access
b5ff1b31
FB
161 the whole CPSR. */
162 uint32_t uncached_cpsr;
163 uint32_t spsr;
164
165 /* Banked registers. */
28c9457d 166 uint64_t banked_spsr[8];
0b7d409d
FA
167 uint32_t banked_r13[8];
168 uint32_t banked_r14[8];
3b46e624 169
b5ff1b31
FB
170 /* These hold r8-r12. */
171 uint32_t usr_regs[5];
172 uint32_t fiq_regs[5];
3b46e624 173
2c0262af
FB
174 /* cpsr flag cache for faster execution */
175 uint32_t CF; /* 0 or 1 */
176 uint32_t VF; /* V is the bit 31. All other bits are undefined */
6fbe23d5
PB
177 uint32_t NF; /* N is bit 31. All other bits are undefined. */
178 uint32_t ZF; /* Z set if zero. */
99c475ab 179 uint32_t QF; /* 0 or 1 */
9ee6e8bb 180 uint32_t GE; /* cpsr[19:16] */
b26eefb6 181 uint32_t thumb; /* cpsr[5]. 0 = arm mode, 1 = thumb mode. */
9ee6e8bb 182 uint32_t condexec_bits; /* IT bits. cpsr[15:10,26:25]. */
b6af0975 183 uint64_t daif; /* exception masks, in the bits they are in PSTATE */
2c0262af 184
1b174238 185 uint64_t elr_el[4]; /* AArch64 exception link regs */
73fb3b76 186 uint64_t sp_el[4]; /* AArch64 banked stack pointers */
a0618a19 187
b5ff1b31
FB
188 /* System control coprocessor (cp15) */
189 struct {
40f137e1 190 uint32_t c0_cpuid;
b85a1fd6
FA
191 union { /* Cache size selection */
192 struct {
193 uint64_t _unused_csselr0;
194 uint64_t csselr_ns;
195 uint64_t _unused_csselr1;
196 uint64_t csselr_s;
197 };
198 uint64_t csselr_el[4];
199 };
137feaa9
FA
200 union { /* System control register. */
201 struct {
202 uint64_t _unused_sctlr;
203 uint64_t sctlr_ns;
204 uint64_t hsctlr;
205 uint64_t sctlr_s;
206 };
207 uint64_t sctlr_el[4];
208 };
7ebd5f2e 209 uint64_t cpacr_el1; /* Architectural feature access control register */
c6f19164 210 uint64_t cptr_el[4]; /* ARMv8 feature trap registers */
610c3c8a 211 uint32_t c1_xscaleauxcr; /* XScale auxiliary control register. */
144634ae 212 uint64_t sder; /* Secure debug enable register. */
77022576 213 uint32_t nsacr; /* Non-secure access control register. */
7dd8c9af
FA
214 union { /* MMU translation table base 0. */
215 struct {
216 uint64_t _unused_ttbr0_0;
217 uint64_t ttbr0_ns;
218 uint64_t _unused_ttbr0_1;
219 uint64_t ttbr0_s;
220 };
221 uint64_t ttbr0_el[4];
222 };
223 union { /* MMU translation table base 1. */
224 struct {
225 uint64_t _unused_ttbr1_0;
226 uint64_t ttbr1_ns;
227 uint64_t _unused_ttbr1_1;
228 uint64_t ttbr1_s;
229 };
230 uint64_t ttbr1_el[4];
231 };
b698e9cf 232 uint64_t vttbr_el2; /* Virtualization Translation Table Base. */
11f136ee
FA
233 /* MMU translation table base control. */
234 TCR tcr_el[4];
68e9c2fe 235 TCR vtcr_el2; /* Virtualization Translation Control. */
67cc32eb
VL
236 uint32_t c2_data; /* MPU data cacheable bits. */
237 uint32_t c2_insn; /* MPU instruction cacheable bits. */
0c17d68c
FA
238 union { /* MMU domain access control register
239 * MPU write buffer control.
240 */
241 struct {
242 uint64_t dacr_ns;
243 uint64_t dacr_s;
244 };
245 struct {
246 uint64_t dacr32_el2;
247 };
248 };
7e09797c
PM
249 uint32_t pmsav5_data_ap; /* PMSAv5 MPU data access permissions */
250 uint32_t pmsav5_insn_ap; /* PMSAv5 MPU insn access permissions */
f149e3e8 251 uint64_t hcr_el2; /* Hypervisor configuration register */
64e0e2de 252 uint64_t scr_el3; /* Secure configuration register. */
88ca1c2d
FA
253 union { /* Fault status registers. */
254 struct {
255 uint64_t ifsr_ns;
256 uint64_t ifsr_s;
257 };
258 struct {
259 uint64_t ifsr32_el2;
260 };
261 };
4a7e2d73
FA
262 union {
263 struct {
264 uint64_t _unused_dfsr;
265 uint64_t dfsr_ns;
266 uint64_t hsr;
267 uint64_t dfsr_s;
268 };
269 uint64_t esr_el[4];
270 };
ce819861 271 uint32_t c6_region[8]; /* MPU base/size registers. */
b848ce2b
FA
272 union { /* Fault address registers. */
273 struct {
274 uint64_t _unused_far0;
275#ifdef HOST_WORDS_BIGENDIAN
276 uint32_t ifar_ns;
277 uint32_t dfar_ns;
278 uint32_t ifar_s;
279 uint32_t dfar_s;
280#else
281 uint32_t dfar_ns;
282 uint32_t ifar_ns;
283 uint32_t dfar_s;
284 uint32_t ifar_s;
285#endif
286 uint64_t _unused_far3;
287 };
288 uint64_t far_el[4];
289 };
59e05530 290 uint64_t hpfar_el2;
2a5a9abd 291 uint64_t hstr_el2;
01c097f7
FA
292 union { /* Translation result. */
293 struct {
294 uint64_t _unused_par_0;
295 uint64_t par_ns;
296 uint64_t _unused_par_1;
297 uint64_t par_s;
298 };
299 uint64_t par_el[4];
300 };
6cb0b013
PC
301
302 uint32_t c6_rgnr;
303
b5ff1b31
FB
304 uint32_t c9_insn; /* Cache lockdown registers. */
305 uint32_t c9_data;
8521466b
AF
306 uint64_t c9_pmcr; /* performance monitor control register */
307 uint64_t c9_pmcnten; /* perf monitor counter enables */
74594c9d
PM
308 uint32_t c9_pmovsr; /* perf monitor overflow status */
309 uint32_t c9_pmxevtyper; /* perf monitor event type */
310 uint32_t c9_pmuserenr; /* perf monitor user enable */
311 uint32_t c9_pminten; /* perf monitor interrupt enables */
be693c87
GB
312 union { /* Memory attribute redirection */
313 struct {
314#ifdef HOST_WORDS_BIGENDIAN
315 uint64_t _unused_mair_0;
316 uint32_t mair1_ns;
317 uint32_t mair0_ns;
318 uint64_t _unused_mair_1;
319 uint32_t mair1_s;
320 uint32_t mair0_s;
321#else
322 uint64_t _unused_mair_0;
323 uint32_t mair0_ns;
324 uint32_t mair1_ns;
325 uint64_t _unused_mair_1;
326 uint32_t mair0_s;
327 uint32_t mair1_s;
328#endif
329 };
330 uint64_t mair_el[4];
331 };
fb6c91ba
GB
332 union { /* vector base address register */
333 struct {
334 uint64_t _unused_vbar;
335 uint64_t vbar_ns;
336 uint64_t hvbar;
337 uint64_t vbar_s;
338 };
339 uint64_t vbar_el[4];
340 };
e89e51a1 341 uint32_t mvbar; /* (monitor) vector base address register */
54bf36ed
FA
342 struct { /* FCSE PID. */
343 uint32_t fcseidr_ns;
344 uint32_t fcseidr_s;
345 };
346 union { /* Context ID. */
347 struct {
348 uint64_t _unused_contextidr_0;
349 uint64_t contextidr_ns;
350 uint64_t _unused_contextidr_1;
351 uint64_t contextidr_s;
352 };
353 uint64_t contextidr_el[4];
354 };
355 union { /* User RW Thread register. */
356 struct {
357 uint64_t tpidrurw_ns;
358 uint64_t tpidrprw_ns;
359 uint64_t htpidr;
360 uint64_t _tpidr_el3;
361 };
362 uint64_t tpidr_el[4];
363 };
364 /* The secure banks of these registers don't map anywhere */
365 uint64_t tpidrurw_s;
366 uint64_t tpidrprw_s;
367 uint64_t tpidruro_s;
368
369 union { /* User RO Thread register. */
370 uint64_t tpidruro_ns;
371 uint64_t tpidrro_el[1];
372 };
a7adc4b7
PM
373 uint64_t c14_cntfrq; /* Counter Frequency register */
374 uint64_t c14_cntkctl; /* Timer Control register */
0b6440af 375 uint32_t cnthctl_el2; /* Counter/Timer Hyp Control register */
edac4d8a 376 uint64_t cntvoff_el2; /* Counter Virtual Offset register */
55d284af 377 ARMGenericTimer c14_timer[NUM_GTIMERS];
c1713132 378 uint32_t c15_cpar; /* XScale Coprocessor Access Register */
c3d2689d
AZ
379 uint32_t c15_ticonfig; /* TI925T configuration byte. */
380 uint32_t c15_i_max; /* Maximum D-cache dirty line index. */
381 uint32_t c15_i_min; /* Minimum D-cache dirty line index. */
382 uint32_t c15_threadid; /* TI debugger thread-ID. */
7da362d0
ML
383 uint32_t c15_config_base_address; /* SCU base address. */
384 uint32_t c15_diagnostic; /* diagnostic register */
385 uint32_t c15_power_diagnostic;
386 uint32_t c15_power_control; /* power control */
0b45451e
PM
387 uint64_t dbgbvr[16]; /* breakpoint value registers */
388 uint64_t dbgbcr[16]; /* breakpoint control registers */
389 uint64_t dbgwvr[16]; /* watchpoint value registers */
390 uint64_t dbgwcr[16]; /* watchpoint control registers */
3a298203 391 uint64_t mdscr_el1;
1424ca8d 392 uint64_t oslsr_el1; /* OS Lock Status */
14cc7b54 393 uint64_t mdcr_el2;
5513c3ab 394 uint64_t mdcr_el3;
7c2cb42b
AF
395 /* If the counter is enabled, this stores the last time the counter
396 * was reset. Otherwise it stores the counter value
397 */
c92c0687 398 uint64_t c15_ccnt;
8521466b 399 uint64_t pmccfiltr_el0; /* Performance Monitor Filter Register */
731de9e6 400 uint64_t vpidr_el2; /* Virtualization Processor ID Register */
f0d574d6 401 uint64_t vmpidr_el2; /* Virtualization Multiprocessor ID Register */
b5ff1b31 402 } cp15;
40f137e1 403
9ee6e8bb
PB
404 struct {
405 uint32_t other_sp;
406 uint32_t vecbase;
407 uint32_t basepri;
408 uint32_t control;
409 int current_sp;
410 int exception;
9ee6e8bb
PB
411 } v7m;
412
abf1172f
PM
413 /* Information associated with an exception about to be taken:
414 * code which raises an exception must set cs->exception_index and
415 * the relevant parts of this structure; the cpu_do_interrupt function
416 * will then set the guest-visible registers as part of the exception
417 * entry process.
418 */
419 struct {
420 uint32_t syndrome; /* AArch64 format syndrome register */
421 uint32_t fsr; /* AArch32 format fault status register info */
422 uint64_t vaddress; /* virtual addr associated with exception, if any */
73710361 423 uint32_t target_el; /* EL the exception should be targeted for */
abf1172f
PM
424 /* If we implement EL2 we will also need to store information
425 * about the intermediate physical address for stage 2 faults.
426 */
427 } exception;
428
fe1479c3
PB
429 /* Thumb-2 EE state. */
430 uint32_t teecr;
431 uint32_t teehbr;
432
b7bcbe95
FB
433 /* VFP coprocessor state. */
434 struct {
3926cc84
AG
435 /* VFP/Neon register state. Note that the mapping between S, D and Q
436 * views of the register bank differs between AArch64 and AArch32:
437 * In AArch32:
438 * Qn = regs[2n+1]:regs[2n]
439 * Dn = regs[n]
440 * Sn = regs[n/2] bits 31..0 for even n, and bits 63..32 for odd n
441 * (and regs[32] to regs[63] are inaccessible)
442 * In AArch64:
443 * Qn = regs[2n+1]:regs[2n]
444 * Dn = regs[2n]
445 * Sn = regs[2n] bits 31..0
446 * This corresponds to the architecturally defined mapping between
447 * the two execution states, and means we do not need to explicitly
448 * map these registers when changing states.
449 */
450 float64 regs[64];
b7bcbe95 451
40f137e1 452 uint32_t xregs[16];
b7bcbe95
FB
453 /* We store these fpcsr fields separately for convenience. */
454 int vec_len;
455 int vec_stride;
456
9ee6e8bb
PB
457 /* scratch space when Tn are not sufficient. */
458 uint32_t scratch[8];
3b46e624 459
3a492f3a
PM
460 /* fp_status is the "normal" fp status. standard_fp_status retains
461 * values corresponding to the ARM "Standard FPSCR Value", ie
462 * default-NaN, flush-to-zero, round-to-nearest and is used by
463 * any operations (generally Neon) which the architecture defines
464 * as controlled by the standard FPSCR value rather than the FPSCR.
465 *
466 * To avoid having to transfer exception bits around, we simply
467 * say that the FPSCR cumulative exception flags are the logical
468 * OR of the flags in the two fp statuses. This relies on the
469 * only thing which needs to read the exception flags being
470 * an explicit FPSCR read.
471 */
53cd6637 472 float_status fp_status;
3a492f3a 473 float_status standard_fp_status;
b7bcbe95 474 } vfp;
03d05e2d
PM
475 uint64_t exclusive_addr;
476 uint64_t exclusive_val;
477 uint64_t exclusive_high;
9ee6e8bb 478#if defined(CONFIG_USER_ONLY)
03d05e2d 479 uint64_t exclusive_test;
426f5abc 480 uint32_t exclusive_info;
9ee6e8bb 481#endif
b7bcbe95 482
18c9b560
AZ
483 /* iwMMXt coprocessor state. */
484 struct {
485 uint64_t regs[16];
486 uint64_t val;
487
488 uint32_t cregs[16];
489 } iwmmxt;
490
ce4defa0
PB
491#if defined(CONFIG_USER_ONLY)
492 /* For usermode syscall translation. */
493 int eabi;
494#endif
495
46747d15 496 struct CPUBreakpoint *cpu_breakpoint[16];
9ee98ce8
PM
497 struct CPUWatchpoint *cpu_watchpoint[16];
498
a316d335
FB
499 CPU_COMMON
500
9d551997 501 /* These fields after the common ones so they are preserved on reset. */
9ba8c3f4 502
581be094 503 /* Internal CPU feature flags. */
918f5dca 504 uint64_t features;
581be094 505
6cb0b013
PC
506 /* PMSAv7 MPU */
507 struct {
508 uint32_t *drbar;
509 uint32_t *drsr;
510 uint32_t *dracr;
511 } pmsav7;
512
983fe826 513 void *nvic;
462a8bc6 514 const struct arm_boot_info *boot_info;
2c0262af
FB
515} CPUARMState;
516
bd7d00fc
PM
517/**
518 * ARMELChangeHook:
519 * type of a function which can be registered via arm_register_el_change_hook()
520 * to get callbacks when the CPU changes its exception level or mode.
521 */
522typedef void ARMELChangeHook(ARMCPU *cpu, void *opaque);
523
74e75564
PB
524/**
525 * ARMCPU:
526 * @env: #CPUARMState
527 *
528 * An ARM CPU core.
529 */
530struct ARMCPU {
531 /*< private >*/
532 CPUState parent_obj;
533 /*< public >*/
534
535 CPUARMState env;
536
537 /* Coprocessor information */
538 GHashTable *cp_regs;
539 /* For marshalling (mostly coprocessor) register state between the
540 * kernel and QEMU (for KVM) and between two QEMUs (for migration),
541 * we use these arrays.
542 */
543 /* List of register indexes managed via these arrays; (full KVM style
544 * 64 bit indexes, not CPRegInfo 32 bit indexes)
545 */
546 uint64_t *cpreg_indexes;
547 /* Values of the registers (cpreg_indexes[i]'s value is cpreg_values[i]) */
548 uint64_t *cpreg_values;
549 /* Length of the indexes, values, reset_values arrays */
550 int32_t cpreg_array_len;
551 /* These are used only for migration: incoming data arrives in
552 * these fields and is sanity checked in post_load before copying
553 * to the working data structures above.
554 */
555 uint64_t *cpreg_vmstate_indexes;
556 uint64_t *cpreg_vmstate_values;
557 int32_t cpreg_vmstate_array_len;
558
559 /* Timers used by the generic (architected) timer */
560 QEMUTimer *gt_timer[NUM_GTIMERS];
561 /* GPIO outputs for generic timer */
562 qemu_irq gt_timer_outputs[NUM_GTIMERS];
563
564 /* MemoryRegion to use for secure physical accesses */
565 MemoryRegion *secure_memory;
566
567 /* 'compatible' string for this CPU for Linux device trees */
568 const char *dtb_compatible;
569
570 /* PSCI version for this CPU
571 * Bits[31:16] = Major Version
572 * Bits[15:0] = Minor Version
573 */
574 uint32_t psci_version;
575
576 /* Should CPU start in PSCI powered-off state? */
577 bool start_powered_off;
578 /* CPU currently in PSCI powered-off state */
579 bool powered_off;
580 /* CPU has security extension */
581 bool has_el3;
5c0a3819
SZ
582 /* CPU has PMU (Performance Monitor Unit) */
583 bool has_pmu;
74e75564
PB
584
585 /* CPU has memory protection unit */
586 bool has_mpu;
587 /* PMSAv7 MPU number of supported regions */
588 uint32_t pmsav7_dregion;
589
590 /* PSCI conduit used to invoke PSCI methods
591 * 0 - disabled, 1 - smc, 2 - hvc
592 */
593 uint32_t psci_conduit;
594
595 /* [QEMU_]KVM_ARM_TARGET_* constant for this CPU, or
596 * QEMU_KVM_ARM_TARGET_NONE if the kernel doesn't support this CPU type.
597 */
598 uint32_t kvm_target;
599
600 /* KVM init features for this CPU */
601 uint32_t kvm_init_features[7];
602
603 /* Uniprocessor system with MP extensions */
604 bool mp_is_up;
605
606 /* The instance init functions for implementation-specific subclasses
607 * set these fields to specify the implementation-dependent values of
608 * various constant registers and reset values of non-constant
609 * registers.
610 * Some of these might become QOM properties eventually.
611 * Field names match the official register names as defined in the
612 * ARMv7AR ARM Architecture Reference Manual. A reset_ prefix
613 * is used for reset values of non-constant registers; no reset_
614 * prefix means a constant register.
615 */
616 uint32_t midr;
617 uint32_t revidr;
618 uint32_t reset_fpsid;
619 uint32_t mvfr0;
620 uint32_t mvfr1;
621 uint32_t mvfr2;
622 uint32_t ctr;
623 uint32_t reset_sctlr;
624 uint32_t id_pfr0;
625 uint32_t id_pfr1;
626 uint32_t id_dfr0;
627 uint32_t pmceid0;
628 uint32_t pmceid1;
629 uint32_t id_afr0;
630 uint32_t id_mmfr0;
631 uint32_t id_mmfr1;
632 uint32_t id_mmfr2;
633 uint32_t id_mmfr3;
634 uint32_t id_mmfr4;
635 uint32_t id_isar0;
636 uint32_t id_isar1;
637 uint32_t id_isar2;
638 uint32_t id_isar3;
639 uint32_t id_isar4;
640 uint32_t id_isar5;
641 uint64_t id_aa64pfr0;
642 uint64_t id_aa64pfr1;
643 uint64_t id_aa64dfr0;
644 uint64_t id_aa64dfr1;
645 uint64_t id_aa64afr0;
646 uint64_t id_aa64afr1;
647 uint64_t id_aa64isar0;
648 uint64_t id_aa64isar1;
649 uint64_t id_aa64mmfr0;
650 uint64_t id_aa64mmfr1;
651 uint32_t dbgdidr;
652 uint32_t clidr;
653 uint64_t mp_affinity; /* MP ID without feature bits */
654 /* The elements of this array are the CCSIDR values for each cache,
655 * in the order L1DCache, L1ICache, L2DCache, L2ICache, etc.
656 */
657 uint32_t ccsidr[16];
658 uint64_t reset_cbar;
659 uint32_t reset_auxcr;
660 bool reset_hivecs;
661 /* DCZ blocksize, in log_2(words), ie low 4 bits of DCZID_EL0 */
662 uint32_t dcz_blocksize;
663 uint64_t rvbar;
bd7d00fc
PM
664
665 ARMELChangeHook *el_change_hook;
666 void *el_change_hook_opaque;
74e75564
PB
667};
668
669static inline ARMCPU *arm_env_get_cpu(CPUARMState *env)
670{
671 return container_of(env, ARMCPU, env);
672}
673
674#define ENV_GET_CPU(e) CPU(arm_env_get_cpu(e))
675
676#define ENV_OFFSET offsetof(ARMCPU, env)
677
678#ifndef CONFIG_USER_ONLY
679extern const struct VMStateDescription vmstate_arm_cpu;
680#endif
681
682void arm_cpu_do_interrupt(CPUState *cpu);
683void arm_v7m_cpu_do_interrupt(CPUState *cpu);
684bool arm_cpu_exec_interrupt(CPUState *cpu, int int_req);
685
686void arm_cpu_dump_state(CPUState *cs, FILE *f, fprintf_function cpu_fprintf,
687 int flags);
688
689hwaddr arm_cpu_get_phys_page_attrs_debug(CPUState *cpu, vaddr addr,
690 MemTxAttrs *attrs);
691
692int arm_cpu_gdb_read_register(CPUState *cpu, uint8_t *buf, int reg);
693int arm_cpu_gdb_write_register(CPUState *cpu, uint8_t *buf, int reg);
694
695int arm_cpu_write_elf64_note(WriteCoreDumpFunction f, CPUState *cs,
696 int cpuid, void *opaque);
697int arm_cpu_write_elf32_note(WriteCoreDumpFunction f, CPUState *cs,
698 int cpuid, void *opaque);
699
700#ifdef TARGET_AARCH64
701int aarch64_cpu_gdb_read_register(CPUState *cpu, uint8_t *buf, int reg);
702int aarch64_cpu_gdb_write_register(CPUState *cpu, uint8_t *buf, int reg);
703#endif
778c3a06
AF
704
705ARMCPU *cpu_arm_init(const char *cpu_model);
faacc041 706target_ulong do_arm_semihosting(CPUARMState *env);
ce02049d
GB
707void aarch64_sync_32_to_64(CPUARMState *env);
708void aarch64_sync_64_to_32(CPUARMState *env);
b5ff1b31 709
3926cc84
AG
710static inline bool is_a64(CPUARMState *env)
711{
712 return env->aarch64;
713}
714
2c0262af
FB
715/* you can call this signal handler from your SIGBUS and SIGSEGV
716 signal handlers to inform the virtual CPU of exceptions. non zero
717 is returned if the signal was handled by the virtual CPU. */
5fafdf24 718int cpu_arm_signal_handler(int host_signum, void *pinfo,
2c0262af
FB
719 void *puc);
720
ec7b4ce4
AF
721/**
722 * pmccntr_sync
723 * @env: CPUARMState
724 *
725 * Synchronises the counter in the PMCCNTR. This must always be called twice,
726 * once before any action that might affect the timer and again afterwards.
727 * The function is used to swap the state of the register if required.
728 * This only happens when not in user mode (!CONFIG_USER_ONLY)
729 */
730void pmccntr_sync(CPUARMState *env);
731
76e3e1bc
PM
732/* SCTLR bit meanings. Several bits have been reused in newer
733 * versions of the architecture; in that case we define constants
734 * for both old and new bit meanings. Code which tests against those
735 * bits should probably check or otherwise arrange that the CPU
736 * is the architectural version it expects.
737 */
738#define SCTLR_M (1U << 0)
739#define SCTLR_A (1U << 1)
740#define SCTLR_C (1U << 2)
741#define SCTLR_W (1U << 3) /* up to v6; RAO in v7 */
742#define SCTLR_SA (1U << 3)
743#define SCTLR_P (1U << 4) /* up to v5; RAO in v6 and v7 */
744#define SCTLR_SA0 (1U << 4) /* v8 onward, AArch64 only */
745#define SCTLR_D (1U << 5) /* up to v5; RAO in v6 */
746#define SCTLR_CP15BEN (1U << 5) /* v7 onward */
747#define SCTLR_L (1U << 6) /* up to v5; RAO in v6 and v7; RAZ in v8 */
748#define SCTLR_B (1U << 7) /* up to v6; RAZ in v7 */
749#define SCTLR_ITD (1U << 7) /* v8 onward */
750#define SCTLR_S (1U << 8) /* up to v6; RAZ in v7 */
751#define SCTLR_SED (1U << 8) /* v8 onward */
752#define SCTLR_R (1U << 9) /* up to v6; RAZ in v7 */
753#define SCTLR_UMA (1U << 9) /* v8 onward, AArch64 only */
754#define SCTLR_F (1U << 10) /* up to v6 */
755#define SCTLR_SW (1U << 10) /* v7 onward */
756#define SCTLR_Z (1U << 11)
757#define SCTLR_I (1U << 12)
758#define SCTLR_V (1U << 13)
759#define SCTLR_RR (1U << 14) /* up to v7 */
760#define SCTLR_DZE (1U << 14) /* v8 onward, AArch64 only */
761#define SCTLR_L4 (1U << 15) /* up to v6; RAZ in v7 */
762#define SCTLR_UCT (1U << 15) /* v8 onward, AArch64 only */
763#define SCTLR_DT (1U << 16) /* up to ??, RAO in v6 and v7 */
764#define SCTLR_nTWI (1U << 16) /* v8 onward */
765#define SCTLR_HA (1U << 17)
f6bda88f 766#define SCTLR_BR (1U << 17) /* PMSA only */
76e3e1bc
PM
767#define SCTLR_IT (1U << 18) /* up to ??, RAO in v6 and v7 */
768#define SCTLR_nTWE (1U << 18) /* v8 onward */
769#define SCTLR_WXN (1U << 19)
770#define SCTLR_ST (1U << 20) /* up to ??, RAZ in v6 */
771#define SCTLR_UWXN (1U << 20) /* v7 onward */
772#define SCTLR_FI (1U << 21)
773#define SCTLR_U (1U << 22)
774#define SCTLR_XP (1U << 23) /* up to v6; v7 onward RAO */
775#define SCTLR_VE (1U << 24) /* up to v7 */
776#define SCTLR_E0E (1U << 24) /* v8 onward, AArch64 only */
777#define SCTLR_EE (1U << 25)
778#define SCTLR_L2 (1U << 26) /* up to v6, RAZ in v7 */
779#define SCTLR_UCI (1U << 26) /* v8 onward, AArch64 only */
780#define SCTLR_NMFI (1U << 27)
781#define SCTLR_TRE (1U << 28)
782#define SCTLR_AFE (1U << 29)
783#define SCTLR_TE (1U << 30)
784
c6f19164
GB
785#define CPTR_TCPAC (1U << 31)
786#define CPTR_TTA (1U << 20)
787#define CPTR_TFP (1U << 10)
788
187f678d
PM
789#define MDCR_EPMAD (1U << 21)
790#define MDCR_EDAD (1U << 20)
791#define MDCR_SPME (1U << 17)
792#define MDCR_SDD (1U << 16)
a8d64e73 793#define MDCR_SPD (3U << 14)
187f678d
PM
794#define MDCR_TDRA (1U << 11)
795#define MDCR_TDOSA (1U << 10)
796#define MDCR_TDA (1U << 9)
797#define MDCR_TDE (1U << 8)
798#define MDCR_HPME (1U << 7)
799#define MDCR_TPM (1U << 6)
800#define MDCR_TPMCR (1U << 5)
801
a8d64e73
PM
802/* Not all of the MDCR_EL3 bits are present in the 32-bit SDCR */
803#define SDCR_VALID_MASK (MDCR_EPMAD | MDCR_EDAD | MDCR_SPME | MDCR_SPD)
804
78dbbbe4
PM
805#define CPSR_M (0x1fU)
806#define CPSR_T (1U << 5)
807#define CPSR_F (1U << 6)
808#define CPSR_I (1U << 7)
809#define CPSR_A (1U << 8)
810#define CPSR_E (1U << 9)
811#define CPSR_IT_2_7 (0xfc00U)
812#define CPSR_GE (0xfU << 16)
4051e12c
PM
813#define CPSR_IL (1U << 20)
814/* Note that the RESERVED bits include bit 21, which is PSTATE_SS in
815 * an AArch64 SPSR but RES0 in AArch32 SPSR and CPSR. In QEMU we use
816 * env->uncached_cpsr bit 21 to store PSTATE.SS when executing in AArch32,
817 * where it is live state but not accessible to the AArch32 code.
818 */
819#define CPSR_RESERVED (0x7U << 21)
78dbbbe4
PM
820#define CPSR_J (1U << 24)
821#define CPSR_IT_0_1 (3U << 25)
822#define CPSR_Q (1U << 27)
823#define CPSR_V (1U << 28)
824#define CPSR_C (1U << 29)
825#define CPSR_Z (1U << 30)
826#define CPSR_N (1U << 31)
9ee6e8bb 827#define CPSR_NZCV (CPSR_N | CPSR_Z | CPSR_C | CPSR_V)
4cc35614 828#define CPSR_AIF (CPSR_A | CPSR_I | CPSR_F)
9ee6e8bb
PB
829
830#define CPSR_IT (CPSR_IT_0_1 | CPSR_IT_2_7)
4cc35614
PM
831#define CACHED_CPSR_BITS (CPSR_T | CPSR_AIF | CPSR_GE | CPSR_IT | CPSR_Q \
832 | CPSR_NZCV)
9ee6e8bb
PB
833/* Bits writable in user mode. */
834#define CPSR_USER (CPSR_NZCV | CPSR_Q | CPSR_GE)
835/* Execution state bits. MRS read as zero, MSR writes ignored. */
4051e12c
PM
836#define CPSR_EXEC (CPSR_T | CPSR_IT | CPSR_J | CPSR_IL)
837/* Mask of bits which may be set by exception return copying them from SPSR */
838#define CPSR_ERET_MASK (~CPSR_RESERVED)
b5ff1b31 839
e389be16
FA
840#define TTBCR_N (7U << 0) /* TTBCR.EAE==0 */
841#define TTBCR_T0SZ (7U << 0) /* TTBCR.EAE==1 */
842#define TTBCR_PD0 (1U << 4)
843#define TTBCR_PD1 (1U << 5)
844#define TTBCR_EPD0 (1U << 7)
845#define TTBCR_IRGN0 (3U << 8)
846#define TTBCR_ORGN0 (3U << 10)
847#define TTBCR_SH0 (3U << 12)
848#define TTBCR_T1SZ (3U << 16)
849#define TTBCR_A1 (1U << 22)
850#define TTBCR_EPD1 (1U << 23)
851#define TTBCR_IRGN1 (3U << 24)
852#define TTBCR_ORGN1 (3U << 26)
853#define TTBCR_SH1 (1U << 28)
854#define TTBCR_EAE (1U << 31)
855
d356312f
PM
856/* Bit definitions for ARMv8 SPSR (PSTATE) format.
857 * Only these are valid when in AArch64 mode; in
858 * AArch32 mode SPSRs are basically CPSR-format.
859 */
f502cfc2 860#define PSTATE_SP (1U)
d356312f
PM
861#define PSTATE_M (0xFU)
862#define PSTATE_nRW (1U << 4)
863#define PSTATE_F (1U << 6)
864#define PSTATE_I (1U << 7)
865#define PSTATE_A (1U << 8)
866#define PSTATE_D (1U << 9)
867#define PSTATE_IL (1U << 20)
868#define PSTATE_SS (1U << 21)
869#define PSTATE_V (1U << 28)
870#define PSTATE_C (1U << 29)
871#define PSTATE_Z (1U << 30)
872#define PSTATE_N (1U << 31)
873#define PSTATE_NZCV (PSTATE_N | PSTATE_Z | PSTATE_C | PSTATE_V)
4cc35614
PM
874#define PSTATE_DAIF (PSTATE_D | PSTATE_A | PSTATE_I | PSTATE_F)
875#define CACHED_PSTATE_BITS (PSTATE_NZCV | PSTATE_DAIF)
d356312f
PM
876/* Mode values for AArch64 */
877#define PSTATE_MODE_EL3h 13
878#define PSTATE_MODE_EL3t 12
879#define PSTATE_MODE_EL2h 9
880#define PSTATE_MODE_EL2t 8
881#define PSTATE_MODE_EL1h 5
882#define PSTATE_MODE_EL1t 4
883#define PSTATE_MODE_EL0t 0
884
9e729b57
EI
885/* Map EL and handler into a PSTATE_MODE. */
886static inline unsigned int aarch64_pstate_mode(unsigned int el, bool handler)
887{
888 return (el << 2) | handler;
889}
890
d356312f
PM
891/* Return the current PSTATE value. For the moment we don't support 32<->64 bit
892 * interprocessing, so we don't attempt to sync with the cpsr state used by
893 * the 32 bit decoder.
894 */
895static inline uint32_t pstate_read(CPUARMState *env)
896{
897 int ZF;
898
899 ZF = (env->ZF == 0);
900 return (env->NF & 0x80000000) | (ZF << 30)
901 | (env->CF << 29) | ((env->VF & 0x80000000) >> 3)
4cc35614 902 | env->pstate | env->daif;
d356312f
PM
903}
904
905static inline void pstate_write(CPUARMState *env, uint32_t val)
906{
907 env->ZF = (~val) & PSTATE_Z;
908 env->NF = val;
909 env->CF = (val >> 29) & 1;
910 env->VF = (val << 3) & 0x80000000;
4cc35614 911 env->daif = val & PSTATE_DAIF;
d356312f
PM
912 env->pstate = val & ~CACHED_PSTATE_BITS;
913}
914
b5ff1b31 915/* Return the current CPSR value. */
2f4a40e5 916uint32_t cpsr_read(CPUARMState *env);
50866ba5
PM
917
918typedef enum CPSRWriteType {
919 CPSRWriteByInstr = 0, /* from guest MSR or CPS */
920 CPSRWriteExceptionReturn = 1, /* from guest exception return insn */
921 CPSRWriteRaw = 2, /* trust values, do not switch reg banks */
922 CPSRWriteByGDBStub = 3, /* from the GDB stub */
923} CPSRWriteType;
924
925/* Set the CPSR. Note that some bits of mask must be all-set or all-clear.*/
926void cpsr_write(CPUARMState *env, uint32_t val, uint32_t mask,
927 CPSRWriteType write_type);
9ee6e8bb
PB
928
929/* Return the current xPSR value. */
930static inline uint32_t xpsr_read(CPUARMState *env)
931{
932 int ZF;
6fbe23d5
PB
933 ZF = (env->ZF == 0);
934 return (env->NF & 0x80000000) | (ZF << 30)
9ee6e8bb
PB
935 | (env->CF << 29) | ((env->VF & 0x80000000) >> 3) | (env->QF << 27)
936 | (env->thumb << 24) | ((env->condexec_bits & 3) << 25)
937 | ((env->condexec_bits & 0xfc) << 8)
938 | env->v7m.exception;
b5ff1b31
FB
939}
940
9ee6e8bb
PB
941/* Set the xPSR. Note that some bits of mask must be all-set or all-clear. */
942static inline void xpsr_write(CPUARMState *env, uint32_t val, uint32_t mask)
943{
9ee6e8bb 944 if (mask & CPSR_NZCV) {
6fbe23d5
PB
945 env->ZF = (~val) & CPSR_Z;
946 env->NF = val;
9ee6e8bb
PB
947 env->CF = (val >> 29) & 1;
948 env->VF = (val << 3) & 0x80000000;
949 }
950 if (mask & CPSR_Q)
951 env->QF = ((val & CPSR_Q) != 0);
952 if (mask & (1 << 24))
953 env->thumb = ((val & (1 << 24)) != 0);
954 if (mask & CPSR_IT_0_1) {
955 env->condexec_bits &= ~3;
956 env->condexec_bits |= (val >> 25) & 3;
957 }
958 if (mask & CPSR_IT_2_7) {
959 env->condexec_bits &= 3;
960 env->condexec_bits |= (val >> 8) & 0xfc;
961 }
962 if (mask & 0x1ff) {
963 env->v7m.exception = val & 0x1ff;
964 }
965}
966
f149e3e8
EI
967#define HCR_VM (1ULL << 0)
968#define HCR_SWIO (1ULL << 1)
969#define HCR_PTW (1ULL << 2)
970#define HCR_FMO (1ULL << 3)
971#define HCR_IMO (1ULL << 4)
972#define HCR_AMO (1ULL << 5)
973#define HCR_VF (1ULL << 6)
974#define HCR_VI (1ULL << 7)
975#define HCR_VSE (1ULL << 8)
976#define HCR_FB (1ULL << 9)
977#define HCR_BSU_MASK (3ULL << 10)
978#define HCR_DC (1ULL << 12)
979#define HCR_TWI (1ULL << 13)
980#define HCR_TWE (1ULL << 14)
981#define HCR_TID0 (1ULL << 15)
982#define HCR_TID1 (1ULL << 16)
983#define HCR_TID2 (1ULL << 17)
984#define HCR_TID3 (1ULL << 18)
985#define HCR_TSC (1ULL << 19)
986#define HCR_TIDCP (1ULL << 20)
987#define HCR_TACR (1ULL << 21)
988#define HCR_TSW (1ULL << 22)
989#define HCR_TPC (1ULL << 23)
990#define HCR_TPU (1ULL << 24)
991#define HCR_TTLB (1ULL << 25)
992#define HCR_TVM (1ULL << 26)
993#define HCR_TGE (1ULL << 27)
994#define HCR_TDZ (1ULL << 28)
995#define HCR_HCD (1ULL << 29)
996#define HCR_TRVM (1ULL << 30)
997#define HCR_RW (1ULL << 31)
998#define HCR_CD (1ULL << 32)
999#define HCR_ID (1ULL << 33)
1000#define HCR_MASK ((1ULL << 34) - 1)
1001
64e0e2de
EI
1002#define SCR_NS (1U << 0)
1003#define SCR_IRQ (1U << 1)
1004#define SCR_FIQ (1U << 2)
1005#define SCR_EA (1U << 3)
1006#define SCR_FW (1U << 4)
1007#define SCR_AW (1U << 5)
1008#define SCR_NET (1U << 6)
1009#define SCR_SMD (1U << 7)
1010#define SCR_HCE (1U << 8)
1011#define SCR_SIF (1U << 9)
1012#define SCR_RW (1U << 10)
1013#define SCR_ST (1U << 11)
1014#define SCR_TWI (1U << 12)
1015#define SCR_TWE (1U << 13)
1016#define SCR_AARCH32_MASK (0x3fff & ~(SCR_RW | SCR_ST))
1017#define SCR_AARCH64_MASK (0x3fff & ~SCR_NET)
1018
01653295
PM
1019/* Return the current FPSCR value. */
1020uint32_t vfp_get_fpscr(CPUARMState *env);
1021void vfp_set_fpscr(CPUARMState *env, uint32_t val);
1022
f903fa22
PM
1023/* For A64 the FPSCR is split into two logically distinct registers,
1024 * FPCR and FPSR. However since they still use non-overlapping bits
1025 * we store the underlying state in fpscr and just mask on read/write.
1026 */
1027#define FPSR_MASK 0xf800009f
1028#define FPCR_MASK 0x07f79f00
1029static inline uint32_t vfp_get_fpsr(CPUARMState *env)
1030{
1031 return vfp_get_fpscr(env) & FPSR_MASK;
1032}
1033
1034static inline void vfp_set_fpsr(CPUARMState *env, uint32_t val)
1035{
1036 uint32_t new_fpscr = (vfp_get_fpscr(env) & ~FPSR_MASK) | (val & FPSR_MASK);
1037 vfp_set_fpscr(env, new_fpscr);
1038}
1039
1040static inline uint32_t vfp_get_fpcr(CPUARMState *env)
1041{
1042 return vfp_get_fpscr(env) & FPCR_MASK;
1043}
1044
1045static inline void vfp_set_fpcr(CPUARMState *env, uint32_t val)
1046{
1047 uint32_t new_fpscr = (vfp_get_fpscr(env) & ~FPCR_MASK) | (val & FPCR_MASK);
1048 vfp_set_fpscr(env, new_fpscr);
1049}
1050
b5ff1b31
FB
1051enum arm_cpu_mode {
1052 ARM_CPU_MODE_USR = 0x10,
1053 ARM_CPU_MODE_FIQ = 0x11,
1054 ARM_CPU_MODE_IRQ = 0x12,
1055 ARM_CPU_MODE_SVC = 0x13,
28c9457d 1056 ARM_CPU_MODE_MON = 0x16,
b5ff1b31 1057 ARM_CPU_MODE_ABT = 0x17,
28c9457d 1058 ARM_CPU_MODE_HYP = 0x1a,
b5ff1b31
FB
1059 ARM_CPU_MODE_UND = 0x1b,
1060 ARM_CPU_MODE_SYS = 0x1f
1061};
1062
40f137e1
PB
1063/* VFP system registers. */
1064#define ARM_VFP_FPSID 0
1065#define ARM_VFP_FPSCR 1
a50c0f51 1066#define ARM_VFP_MVFR2 5
9ee6e8bb
PB
1067#define ARM_VFP_MVFR1 6
1068#define ARM_VFP_MVFR0 7
40f137e1
PB
1069#define ARM_VFP_FPEXC 8
1070#define ARM_VFP_FPINST 9
1071#define ARM_VFP_FPINST2 10
1072
18c9b560
AZ
1073/* iwMMXt coprocessor control registers. */
1074#define ARM_IWMMXT_wCID 0
1075#define ARM_IWMMXT_wCon 1
1076#define ARM_IWMMXT_wCSSF 2
1077#define ARM_IWMMXT_wCASF 3
1078#define ARM_IWMMXT_wCGR0 8
1079#define ARM_IWMMXT_wCGR1 9
1080#define ARM_IWMMXT_wCGR2 10
1081#define ARM_IWMMXT_wCGR3 11
1082
ce854d7c
BC
1083/* If adding a feature bit which corresponds to a Linux ELF
1084 * HWCAP bit, remember to update the feature-bit-to-hwcap
1085 * mapping in linux-user/elfload.c:get_elf_hwcap().
1086 */
40f137e1
PB
1087enum arm_features {
1088 ARM_FEATURE_VFP,
c1713132
AZ
1089 ARM_FEATURE_AUXCR, /* ARM1026 Auxiliary control register. */
1090 ARM_FEATURE_XSCALE, /* Intel XScale extensions. */
ce819861 1091 ARM_FEATURE_IWMMXT, /* Intel iwMMXt extension. */
9ee6e8bb
PB
1092 ARM_FEATURE_V6,
1093 ARM_FEATURE_V6K,
1094 ARM_FEATURE_V7,
1095 ARM_FEATURE_THUMB2,
c3d2689d 1096 ARM_FEATURE_MPU, /* Only has Memory Protection Unit, not full MMU. */
9ee6e8bb 1097 ARM_FEATURE_VFP3,
60011498 1098 ARM_FEATURE_VFP_FP16,
9ee6e8bb 1099 ARM_FEATURE_NEON,
47789990 1100 ARM_FEATURE_THUMB_DIV, /* divide supported in Thumb encoding */
9ee6e8bb 1101 ARM_FEATURE_M, /* Microcontroller profile. */
fe1479c3 1102 ARM_FEATURE_OMAPCP, /* OMAP specific CP15 ops handling. */
e1bbf446 1103 ARM_FEATURE_THUMB2EE,
be5e7a76
DES
1104 ARM_FEATURE_V7MP, /* v7 Multiprocessing Extensions */
1105 ARM_FEATURE_V4T,
1106 ARM_FEATURE_V5,
5bc95aa2 1107 ARM_FEATURE_STRONGARM,
906879a9 1108 ARM_FEATURE_VAPA, /* cp15 VA to PA lookups */
b8b8ea05 1109 ARM_FEATURE_ARM_DIV, /* divide supported in ARM encoding */
da97f52c 1110 ARM_FEATURE_VFP4, /* VFPv4 (implies that NEON is v2) */
0383ac00 1111 ARM_FEATURE_GENERIC_TIMER,
06ed5d66 1112 ARM_FEATURE_MVFR, /* Media and VFP Feature Registers 0 and 1 */
1047b9d7 1113 ARM_FEATURE_DUMMY_C15_REGS, /* RAZ/WI all of cp15 crn=15 */
c4804214
PM
1114 ARM_FEATURE_CACHE_TEST_CLEAN, /* 926/1026 style test-and-clean ops */
1115 ARM_FEATURE_CACHE_DIRTY_REG, /* 1136/1176 cache dirty status register */
1116 ARM_FEATURE_CACHE_BLOCK_OPS, /* v6 optional cache block operations */
81bdde9d 1117 ARM_FEATURE_MPIDR, /* has cp15 MPIDR */
de9b05b8
PM
1118 ARM_FEATURE_PXN, /* has Privileged Execute Never bit */
1119 ARM_FEATURE_LPAE, /* has Large Physical Address Extension */
81e69fb0 1120 ARM_FEATURE_V8,
3926cc84 1121 ARM_FEATURE_AARCH64, /* supports 64 bit mode */
9d935509 1122 ARM_FEATURE_V8_AES, /* implements AES part of v8 Crypto Extensions */
d8ba780b 1123 ARM_FEATURE_CBAR, /* has cp15 CBAR */
eb0ecd5a 1124 ARM_FEATURE_CRC, /* ARMv8 CRC instructions */
f318cec6 1125 ARM_FEATURE_CBAR_RO, /* has cp15 CBAR and it is read-only */
cca7c2f5 1126 ARM_FEATURE_EL2, /* has EL2 Virtualization support */
1fe8141e 1127 ARM_FEATURE_EL3, /* has EL3 Secure monitor support */
f1ecb913
AB
1128 ARM_FEATURE_V8_SHA1, /* implements SHA1 part of v8 Crypto Extensions */
1129 ARM_FEATURE_V8_SHA256, /* implements SHA256 part of v8 Crypto Extensions */
4e624eda 1130 ARM_FEATURE_V8_PMULL, /* implements PMULL part of v8 Crypto Extensions */
62b44f05 1131 ARM_FEATURE_THUMB_DSP, /* DSP insns supported in the Thumb encodings */
40f137e1
PB
1132};
1133
1134static inline int arm_feature(CPUARMState *env, int feature)
1135{
918f5dca 1136 return (env->features & (1ULL << feature)) != 0;
40f137e1
PB
1137}
1138
19e0fefa
FA
1139#if !defined(CONFIG_USER_ONLY)
1140/* Return true if exception levels below EL3 are in secure state,
1141 * or would be following an exception return to that level.
1142 * Unlike arm_is_secure() (which is always a question about the
1143 * _current_ state of the CPU) this doesn't care about the current
1144 * EL or mode.
1145 */
1146static inline bool arm_is_secure_below_el3(CPUARMState *env)
1147{
1148 if (arm_feature(env, ARM_FEATURE_EL3)) {
1149 return !(env->cp15.scr_el3 & SCR_NS);
1150 } else {
6b7f0b61 1151 /* If EL3 is not supported then the secure state is implementation
19e0fefa
FA
1152 * defined, in which case QEMU defaults to non-secure.
1153 */
1154 return false;
1155 }
1156}
1157
71205876
PM
1158/* Return true if the CPU is AArch64 EL3 or AArch32 Mon */
1159static inline bool arm_is_el3_or_mon(CPUARMState *env)
19e0fefa
FA
1160{
1161 if (arm_feature(env, ARM_FEATURE_EL3)) {
1162 if (is_a64(env) && extract32(env->pstate, 2, 2) == 3) {
1163 /* CPU currently in AArch64 state and EL3 */
1164 return true;
1165 } else if (!is_a64(env) &&
1166 (env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_MON) {
1167 /* CPU currently in AArch32 state and monitor mode */
1168 return true;
1169 }
1170 }
71205876
PM
1171 return false;
1172}
1173
1174/* Return true if the processor is in secure state */
1175static inline bool arm_is_secure(CPUARMState *env)
1176{
1177 if (arm_is_el3_or_mon(env)) {
1178 return true;
1179 }
19e0fefa
FA
1180 return arm_is_secure_below_el3(env);
1181}
1182
1183#else
1184static inline bool arm_is_secure_below_el3(CPUARMState *env)
1185{
1186 return false;
1187}
1188
1189static inline bool arm_is_secure(CPUARMState *env)
1190{
1191 return false;
1192}
1193#endif
1194
1f79ee32
PM
1195/* Return true if the specified exception level is running in AArch64 state. */
1196static inline bool arm_el_is_aa64(CPUARMState *env, int el)
1197{
446c81ab
PM
1198 /* This isn't valid for EL0 (if we're in EL0, is_a64() is what you want,
1199 * and if we're not in EL0 then the state of EL0 isn't well defined.)
1f79ee32 1200 */
446c81ab
PM
1201 assert(el >= 1 && el <= 3);
1202 bool aa64 = arm_feature(env, ARM_FEATURE_AARCH64);
592125f8 1203
446c81ab
PM
1204 /* The highest exception level is always at the maximum supported
1205 * register width, and then lower levels have a register width controlled
1206 * by bits in the SCR or HCR registers.
1f79ee32 1207 */
446c81ab
PM
1208 if (el == 3) {
1209 return aa64;
1210 }
1211
1212 if (arm_feature(env, ARM_FEATURE_EL3)) {
1213 aa64 = aa64 && (env->cp15.scr_el3 & SCR_RW);
1214 }
1215
1216 if (el == 2) {
1217 return aa64;
1218 }
1219
1220 if (arm_feature(env, ARM_FEATURE_EL2) && !arm_is_secure_below_el3(env)) {
1221 aa64 = aa64 && (env->cp15.hcr_el2 & HCR_RW);
1222 }
1223
1224 return aa64;
1f79ee32
PM
1225}
1226
3f342b9e
SF
1227/* Function for determing whether guest cp register reads and writes should
1228 * access the secure or non-secure bank of a cp register. When EL3 is
1229 * operating in AArch32 state, the NS-bit determines whether the secure
1230 * instance of a cp register should be used. When EL3 is AArch64 (or if
1231 * it doesn't exist at all) then there is no register banking, and all
1232 * accesses are to the non-secure version.
1233 */
1234static inline bool access_secure_reg(CPUARMState *env)
1235{
1236 bool ret = (arm_feature(env, ARM_FEATURE_EL3) &&
1237 !arm_el_is_aa64(env, 3) &&
1238 !(env->cp15.scr_el3 & SCR_NS));
1239
1240 return ret;
1241}
1242
ea30a4b8
FA
1243/* Macros for accessing a specified CP register bank */
1244#define A32_BANKED_REG_GET(_env, _regname, _secure) \
1245 ((_secure) ? (_env)->cp15._regname##_s : (_env)->cp15._regname##_ns)
1246
1247#define A32_BANKED_REG_SET(_env, _regname, _secure, _val) \
1248 do { \
1249 if (_secure) { \
1250 (_env)->cp15._regname##_s = (_val); \
1251 } else { \
1252 (_env)->cp15._regname##_ns = (_val); \
1253 } \
1254 } while (0)
1255
1256/* Macros for automatically accessing a specific CP register bank depending on
1257 * the current secure state of the system. These macros are not intended for
1258 * supporting instruction translation reads/writes as these are dependent
1259 * solely on the SCR.NS bit and not the mode.
1260 */
1261#define A32_BANKED_CURRENT_REG_GET(_env, _regname) \
1262 A32_BANKED_REG_GET((_env), _regname, \
2cde031f 1263 (arm_is_secure(_env) && !arm_el_is_aa64((_env), 3)))
ea30a4b8
FA
1264
1265#define A32_BANKED_CURRENT_REG_SET(_env, _regname, _val) \
1266 A32_BANKED_REG_SET((_env), _regname, \
2cde031f 1267 (arm_is_secure(_env) && !arm_el_is_aa64((_env), 3)), \
ea30a4b8
FA
1268 (_val))
1269
9a78eead 1270void arm_cpu_list(FILE *f, fprintf_function cpu_fprintf);
012a906b
GB
1271uint32_t arm_phys_excp_target_el(CPUState *cs, uint32_t excp_idx,
1272 uint32_t cur_el, bool secure);
40f137e1 1273
9ee6e8bb
PB
1274/* Interface between CPU and Interrupt controller. */
1275void armv7m_nvic_set_pending(void *opaque, int irq);
1276int armv7m_nvic_acknowledge_irq(void *opaque);
1277void armv7m_nvic_complete_irq(void *opaque, int irq);
1278
4b6a83fb
PM
1279/* Interface for defining coprocessor registers.
1280 * Registers are defined in tables of arm_cp_reginfo structs
1281 * which are passed to define_arm_cp_regs().
1282 */
1283
1284/* When looking up a coprocessor register we look for it
1285 * via an integer which encodes all of:
1286 * coprocessor number
1287 * Crn, Crm, opc1, opc2 fields
1288 * 32 or 64 bit register (ie is it accessed via MRC/MCR
1289 * or via MRRC/MCRR?)
51a79b03 1290 * non-secure/secure bank (AArch32 only)
4b6a83fb
PM
1291 * We allow 4 bits for opc1 because MRRC/MCRR have a 4 bit field.
1292 * (In this case crn and opc2 should be zero.)
f5a0a5a5
PM
1293 * For AArch64, there is no 32/64 bit size distinction;
1294 * instead all registers have a 2 bit op0, 3 bit op1 and op2,
1295 * and 4 bit CRn and CRm. The encoding patterns are chosen
1296 * to be easy to convert to and from the KVM encodings, and also
1297 * so that the hashtable can contain both AArch32 and AArch64
1298 * registers (to allow for interprocessing where we might run
1299 * 32 bit code on a 64 bit core).
4b6a83fb 1300 */
f5a0a5a5
PM
1301/* This bit is private to our hashtable cpreg; in KVM register
1302 * IDs the AArch64/32 distinction is the KVM_REG_ARM/ARM64
1303 * in the upper bits of the 64 bit ID.
1304 */
1305#define CP_REG_AA64_SHIFT 28
1306#define CP_REG_AA64_MASK (1 << CP_REG_AA64_SHIFT)
1307
51a79b03
PM
1308/* To enable banking of coprocessor registers depending on ns-bit we
1309 * add a bit to distinguish between secure and non-secure cpregs in the
1310 * hashtable.
1311 */
1312#define CP_REG_NS_SHIFT 29
1313#define CP_REG_NS_MASK (1 << CP_REG_NS_SHIFT)
1314
1315#define ENCODE_CP_REG(cp, is64, ns, crn, crm, opc1, opc2) \
1316 ((ns) << CP_REG_NS_SHIFT | ((cp) << 16) | ((is64) << 15) | \
1317 ((crn) << 11) | ((crm) << 7) | ((opc1) << 3) | (opc2))
4b6a83fb 1318
f5a0a5a5
PM
1319#define ENCODE_AA64_CP_REG(cp, crn, crm, op0, op1, op2) \
1320 (CP_REG_AA64_MASK | \
1321 ((cp) << CP_REG_ARM_COPROC_SHIFT) | \
1322 ((op0) << CP_REG_ARM64_SYSREG_OP0_SHIFT) | \
1323 ((op1) << CP_REG_ARM64_SYSREG_OP1_SHIFT) | \
1324 ((crn) << CP_REG_ARM64_SYSREG_CRN_SHIFT) | \
1325 ((crm) << CP_REG_ARM64_SYSREG_CRM_SHIFT) | \
1326 ((op2) << CP_REG_ARM64_SYSREG_OP2_SHIFT))
1327
721fae12
PM
1328/* Convert a full 64 bit KVM register ID to the truncated 32 bit
1329 * version used as a key for the coprocessor register hashtable
1330 */
1331static inline uint32_t kvm_to_cpreg_id(uint64_t kvmid)
1332{
1333 uint32_t cpregid = kvmid;
f5a0a5a5
PM
1334 if ((kvmid & CP_REG_ARCH_MASK) == CP_REG_ARM64) {
1335 cpregid |= CP_REG_AA64_MASK;
51a79b03
PM
1336 } else {
1337 if ((kvmid & CP_REG_SIZE_MASK) == CP_REG_SIZE_U64) {
1338 cpregid |= (1 << 15);
1339 }
1340
1341 /* KVM is always non-secure so add the NS flag on AArch32 register
1342 * entries.
1343 */
1344 cpregid |= 1 << CP_REG_NS_SHIFT;
721fae12
PM
1345 }
1346 return cpregid;
1347}
1348
1349/* Convert a truncated 32 bit hashtable key into the full
1350 * 64 bit KVM register ID.
1351 */
1352static inline uint64_t cpreg_to_kvm_id(uint32_t cpregid)
1353{
f5a0a5a5
PM
1354 uint64_t kvmid;
1355
1356 if (cpregid & CP_REG_AA64_MASK) {
1357 kvmid = cpregid & ~CP_REG_AA64_MASK;
1358 kvmid |= CP_REG_SIZE_U64 | CP_REG_ARM64;
721fae12 1359 } else {
f5a0a5a5
PM
1360 kvmid = cpregid & ~(1 << 15);
1361 if (cpregid & (1 << 15)) {
1362 kvmid |= CP_REG_SIZE_U64 | CP_REG_ARM;
1363 } else {
1364 kvmid |= CP_REG_SIZE_U32 | CP_REG_ARM;
1365 }
721fae12
PM
1366 }
1367 return kvmid;
1368}
1369
4b6a83fb
PM
1370/* ARMCPRegInfo type field bits. If the SPECIAL bit is set this is a
1371 * special-behaviour cp reg and bits [15..8] indicate what behaviour
1372 * it has. Otherwise it is a simple cp reg, where CONST indicates that
1373 * TCG can assume the value to be constant (ie load at translate time)
1374 * and 64BIT indicates a 64 bit wide coprocessor register. SUPPRESS_TB_END
1375 * indicates that the TB should not be ended after a write to this register
1376 * (the default is that the TB ends after cp writes). OVERRIDE permits
1377 * a register definition to override a previous definition for the
1378 * same (cp, is64, crn, crm, opc1, opc2) tuple: either the new or the
1379 * old must have the OVERRIDE bit set.
7a0e58fa
PM
1380 * ALIAS indicates that this register is an alias view of some underlying
1381 * state which is also visible via another register, and that the other
b061a82b
SF
1382 * register is handling migration and reset; registers marked ALIAS will not be
1383 * migrated but may have their state set by syncing of register state from KVM.
7a0e58fa
PM
1384 * NO_RAW indicates that this register has no underlying state and does not
1385 * support raw access for state saving/loading; it will not be used for either
1386 * migration or KVM state synchronization. (Typically this is for "registers"
1387 * which are actually used as instructions for cache maintenance and so on.)
2452731c
PM
1388 * IO indicates that this register does I/O and therefore its accesses
1389 * need to be surrounded by gen_io_start()/gen_io_end(). In particular,
1390 * registers which implement clocks or timers require this.
4b6a83fb
PM
1391 */
1392#define ARM_CP_SPECIAL 1
1393#define ARM_CP_CONST 2
1394#define ARM_CP_64BIT 4
1395#define ARM_CP_SUPPRESS_TB_END 8
1396#define ARM_CP_OVERRIDE 16
7a0e58fa 1397#define ARM_CP_ALIAS 32
2452731c 1398#define ARM_CP_IO 64
7a0e58fa 1399#define ARM_CP_NO_RAW 128
4b6a83fb
PM
1400#define ARM_CP_NOP (ARM_CP_SPECIAL | (1 << 8))
1401#define ARM_CP_WFI (ARM_CP_SPECIAL | (2 << 8))
b0d2b7d0 1402#define ARM_CP_NZCV (ARM_CP_SPECIAL | (3 << 8))
0eef9d98 1403#define ARM_CP_CURRENTEL (ARM_CP_SPECIAL | (4 << 8))
aca3f40b
PM
1404#define ARM_CP_DC_ZVA (ARM_CP_SPECIAL | (5 << 8))
1405#define ARM_LAST_SPECIAL ARM_CP_DC_ZVA
4b6a83fb
PM
1406/* Used only as a terminator for ARMCPRegInfo lists */
1407#define ARM_CP_SENTINEL 0xffff
1408/* Mask of only the flag bits in a type field */
7a0e58fa 1409#define ARM_CP_FLAG_MASK 0xff
4b6a83fb 1410
f5a0a5a5
PM
1411/* Valid values for ARMCPRegInfo state field, indicating which of
1412 * the AArch32 and AArch64 execution states this register is visible in.
1413 * If the reginfo doesn't explicitly specify then it is AArch32 only.
1414 * If the reginfo is declared to be visible in both states then a second
1415 * reginfo is synthesised for the AArch32 view of the AArch64 register,
1416 * such that the AArch32 view is the lower 32 bits of the AArch64 one.
1417 * Note that we rely on the values of these enums as we iterate through
1418 * the various states in some places.
1419 */
1420enum {
1421 ARM_CP_STATE_AA32 = 0,
1422 ARM_CP_STATE_AA64 = 1,
1423 ARM_CP_STATE_BOTH = 2,
1424};
1425
c3e30260
FA
1426/* ARM CP register secure state flags. These flags identify security state
1427 * attributes for a given CP register entry.
1428 * The existence of both or neither secure and non-secure flags indicates that
1429 * the register has both a secure and non-secure hash entry. A single one of
1430 * these flags causes the register to only be hashed for the specified
1431 * security state.
1432 * Although definitions may have any combination of the S/NS bits, each
1433 * registered entry will only have one to identify whether the entry is secure
1434 * or non-secure.
1435 */
1436enum {
1437 ARM_CP_SECSTATE_S = (1 << 0), /* bit[0]: Secure state register */
1438 ARM_CP_SECSTATE_NS = (1 << 1), /* bit[1]: Non-secure state register */
1439};
1440
4b6a83fb
PM
1441/* Return true if cptype is a valid type field. This is used to try to
1442 * catch errors where the sentinel has been accidentally left off the end
1443 * of a list of registers.
1444 */
1445static inline bool cptype_valid(int cptype)
1446{
1447 return ((cptype & ~ARM_CP_FLAG_MASK) == 0)
1448 || ((cptype & ARM_CP_SPECIAL) &&
34affeef 1449 ((cptype & ~ARM_CP_FLAG_MASK) <= ARM_LAST_SPECIAL));
4b6a83fb
PM
1450}
1451
1452/* Access rights:
1453 * We define bits for Read and Write access for what rev C of the v7-AR ARM ARM
1454 * defines as PL0 (user), PL1 (fiq/irq/svc/abt/und/sys, ie privileged), and
1455 * PL2 (hyp). The other level which has Read and Write bits is Secure PL1
1456 * (ie any of the privileged modes in Secure state, or Monitor mode).
1457 * If a register is accessible in one privilege level it's always accessible
1458 * in higher privilege levels too. Since "Secure PL1" also follows this rule
1459 * (ie anything visible in PL2 is visible in S-PL1, some things are only
1460 * visible in S-PL1) but "Secure PL1" is a bit of a mouthful, we bend the
1461 * terminology a little and call this PL3.
f5a0a5a5
PM
1462 * In AArch64 things are somewhat simpler as the PLx bits line up exactly
1463 * with the ELx exception levels.
4b6a83fb
PM
1464 *
1465 * If access permissions for a register are more complex than can be
1466 * described with these bits, then use a laxer set of restrictions, and
1467 * do the more restrictive/complex check inside a helper function.
1468 */
1469#define PL3_R 0x80
1470#define PL3_W 0x40
1471#define PL2_R (0x20 | PL3_R)
1472#define PL2_W (0x10 | PL3_W)
1473#define PL1_R (0x08 | PL2_R)
1474#define PL1_W (0x04 | PL2_W)
1475#define PL0_R (0x02 | PL1_R)
1476#define PL0_W (0x01 | PL1_W)
1477
1478#define PL3_RW (PL3_R | PL3_W)
1479#define PL2_RW (PL2_R | PL2_W)
1480#define PL1_RW (PL1_R | PL1_W)
1481#define PL0_RW (PL0_R | PL0_W)
1482
75502672
PM
1483/* Return the highest implemented Exception Level */
1484static inline int arm_highest_el(CPUARMState *env)
1485{
1486 if (arm_feature(env, ARM_FEATURE_EL3)) {
1487 return 3;
1488 }
1489 if (arm_feature(env, ARM_FEATURE_EL2)) {
1490 return 2;
1491 }
1492 return 1;
1493}
1494
dcbff19b
GB
1495/* Return the current Exception Level (as per ARMv8; note that this differs
1496 * from the ARMv7 Privilege Level).
1497 */
1498static inline int arm_current_el(CPUARMState *env)
4b6a83fb 1499{
6d54ed3c
PM
1500 if (arm_feature(env, ARM_FEATURE_M)) {
1501 return !((env->v7m.exception == 0) && (env->v7m.control & 1));
1502 }
1503
592125f8 1504 if (is_a64(env)) {
f5a0a5a5
PM
1505 return extract32(env->pstate, 2, 2);
1506 }
1507
592125f8
FA
1508 switch (env->uncached_cpsr & 0x1f) {
1509 case ARM_CPU_MODE_USR:
4b6a83fb 1510 return 0;
592125f8
FA
1511 case ARM_CPU_MODE_HYP:
1512 return 2;
1513 case ARM_CPU_MODE_MON:
1514 return 3;
1515 default:
1516 if (arm_is_secure(env) && !arm_el_is_aa64(env, 3)) {
1517 /* If EL3 is 32-bit then all secure privileged modes run in
1518 * EL3
1519 */
1520 return 3;
1521 }
1522
1523 return 1;
4b6a83fb 1524 }
4b6a83fb
PM
1525}
1526
1527typedef struct ARMCPRegInfo ARMCPRegInfo;
1528
f59df3f2
PM
1529typedef enum CPAccessResult {
1530 /* Access is permitted */
1531 CP_ACCESS_OK = 0,
1532 /* Access fails due to a configurable trap or enable which would
1533 * result in a categorized exception syndrome giving information about
1534 * the failing instruction (ie syndrome category 0x3, 0x4, 0x5, 0x6,
38836a2c
PM
1535 * 0xc or 0x18). The exception is taken to the usual target EL (EL1 or
1536 * PL1 if in EL0, otherwise to the current EL).
f59df3f2
PM
1537 */
1538 CP_ACCESS_TRAP = 1,
1539 /* Access fails and results in an exception syndrome 0x0 ("uncategorized").
1540 * Note that this is not a catch-all case -- the set of cases which may
1541 * result in this failure is specifically defined by the architecture.
1542 */
1543 CP_ACCESS_TRAP_UNCATEGORIZED = 2,
38836a2c
PM
1544 /* As CP_ACCESS_TRAP, but for traps directly to EL2 or EL3 */
1545 CP_ACCESS_TRAP_EL2 = 3,
1546 CP_ACCESS_TRAP_EL3 = 4,
e7615726
PM
1547 /* As CP_ACCESS_UNCATEGORIZED, but for traps directly to EL2 or EL3 */
1548 CP_ACCESS_TRAP_UNCATEGORIZED_EL2 = 5,
1549 CP_ACCESS_TRAP_UNCATEGORIZED_EL3 = 6,
f2cae609
PM
1550 /* Access fails and results in an exception syndrome for an FP access,
1551 * trapped directly to EL2 or EL3
1552 */
1553 CP_ACCESS_TRAP_FP_EL2 = 7,
1554 CP_ACCESS_TRAP_FP_EL3 = 8,
f59df3f2
PM
1555} CPAccessResult;
1556
c4241c7d
PM
1557/* Access functions for coprocessor registers. These cannot fail and
1558 * may not raise exceptions.
1559 */
1560typedef uint64_t CPReadFn(CPUARMState *env, const ARMCPRegInfo *opaque);
1561typedef void CPWriteFn(CPUARMState *env, const ARMCPRegInfo *opaque,
1562 uint64_t value);
f59df3f2 1563/* Access permission check functions for coprocessor registers. */
3f208fd7
PM
1564typedef CPAccessResult CPAccessFn(CPUARMState *env,
1565 const ARMCPRegInfo *opaque,
1566 bool isread);
4b6a83fb
PM
1567/* Hook function for register reset */
1568typedef void CPResetFn(CPUARMState *env, const ARMCPRegInfo *opaque);
1569
1570#define CP_ANY 0xff
1571
1572/* Definition of an ARM coprocessor register */
1573struct ARMCPRegInfo {
1574 /* Name of register (useful mainly for debugging, need not be unique) */
1575 const char *name;
1576 /* Location of register: coprocessor number and (crn,crm,opc1,opc2)
1577 * tuple. Any of crm, opc1 and opc2 may be CP_ANY to indicate a
1578 * 'wildcard' field -- any value of that field in the MRC/MCR insn
1579 * will be decoded to this register. The register read and write
1580 * callbacks will be passed an ARMCPRegInfo with the crn/crm/opc1/opc2
1581 * used by the program, so it is possible to register a wildcard and
1582 * then behave differently on read/write if necessary.
1583 * For 64 bit registers, only crm and opc1 are relevant; crn and opc2
1584 * must both be zero.
f5a0a5a5
PM
1585 * For AArch64-visible registers, opc0 is also used.
1586 * Since there are no "coprocessors" in AArch64, cp is purely used as a
1587 * way to distinguish (for KVM's benefit) guest-visible system registers
1588 * from demuxed ones provided to preserve the "no side effects on
1589 * KVM register read/write from QEMU" semantics. cp==0x13 is guest
1590 * visible (to match KVM's encoding); cp==0 will be converted to
1591 * cp==0x13 when the ARMCPRegInfo is registered, for convenience.
4b6a83fb
PM
1592 */
1593 uint8_t cp;
1594 uint8_t crn;
1595 uint8_t crm;
f5a0a5a5 1596 uint8_t opc0;
4b6a83fb
PM
1597 uint8_t opc1;
1598 uint8_t opc2;
f5a0a5a5
PM
1599 /* Execution state in which this register is visible: ARM_CP_STATE_* */
1600 int state;
4b6a83fb
PM
1601 /* Register type: ARM_CP_* bits/values */
1602 int type;
1603 /* Access rights: PL*_[RW] */
1604 int access;
c3e30260
FA
1605 /* Security state: ARM_CP_SECSTATE_* bits/values */
1606 int secure;
4b6a83fb
PM
1607 /* The opaque pointer passed to define_arm_cp_regs_with_opaque() when
1608 * this register was defined: can be used to hand data through to the
1609 * register read/write functions, since they are passed the ARMCPRegInfo*.
1610 */
1611 void *opaque;
1612 /* Value of this register, if it is ARM_CP_CONST. Otherwise, if
1613 * fieldoffset is non-zero, the reset value of the register.
1614 */
1615 uint64_t resetvalue;
c3e30260
FA
1616 /* Offset of the field in CPUARMState for this register.
1617 *
1618 * This is not needed if either:
4b6a83fb
PM
1619 * 1. type is ARM_CP_CONST or one of the ARM_CP_SPECIALs
1620 * 2. both readfn and writefn are specified
1621 */
1622 ptrdiff_t fieldoffset; /* offsetof(CPUARMState, field) */
c3e30260
FA
1623
1624 /* Offsets of the secure and non-secure fields in CPUARMState for the
1625 * register if it is banked. These fields are only used during the static
1626 * registration of a register. During hashing the bank associated
1627 * with a given security state is copied to fieldoffset which is used from
1628 * there on out.
1629 *
1630 * It is expected that register definitions use either fieldoffset or
1631 * bank_fieldoffsets in the definition but not both. It is also expected
1632 * that both bank offsets are set when defining a banked register. This
1633 * use indicates that a register is banked.
1634 */
1635 ptrdiff_t bank_fieldoffsets[2];
1636
f59df3f2
PM
1637 /* Function for making any access checks for this register in addition to
1638 * those specified by the 'access' permissions bits. If NULL, no extra
1639 * checks required. The access check is performed at runtime, not at
1640 * translate time.
1641 */
1642 CPAccessFn *accessfn;
4b6a83fb
PM
1643 /* Function for handling reads of this register. If NULL, then reads
1644 * will be done by loading from the offset into CPUARMState specified
1645 * by fieldoffset.
1646 */
1647 CPReadFn *readfn;
1648 /* Function for handling writes of this register. If NULL, then writes
1649 * will be done by writing to the offset into CPUARMState specified
1650 * by fieldoffset.
1651 */
1652 CPWriteFn *writefn;
7023ec7e
PM
1653 /* Function for doing a "raw" read; used when we need to copy
1654 * coprocessor state to the kernel for KVM or out for
1655 * migration. This only needs to be provided if there is also a
c4241c7d 1656 * readfn and it has side effects (for instance clear-on-read bits).
7023ec7e
PM
1657 */
1658 CPReadFn *raw_readfn;
1659 /* Function for doing a "raw" write; used when we need to copy KVM
1660 * kernel coprocessor state into userspace, or for inbound
1661 * migration. This only needs to be provided if there is also a
c4241c7d
PM
1662 * writefn and it masks out "unwritable" bits or has write-one-to-clear
1663 * or similar behaviour.
7023ec7e
PM
1664 */
1665 CPWriteFn *raw_writefn;
4b6a83fb
PM
1666 /* Function for resetting the register. If NULL, then reset will be done
1667 * by writing resetvalue to the field specified in fieldoffset. If
1668 * fieldoffset is 0 then no reset will be done.
1669 */
1670 CPResetFn *resetfn;
1671};
1672
1673/* Macros which are lvalues for the field in CPUARMState for the
1674 * ARMCPRegInfo *ri.
1675 */
1676#define CPREG_FIELD32(env, ri) \
1677 (*(uint32_t *)((char *)(env) + (ri)->fieldoffset))
1678#define CPREG_FIELD64(env, ri) \
1679 (*(uint64_t *)((char *)(env) + (ri)->fieldoffset))
1680
1681#define REGINFO_SENTINEL { .type = ARM_CP_SENTINEL }
1682
1683void define_arm_cp_regs_with_opaque(ARMCPU *cpu,
1684 const ARMCPRegInfo *regs, void *opaque);
1685void define_one_arm_cp_reg_with_opaque(ARMCPU *cpu,
1686 const ARMCPRegInfo *regs, void *opaque);
1687static inline void define_arm_cp_regs(ARMCPU *cpu, const ARMCPRegInfo *regs)
1688{
1689 define_arm_cp_regs_with_opaque(cpu, regs, 0);
1690}
1691static inline void define_one_arm_cp_reg(ARMCPU *cpu, const ARMCPRegInfo *regs)
1692{
1693 define_one_arm_cp_reg_with_opaque(cpu, regs, 0);
1694}
60322b39 1695const ARMCPRegInfo *get_arm_cp_reginfo(GHashTable *cpregs, uint32_t encoded_cp);
4b6a83fb
PM
1696
1697/* CPWriteFn that can be used to implement writes-ignored behaviour */
c4241c7d
PM
1698void arm_cp_write_ignore(CPUARMState *env, const ARMCPRegInfo *ri,
1699 uint64_t value);
4b6a83fb 1700/* CPReadFn that can be used for read-as-zero behaviour */
c4241c7d 1701uint64_t arm_cp_read_zero(CPUARMState *env, const ARMCPRegInfo *ri);
4b6a83fb 1702
f5a0a5a5
PM
1703/* CPResetFn that does nothing, for use if no reset is required even
1704 * if fieldoffset is non zero.
1705 */
1706void arm_cp_reset_ignore(CPUARMState *env, const ARMCPRegInfo *opaque);
1707
67ed771d
PM
1708/* Return true if this reginfo struct's field in the cpu state struct
1709 * is 64 bits wide.
1710 */
1711static inline bool cpreg_field_is_64bit(const ARMCPRegInfo *ri)
1712{
1713 return (ri->state == ARM_CP_STATE_AA64) || (ri->type & ARM_CP_64BIT);
1714}
1715
dcbff19b 1716static inline bool cp_access_ok(int current_el,
4b6a83fb
PM
1717 const ARMCPRegInfo *ri, int isread)
1718{
dcbff19b 1719 return (ri->access >> ((current_el * 2) + isread)) & 1;
4b6a83fb
PM
1720}
1721
49a66191
PM
1722/* Raw read of a coprocessor register (as needed for migration, etc) */
1723uint64_t read_raw_cp_reg(CPUARMState *env, const ARMCPRegInfo *ri);
1724
721fae12
PM
1725/**
1726 * write_list_to_cpustate
1727 * @cpu: ARMCPU
1728 *
1729 * For each register listed in the ARMCPU cpreg_indexes list, write
1730 * its value from the cpreg_values list into the ARMCPUState structure.
1731 * This updates TCG's working data structures from KVM data or
1732 * from incoming migration state.
1733 *
1734 * Returns: true if all register values were updated correctly,
1735 * false if some register was unknown or could not be written.
1736 * Note that we do not stop early on failure -- we will attempt
1737 * writing all registers in the list.
1738 */
1739bool write_list_to_cpustate(ARMCPU *cpu);
1740
1741/**
1742 * write_cpustate_to_list:
1743 * @cpu: ARMCPU
1744 *
1745 * For each register listed in the ARMCPU cpreg_indexes list, write
1746 * its value from the ARMCPUState structure into the cpreg_values list.
1747 * This is used to copy info from TCG's working data structures into
1748 * KVM or for outbound migration.
1749 *
1750 * Returns: true if all register values were read correctly,
1751 * false if some register was unknown or could not be read.
1752 * Note that we do not stop early on failure -- we will attempt
1753 * reading all registers in the list.
1754 */
1755bool write_cpustate_to_list(ARMCPU *cpu);
1756
b6af0975 1757/* Does the core conform to the "MicroController" profile. e.g. Cortex-M3.
9ee6e8bb
PB
1758 Note the M in older cores (eg. ARM7TDMI) stands for Multiply. These are
1759 conventional cores (ie. Application or Realtime profile). */
1760
1761#define IS_M(env) arm_feature(env, ARM_FEATURE_M)
9ee6e8bb 1762
9ee6e8bb
PB
1763#define ARM_CPUID_TI915T 0x54029152
1764#define ARM_CPUID_TI925T 0x54029252
40f137e1 1765
b5ff1b31 1766#if defined(CONFIG_USER_ONLY)
2c0262af 1767#define TARGET_PAGE_BITS 12
b5ff1b31 1768#else
e97da98f
PM
1769/* ARMv7 and later CPUs have 4K pages minimum, but ARMv5 and v6
1770 * have to support 1K tiny pages.
1771 */
1772#define TARGET_PAGE_BITS_VARY
1773#define TARGET_PAGE_BITS_MIN 10
b5ff1b31 1774#endif
9467d44c 1775
3926cc84
AG
1776#if defined(TARGET_AARCH64)
1777# define TARGET_PHYS_ADDR_SPACE_BITS 48
1778# define TARGET_VIRT_ADDR_SPACE_BITS 64
1779#else
1780# define TARGET_PHYS_ADDR_SPACE_BITS 40
1781# define TARGET_VIRT_ADDR_SPACE_BITS 32
1782#endif
52705890 1783
012a906b
GB
1784static inline bool arm_excp_unmasked(CPUState *cs, unsigned int excp_idx,
1785 unsigned int target_el)
043b7f8d
EI
1786{
1787 CPUARMState *env = cs->env_ptr;
dcbff19b 1788 unsigned int cur_el = arm_current_el(env);
57e3a0c7 1789 bool secure = arm_is_secure(env);
57e3a0c7
GB
1790 bool pstate_unmasked;
1791 int8_t unmasked = 0;
1792
1793 /* Don't take exceptions if they target a lower EL.
1794 * This check should catch any exceptions that would not be taken but left
1795 * pending.
1796 */
dfafd090
EI
1797 if (cur_el > target_el) {
1798 return false;
1799 }
043b7f8d
EI
1800
1801 switch (excp_idx) {
1802 case EXCP_FIQ:
57e3a0c7
GB
1803 pstate_unmasked = !(env->daif & PSTATE_F);
1804 break;
1805
043b7f8d 1806 case EXCP_IRQ:
57e3a0c7
GB
1807 pstate_unmasked = !(env->daif & PSTATE_I);
1808 break;
1809
136e67e9 1810 case EXCP_VFIQ:
9fae24f5 1811 if (secure || !(env->cp15.hcr_el2 & HCR_FMO)) {
136e67e9
EI
1812 /* VFIQs are only taken when hypervized and non-secure. */
1813 return false;
1814 }
1815 return !(env->daif & PSTATE_F);
1816 case EXCP_VIRQ:
9fae24f5 1817 if (secure || !(env->cp15.hcr_el2 & HCR_IMO)) {
136e67e9
EI
1818 /* VIRQs are only taken when hypervized and non-secure. */
1819 return false;
1820 }
b5c633c5 1821 return !(env->daif & PSTATE_I);
043b7f8d
EI
1822 default:
1823 g_assert_not_reached();
1824 }
57e3a0c7
GB
1825
1826 /* Use the target EL, current execution state and SCR/HCR settings to
1827 * determine whether the corresponding CPSR bit is used to mask the
1828 * interrupt.
1829 */
1830 if ((target_el > cur_el) && (target_el != 1)) {
7cd6de3b
PM
1831 /* Exceptions targeting a higher EL may not be maskable */
1832 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
1833 /* 64-bit masking rules are simple: exceptions to EL3
1834 * can't be masked, and exceptions to EL2 can only be
1835 * masked from Secure state. The HCR and SCR settings
1836 * don't affect the masking logic, only the interrupt routing.
1837 */
1838 if (target_el == 3 || !secure) {
1839 unmasked = 1;
1840 }
1841 } else {
1842 /* The old 32-bit-only environment has a more complicated
1843 * masking setup. HCR and SCR bits not only affect interrupt
1844 * routing but also change the behaviour of masking.
1845 */
1846 bool hcr, scr;
1847
1848 switch (excp_idx) {
1849 case EXCP_FIQ:
1850 /* If FIQs are routed to EL3 or EL2 then there are cases where
1851 * we override the CPSR.F in determining if the exception is
1852 * masked or not. If neither of these are set then we fall back
1853 * to the CPSR.F setting otherwise we further assess the state
1854 * below.
1855 */
1856 hcr = (env->cp15.hcr_el2 & HCR_FMO);
1857 scr = (env->cp15.scr_el3 & SCR_FIQ);
1858
1859 /* When EL3 is 32-bit, the SCR.FW bit controls whether the
1860 * CPSR.F bit masks FIQ interrupts when taken in non-secure
1861 * state. If SCR.FW is set then FIQs can be masked by CPSR.F
1862 * when non-secure but only when FIQs are only routed to EL3.
1863 */
1864 scr = scr && !((env->cp15.scr_el3 & SCR_FW) && !hcr);
1865 break;
1866 case EXCP_IRQ:
1867 /* When EL3 execution state is 32-bit, if HCR.IMO is set then
1868 * we may override the CPSR.I masking when in non-secure state.
1869 * The SCR.IRQ setting has already been taken into consideration
1870 * when setting the target EL, so it does not have a further
1871 * affect here.
1872 */
1873 hcr = (env->cp15.hcr_el2 & HCR_IMO);
1874 scr = false;
1875 break;
1876 default:
1877 g_assert_not_reached();
1878 }
1879
1880 if ((scr || hcr) && !secure) {
1881 unmasked = 1;
1882 }
57e3a0c7
GB
1883 }
1884 }
1885
1886 /* The PSTATE bits only mask the interrupt if we have not overriden the
1887 * ability above.
1888 */
1889 return unmasked || pstate_unmasked;
043b7f8d
EI
1890}
1891
2994fd96 1892#define cpu_init(cpu_model) CPU(cpu_arm_init(cpu_model))
ad37ad5b 1893
9467d44c 1894#define cpu_signal_handler cpu_arm_signal_handler
c732abe2 1895#define cpu_list arm_cpu_list
9467d44c 1896
c1e37810
PM
1897/* ARM has the following "translation regimes" (as the ARM ARM calls them):
1898 *
1899 * If EL3 is 64-bit:
1900 * + NonSecure EL1 & 0 stage 1
1901 * + NonSecure EL1 & 0 stage 2
1902 * + NonSecure EL2
1903 * + Secure EL1 & EL0
1904 * + Secure EL3
1905 * If EL3 is 32-bit:
1906 * + NonSecure PL1 & 0 stage 1
1907 * + NonSecure PL1 & 0 stage 2
1908 * + NonSecure PL2
1909 * + Secure PL0 & PL1
1910 * (reminder: for 32 bit EL3, Secure PL1 is *EL3*, not EL1.)
1911 *
1912 * For QEMU, an mmu_idx is not quite the same as a translation regime because:
1913 * 1. we need to split the "EL1 & 0" regimes into two mmu_idxes, because they
1914 * may differ in access permissions even if the VA->PA map is the same
1915 * 2. we want to cache in our TLB the full VA->IPA->PA lookup for a stage 1+2
1916 * translation, which means that we have one mmu_idx that deals with two
1917 * concatenated translation regimes [this sort of combined s1+2 TLB is
1918 * architecturally permitted]
1919 * 3. we don't need to allocate an mmu_idx to translations that we won't be
1920 * handling via the TLB. The only way to do a stage 1 translation without
1921 * the immediate stage 2 translation is via the ATS or AT system insns,
1922 * which can be slow-pathed and always do a page table walk.
1923 * 4. we can also safely fold together the "32 bit EL3" and "64 bit EL3"
1924 * translation regimes, because they map reasonably well to each other
1925 * and they can't both be active at the same time.
1926 * This gives us the following list of mmu_idx values:
1927 *
1928 * NS EL0 (aka NS PL0) stage 1+2
1929 * NS EL1 (aka NS PL1) stage 1+2
1930 * NS EL2 (aka NS PL2)
1931 * S EL3 (aka S PL1)
1932 * S EL0 (aka S PL0)
1933 * S EL1 (not used if EL3 is 32 bit)
1934 * NS EL0+1 stage 2
1935 *
1936 * (The last of these is an mmu_idx because we want to be able to use the TLB
1937 * for the accesses done as part of a stage 1 page table walk, rather than
1938 * having to walk the stage 2 page table over and over.)
1939 *
1940 * Our enumeration includes at the end some entries which are not "true"
1941 * mmu_idx values in that they don't have corresponding TLBs and are only
1942 * valid for doing slow path page table walks.
1943 *
1944 * The constant names here are patterned after the general style of the names
1945 * of the AT/ATS operations.
1946 * The values used are carefully arranged to make mmu_idx => EL lookup easy.
1947 */
1948typedef enum ARMMMUIdx {
1949 ARMMMUIdx_S12NSE0 = 0,
1950 ARMMMUIdx_S12NSE1 = 1,
1951 ARMMMUIdx_S1E2 = 2,
1952 ARMMMUIdx_S1E3 = 3,
1953 ARMMMUIdx_S1SE0 = 4,
1954 ARMMMUIdx_S1SE1 = 5,
1955 ARMMMUIdx_S2NS = 6,
1956 /* Indexes below here don't have TLBs and are used only for AT system
1957 * instructions or for the first stage of an S12 page table walk.
1958 */
1959 ARMMMUIdx_S1NSE0 = 7,
1960 ARMMMUIdx_S1NSE1 = 8,
1961} ARMMMUIdx;
1962
f79fbf39 1963#define MMU_USER_IDX 0
c1e37810
PM
1964
1965/* Return the exception level we're running at if this is our mmu_idx */
1966static inline int arm_mmu_idx_to_el(ARMMMUIdx mmu_idx)
6ebbf390 1967{
c1e37810
PM
1968 assert(mmu_idx < ARMMMUIdx_S2NS);
1969 return mmu_idx & 3;
1970}
1971
1972/* Determine the current mmu_idx to use for normal loads/stores */
97ed5ccd 1973static inline int cpu_mmu_index(CPUARMState *env, bool ifetch)
c1e37810
PM
1974{
1975 int el = arm_current_el(env);
1976
1977 if (el < 2 && arm_is_secure_below_el3(env)) {
1978 return ARMMMUIdx_S1SE0 + el;
1979 }
1980 return el;
6ebbf390
JM
1981}
1982
9e273ef2
PM
1983/* Indexes used when registering address spaces with cpu_address_space_init */
1984typedef enum ARMASIdx {
1985 ARMASIdx_NS = 0,
1986 ARMASIdx_S = 1,
1987} ARMASIdx;
1988
533e93f1 1989/* Return the Exception Level targeted by debug exceptions. */
3a298203
PM
1990static inline int arm_debug_target_el(CPUARMState *env)
1991{
81669b8b
SF
1992 bool secure = arm_is_secure(env);
1993 bool route_to_el2 = false;
1994
1995 if (arm_feature(env, ARM_FEATURE_EL2) && !secure) {
1996 route_to_el2 = env->cp15.hcr_el2 & HCR_TGE ||
1997 env->cp15.mdcr_el2 & (1 << 8);
1998 }
1999
2000 if (route_to_el2) {
2001 return 2;
2002 } else if (arm_feature(env, ARM_FEATURE_EL3) &&
2003 !arm_el_is_aa64(env, 3) && secure) {
2004 return 3;
2005 } else {
2006 return 1;
2007 }
3a298203
PM
2008}
2009
2010static inline bool aa64_generate_debug_exceptions(CPUARMState *env)
2011{
533e93f1
PM
2012 if (arm_is_secure(env)) {
2013 /* MDCR_EL3.SDD disables debug events from Secure state */
2014 if (extract32(env->cp15.mdcr_el3, 16, 1) != 0
2015 || arm_current_el(env) == 3) {
2016 return false;
2017 }
2018 }
2019
dcbff19b 2020 if (arm_current_el(env) == arm_debug_target_el(env)) {
3a298203
PM
2021 if ((extract32(env->cp15.mdscr_el1, 13, 1) == 0)
2022 || (env->daif & PSTATE_D)) {
2023 return false;
2024 }
2025 }
2026 return true;
2027}
2028
2029static inline bool aa32_generate_debug_exceptions(CPUARMState *env)
2030{
533e93f1
PM
2031 int el = arm_current_el(env);
2032
2033 if (el == 0 && arm_el_is_aa64(env, 1)) {
3a298203
PM
2034 return aa64_generate_debug_exceptions(env);
2035 }
533e93f1
PM
2036
2037 if (arm_is_secure(env)) {
2038 int spd;
2039
2040 if (el == 0 && (env->cp15.sder & 1)) {
2041 /* SDER.SUIDEN means debug exceptions from Secure EL0
2042 * are always enabled. Otherwise they are controlled by
2043 * SDCR.SPD like those from other Secure ELs.
2044 */
2045 return true;
2046 }
2047
2048 spd = extract32(env->cp15.mdcr_el3, 14, 2);
2049 switch (spd) {
2050 case 1:
2051 /* SPD == 0b01 is reserved, but behaves as 0b00. */
2052 case 0:
2053 /* For 0b00 we return true if external secure invasive debug
2054 * is enabled. On real hardware this is controlled by external
2055 * signals to the core. QEMU always permits debug, and behaves
2056 * as if DBGEN, SPIDEN, NIDEN and SPNIDEN are all tied high.
2057 */
2058 return true;
2059 case 2:
2060 return false;
2061 case 3:
2062 return true;
2063 }
2064 }
2065
2066 return el != 2;
3a298203
PM
2067}
2068
2069/* Return true if debugging exceptions are currently enabled.
2070 * This corresponds to what in ARM ARM pseudocode would be
2071 * if UsingAArch32() then
2072 * return AArch32.GenerateDebugExceptions()
2073 * else
2074 * return AArch64.GenerateDebugExceptions()
2075 * We choose to push the if() down into this function for clarity,
2076 * since the pseudocode has it at all callsites except for the one in
2077 * CheckSoftwareStep(), where it is elided because both branches would
2078 * always return the same value.
2079 *
2080 * Parts of the pseudocode relating to EL2 and EL3 are omitted because we
2081 * don't yet implement those exception levels or their associated trap bits.
2082 */
2083static inline bool arm_generate_debug_exceptions(CPUARMState *env)
2084{
2085 if (env->aarch64) {
2086 return aa64_generate_debug_exceptions(env);
2087 } else {
2088 return aa32_generate_debug_exceptions(env);
2089 }
2090}
2091
2092/* Is single-stepping active? (Note that the "is EL_D AArch64?" check
2093 * implicitly means this always returns false in pre-v8 CPUs.)
2094 */
2095static inline bool arm_singlestep_active(CPUARMState *env)
2096{
2097 return extract32(env->cp15.mdscr_el1, 0, 1)
2098 && arm_el_is_aa64(env, arm_debug_target_el(env))
2099 && arm_generate_debug_exceptions(env);
2100}
2101
f9fd40eb
PB
2102static inline bool arm_sctlr_b(CPUARMState *env)
2103{
2104 return
2105 /* We need not implement SCTLR.ITD in user-mode emulation, so
2106 * let linux-user ignore the fact that it conflicts with SCTLR_B.
2107 * This lets people run BE32 binaries with "-cpu any".
2108 */
2109#ifndef CONFIG_USER_ONLY
2110 !arm_feature(env, ARM_FEATURE_V7) &&
2111#endif
2112 (env->cp15.sctlr_el[1] & SCTLR_B) != 0;
2113}
2114
ed50ff78
PC
2115/* Return true if the processor is in big-endian mode. */
2116static inline bool arm_cpu_data_is_big_endian(CPUARMState *env)
2117{
2118 int cur_el;
2119
2120 /* In 32bit endianness is determined by looking at CPSR's E bit */
2121 if (!is_a64(env)) {
b2e62d9a
PC
2122 return
2123#ifdef CONFIG_USER_ONLY
2124 /* In system mode, BE32 is modelled in line with the
2125 * architecture (as word-invariant big-endianness), where loads
2126 * and stores are done little endian but from addresses which
2127 * are adjusted by XORing with the appropriate constant. So the
2128 * endianness to use for the raw data access is not affected by
2129 * SCTLR.B.
2130 * In user mode, however, we model BE32 as byte-invariant
2131 * big-endianness (because user-only code cannot tell the
2132 * difference), and so we need to use a data access endianness
2133 * that depends on SCTLR.B.
2134 */
2135 arm_sctlr_b(env) ||
2136#endif
2137 ((env->uncached_cpsr & CPSR_E) ? 1 : 0);
ed50ff78
PC
2138 }
2139
2140 cur_el = arm_current_el(env);
2141
2142 if (cur_el == 0) {
2143 return (env->cp15.sctlr_el[1] & SCTLR_E0E) != 0;
2144 }
2145
2146 return (env->cp15.sctlr_el[cur_el] & SCTLR_EE) != 0;
2147}
2148
022c62cb 2149#include "exec/cpu-all.h"
622ed360 2150
3926cc84
AG
2151/* Bit usage in the TB flags field: bit 31 indicates whether we are
2152 * in 32 or 64 bit mode. The meaning of the other bits depends on that.
c1e37810
PM
2153 * We put flags which are shared between 32 and 64 bit mode at the top
2154 * of the word, and flags which apply to only one mode at the bottom.
3926cc84
AG
2155 */
2156#define ARM_TBFLAG_AARCH64_STATE_SHIFT 31
2157#define ARM_TBFLAG_AARCH64_STATE_MASK (1U << ARM_TBFLAG_AARCH64_STATE_SHIFT)
c1e37810
PM
2158#define ARM_TBFLAG_MMUIDX_SHIFT 28
2159#define ARM_TBFLAG_MMUIDX_MASK (0x7 << ARM_TBFLAG_MMUIDX_SHIFT)
3cf6a0fc
PM
2160#define ARM_TBFLAG_SS_ACTIVE_SHIFT 27
2161#define ARM_TBFLAG_SS_ACTIVE_MASK (1 << ARM_TBFLAG_SS_ACTIVE_SHIFT)
2162#define ARM_TBFLAG_PSTATE_SS_SHIFT 26
2163#define ARM_TBFLAG_PSTATE_SS_MASK (1 << ARM_TBFLAG_PSTATE_SS_SHIFT)
9dbbc748
GB
2164/* Target EL if we take a floating-point-disabled exception */
2165#define ARM_TBFLAG_FPEXC_EL_SHIFT 24
2166#define ARM_TBFLAG_FPEXC_EL_MASK (0x3 << ARM_TBFLAG_FPEXC_EL_SHIFT)
3926cc84
AG
2167
2168/* Bit usage when in AArch32 state: */
a1705768
PM
2169#define ARM_TBFLAG_THUMB_SHIFT 0
2170#define ARM_TBFLAG_THUMB_MASK (1 << ARM_TBFLAG_THUMB_SHIFT)
2171#define ARM_TBFLAG_VECLEN_SHIFT 1
2172#define ARM_TBFLAG_VECLEN_MASK (0x7 << ARM_TBFLAG_VECLEN_SHIFT)
2173#define ARM_TBFLAG_VECSTRIDE_SHIFT 4
2174#define ARM_TBFLAG_VECSTRIDE_MASK (0x3 << ARM_TBFLAG_VECSTRIDE_SHIFT)
a1705768
PM
2175#define ARM_TBFLAG_VFPEN_SHIFT 7
2176#define ARM_TBFLAG_VFPEN_MASK (1 << ARM_TBFLAG_VFPEN_SHIFT)
2177#define ARM_TBFLAG_CONDEXEC_SHIFT 8
2178#define ARM_TBFLAG_CONDEXEC_MASK (0xff << ARM_TBFLAG_CONDEXEC_SHIFT)
f9fd40eb
PB
2179#define ARM_TBFLAG_SCTLR_B_SHIFT 16
2180#define ARM_TBFLAG_SCTLR_B_MASK (1 << ARM_TBFLAG_SCTLR_B_SHIFT)
c0f4af17
PM
2181/* We store the bottom two bits of the CPAR as TB flags and handle
2182 * checks on the other bits at runtime
2183 */
647f767b 2184#define ARM_TBFLAG_XSCALE_CPAR_SHIFT 17
c0f4af17 2185#define ARM_TBFLAG_XSCALE_CPAR_MASK (3 << ARM_TBFLAG_XSCALE_CPAR_SHIFT)
3f342b9e
SF
2186/* Indicates whether cp register reads and writes by guest code should access
2187 * the secure or nonsecure bank of banked registers; note that this is not
2188 * the same thing as the current security state of the processor!
2189 */
647f767b 2190#define ARM_TBFLAG_NS_SHIFT 19
3f342b9e 2191#define ARM_TBFLAG_NS_MASK (1 << ARM_TBFLAG_NS_SHIFT)
91cca2cd
PC
2192#define ARM_TBFLAG_BE_DATA_SHIFT 20
2193#define ARM_TBFLAG_BE_DATA_MASK (1 << ARM_TBFLAG_BE_DATA_SHIFT)
3926cc84 2194
86fb3fa4
TH
2195/* Bit usage when in AArch64 state */
2196#define ARM_TBFLAG_TBI0_SHIFT 0 /* TBI0 for EL0/1 or TBI for EL2/3 */
2197#define ARM_TBFLAG_TBI0_MASK (0x1ull << ARM_TBFLAG_TBI0_SHIFT)
2198#define ARM_TBFLAG_TBI1_SHIFT 1 /* TBI1 for EL0/1 */
2199#define ARM_TBFLAG_TBI1_MASK (0x1ull << ARM_TBFLAG_TBI1_SHIFT)
a1705768
PM
2200
2201/* some convenience accessor macros */
3926cc84
AG
2202#define ARM_TBFLAG_AARCH64_STATE(F) \
2203 (((F) & ARM_TBFLAG_AARCH64_STATE_MASK) >> ARM_TBFLAG_AARCH64_STATE_SHIFT)
c1e37810
PM
2204#define ARM_TBFLAG_MMUIDX(F) \
2205 (((F) & ARM_TBFLAG_MMUIDX_MASK) >> ARM_TBFLAG_MMUIDX_SHIFT)
3cf6a0fc
PM
2206#define ARM_TBFLAG_SS_ACTIVE(F) \
2207 (((F) & ARM_TBFLAG_SS_ACTIVE_MASK) >> ARM_TBFLAG_SS_ACTIVE_SHIFT)
2208#define ARM_TBFLAG_PSTATE_SS(F) \
2209 (((F) & ARM_TBFLAG_PSTATE_SS_MASK) >> ARM_TBFLAG_PSTATE_SS_SHIFT)
9dbbc748
GB
2210#define ARM_TBFLAG_FPEXC_EL(F) \
2211 (((F) & ARM_TBFLAG_FPEXC_EL_MASK) >> ARM_TBFLAG_FPEXC_EL_SHIFT)
a1705768
PM
2212#define ARM_TBFLAG_THUMB(F) \
2213 (((F) & ARM_TBFLAG_THUMB_MASK) >> ARM_TBFLAG_THUMB_SHIFT)
2214#define ARM_TBFLAG_VECLEN(F) \
2215 (((F) & ARM_TBFLAG_VECLEN_MASK) >> ARM_TBFLAG_VECLEN_SHIFT)
2216#define ARM_TBFLAG_VECSTRIDE(F) \
2217 (((F) & ARM_TBFLAG_VECSTRIDE_MASK) >> ARM_TBFLAG_VECSTRIDE_SHIFT)
a1705768
PM
2218#define ARM_TBFLAG_VFPEN(F) \
2219 (((F) & ARM_TBFLAG_VFPEN_MASK) >> ARM_TBFLAG_VFPEN_SHIFT)
2220#define ARM_TBFLAG_CONDEXEC(F) \
2221 (((F) & ARM_TBFLAG_CONDEXEC_MASK) >> ARM_TBFLAG_CONDEXEC_SHIFT)
f9fd40eb
PB
2222#define ARM_TBFLAG_SCTLR_B(F) \
2223 (((F) & ARM_TBFLAG_SCTLR_B_MASK) >> ARM_TBFLAG_SCTLR_B_SHIFT)
c0f4af17
PM
2224#define ARM_TBFLAG_XSCALE_CPAR(F) \
2225 (((F) & ARM_TBFLAG_XSCALE_CPAR_MASK) >> ARM_TBFLAG_XSCALE_CPAR_SHIFT)
3f342b9e
SF
2226#define ARM_TBFLAG_NS(F) \
2227 (((F) & ARM_TBFLAG_NS_MASK) >> ARM_TBFLAG_NS_SHIFT)
91cca2cd
PC
2228#define ARM_TBFLAG_BE_DATA(F) \
2229 (((F) & ARM_TBFLAG_BE_DATA_MASK) >> ARM_TBFLAG_BE_DATA_SHIFT)
86fb3fa4
TH
2230#define ARM_TBFLAG_TBI0(F) \
2231 (((F) & ARM_TBFLAG_TBI0_MASK) >> ARM_TBFLAG_TBI0_SHIFT)
2232#define ARM_TBFLAG_TBI1(F) \
2233 (((F) & ARM_TBFLAG_TBI1_MASK) >> ARM_TBFLAG_TBI1_SHIFT)
a1705768 2234
f9fd40eb
PB
2235static inline bool bswap_code(bool sctlr_b)
2236{
2237#ifdef CONFIG_USER_ONLY
2238 /* BE8 (SCTLR.B = 0, TARGET_WORDS_BIGENDIAN = 1) is mixed endian.
2239 * The invalid combination SCTLR.B=1/CPSR.E=1/TARGET_WORDS_BIGENDIAN=0
2240 * would also end up as a mixed-endian mode with BE code, LE data.
2241 */
2242 return
2243#ifdef TARGET_WORDS_BIGENDIAN
2244 1 ^
2245#endif
2246 sctlr_b;
2247#else
e334bd31
PB
2248 /* All code access in ARM is little endian, and there are no loaders
2249 * doing swaps that need to be reversed
f9fd40eb
PB
2250 */
2251 return 0;
2252#endif
2253}
2254
9dbbc748
GB
2255/* Return the exception level to which FP-disabled exceptions should
2256 * be taken, or 0 if FP is enabled.
2257 */
2258static inline int fp_exception_el(CPUARMState *env)
6b917547 2259{
ed1f13d6 2260 int fpen;
9dbbc748 2261 int cur_el = arm_current_el(env);
ed1f13d6 2262
9dbbc748
GB
2263 /* CPACR and the CPTR registers don't exist before v6, so FP is
2264 * always accessible
2265 */
2266 if (!arm_feature(env, ARM_FEATURE_V6)) {
2267 return 0;
2268 }
2269
2270 /* The CPACR controls traps to EL1, or PL1 if we're 32 bit:
2271 * 0, 2 : trap EL0 and EL1/PL1 accesses
2272 * 1 : trap only EL0 accesses
2273 * 3 : trap no accesses
2274 */
2275 fpen = extract32(env->cp15.cpacr_el1, 20, 2);
2276 switch (fpen) {
2277 case 0:
2278 case 2:
2279 if (cur_el == 0 || cur_el == 1) {
2280 /* Trap to PL1, which might be EL1 or EL3 */
2281 if (arm_is_secure(env) && !arm_el_is_aa64(env, 3)) {
2282 return 3;
2283 }
2284 return 1;
2285 }
2286 if (cur_el == 3 && !is_a64(env)) {
2287 /* Secure PL1 running at EL3 */
2288 return 3;
2289 }
2290 break;
2291 case 1:
2292 if (cur_el == 0) {
2293 return 1;
2294 }
2295 break;
2296 case 3:
2297 break;
2298 }
2299
2300 /* For the CPTR registers we don't need to guard with an ARM_FEATURE
2301 * check because zero bits in the registers mean "don't trap".
2302 */
2303
2304 /* CPTR_EL2 : present in v7VE or v8 */
2305 if (cur_el <= 2 && extract32(env->cp15.cptr_el[2], 10, 1)
2306 && !arm_is_secure_below_el3(env)) {
2307 /* Trap FP ops at EL2, NS-EL1 or NS-EL0 to EL2 */
2308 return 2;
2309 }
2310
2311 /* CPTR_EL3 : present in v8 */
2312 if (extract32(env->cp15.cptr_el[3], 10, 1)) {
2313 /* Trap all FP ops to EL3 */
2314 return 3;
ed1f13d6 2315 }
8c6afa6a 2316
9dbbc748
GB
2317 return 0;
2318}
2319
c3ae85fc
PB
2320#ifdef CONFIG_USER_ONLY
2321static inline bool arm_cpu_bswap_data(CPUARMState *env)
2322{
2323 return
2324#ifdef TARGET_WORDS_BIGENDIAN
2325 1 ^
2326#endif
2327 arm_cpu_data_is_big_endian(env);
2328}
2329#endif
2330
86fb3fa4
TH
2331#ifndef CONFIG_USER_ONLY
2332/**
2333 * arm_regime_tbi0:
2334 * @env: CPUARMState
2335 * @mmu_idx: MMU index indicating required translation regime
2336 *
2337 * Extracts the TBI0 value from the appropriate TCR for the current EL
2338 *
2339 * Returns: the TBI0 value.
2340 */
2341uint32_t arm_regime_tbi0(CPUARMState *env, ARMMMUIdx mmu_idx);
2342
2343/**
2344 * arm_regime_tbi1:
2345 * @env: CPUARMState
2346 * @mmu_idx: MMU index indicating required translation regime
2347 *
2348 * Extracts the TBI1 value from the appropriate TCR for the current EL
2349 *
2350 * Returns: the TBI1 value.
2351 */
2352uint32_t arm_regime_tbi1(CPUARMState *env, ARMMMUIdx mmu_idx);
2353#else
2354/* We can't handle tagged addresses properly in user-only mode */
2355static inline uint32_t arm_regime_tbi0(CPUARMState *env, ARMMMUIdx mmu_idx)
2356{
2357 return 0;
2358}
2359
2360static inline uint32_t arm_regime_tbi1(CPUARMState *env, ARMMMUIdx mmu_idx)
2361{
2362 return 0;
2363}
2364#endif
2365
9dbbc748 2366static inline void cpu_get_tb_cpu_state(CPUARMState *env, target_ulong *pc,
89fee74a 2367 target_ulong *cs_base, uint32_t *flags)
9dbbc748 2368{
86fb3fa4 2369 ARMMMUIdx mmu_idx = cpu_mmu_index(env, false);
3926cc84
AG
2370 if (is_a64(env)) {
2371 *pc = env->pc;
c1e37810 2372 *flags = ARM_TBFLAG_AARCH64_STATE_MASK;
86fb3fa4
TH
2373 /* Get control bits for tagged addresses */
2374 *flags |= (arm_regime_tbi0(env, mmu_idx) << ARM_TBFLAG_TBI0_SHIFT);
2375 *flags |= (arm_regime_tbi1(env, mmu_idx) << ARM_TBFLAG_TBI1_SHIFT);
05ed9a99 2376 } else {
3926cc84
AG
2377 *pc = env->regs[15];
2378 *flags = (env->thumb << ARM_TBFLAG_THUMB_SHIFT)
2379 | (env->vfp.vec_len << ARM_TBFLAG_VECLEN_SHIFT)
2380 | (env->vfp.vec_stride << ARM_TBFLAG_VECSTRIDE_SHIFT)
2381 | (env->condexec_bits << ARM_TBFLAG_CONDEXEC_SHIFT)
f9fd40eb 2382 | (arm_sctlr_b(env) << ARM_TBFLAG_SCTLR_B_SHIFT);
3f342b9e
SF
2383 if (!(access_secure_reg(env))) {
2384 *flags |= ARM_TBFLAG_NS_MASK;
2385 }
2c7ffc41
PM
2386 if (env->vfp.xregs[ARM_VFP_FPEXC] & (1 << 30)
2387 || arm_el_is_aa64(env, 1)) {
3926cc84
AG
2388 *flags |= ARM_TBFLAG_VFPEN_MASK;
2389 }
c0f4af17
PM
2390 *flags |= (extract32(env->cp15.c15_cpar, 0, 2)
2391 << ARM_TBFLAG_XSCALE_CPAR_SHIFT);
a1705768 2392 }
3926cc84 2393
86fb3fa4
TH
2394 *flags |= (mmu_idx << ARM_TBFLAG_MMUIDX_SHIFT);
2395
3cf6a0fc
PM
2396 /* The SS_ACTIVE and PSTATE_SS bits correspond to the state machine
2397 * states defined in the ARM ARM for software singlestep:
2398 * SS_ACTIVE PSTATE.SS State
2399 * 0 x Inactive (the TB flag for SS is always 0)
2400 * 1 0 Active-pending
2401 * 1 1 Active-not-pending
2402 */
2403 if (arm_singlestep_active(env)) {
2404 *flags |= ARM_TBFLAG_SS_ACTIVE_MASK;
2405 if (is_a64(env)) {
2406 if (env->pstate & PSTATE_SS) {
2407 *flags |= ARM_TBFLAG_PSTATE_SS_MASK;
2408 }
2409 } else {
2410 if (env->uncached_cpsr & PSTATE_SS) {
2411 *flags |= ARM_TBFLAG_PSTATE_SS_MASK;
2412 }
2413 }
2414 }
91cca2cd
PC
2415 if (arm_cpu_data_is_big_endian(env)) {
2416 *flags |= ARM_TBFLAG_BE_DATA_MASK;
2417 }
9dbbc748 2418 *flags |= fp_exception_el(env) << ARM_TBFLAG_FPEXC_EL_SHIFT;
c1e37810 2419
3926cc84 2420 *cs_base = 0;
6b917547
AL
2421}
2422
98128601
RH
2423enum {
2424 QEMU_PSCI_CONDUIT_DISABLED = 0,
2425 QEMU_PSCI_CONDUIT_SMC = 1,
2426 QEMU_PSCI_CONDUIT_HVC = 2,
2427};
2428
017518c1
PM
2429#ifndef CONFIG_USER_ONLY
2430/* Return the address space index to use for a memory access */
2431static inline int arm_asidx_from_attrs(CPUState *cs, MemTxAttrs attrs)
2432{
2433 return attrs.secure ? ARMASIdx_S : ARMASIdx_NS;
2434}
5ce4ff65
PM
2435
2436/* Return the AddressSpace to use for a memory access
2437 * (which depends on whether the access is S or NS, and whether
2438 * the board gave us a separate AddressSpace for S accesses).
2439 */
2440static inline AddressSpace *arm_addressspace(CPUState *cs, MemTxAttrs attrs)
2441{
2442 return cpu_get_address_space(cs, arm_asidx_from_attrs(cs, attrs));
2443}
017518c1
PM
2444#endif
2445
bd7d00fc
PM
2446/**
2447 * arm_register_el_change_hook:
2448 * Register a hook function which will be called back whenever this
2449 * CPU changes exception level or mode. The hook function will be
2450 * passed a pointer to the ARMCPU and the opaque data pointer passed
2451 * to this function when the hook was registered.
2452 *
2453 * Note that we currently only support registering a single hook function,
2454 * and will assert if this function is called twice.
2455 * This facility is intended for the use of the GICv3 emulation.
2456 */
2457void arm_register_el_change_hook(ARMCPU *cpu, ARMELChangeHook *hook,
2458 void *opaque);
2459
2460/**
2461 * arm_get_el_change_hook_opaque:
2462 * Return the opaque data that will be used by the el_change_hook
2463 * for this CPU.
2464 */
2465static inline void *arm_get_el_change_hook_opaque(ARMCPU *cpu)
2466{
2467 return cpu->el_change_hook_opaque;
2468}
2469
2c0262af 2470#endif