]> git.proxmox.com Git - mirror_qemu.git/blame - target-arm/helper.c
target-arm: add CPREG secure state support
[mirror_qemu.git] / target-arm / helper.c
CommitLineData
b5ff1b31 1#include "cpu.h"
ccd38087 2#include "internals.h"
022c62cb 3#include "exec/gdbstub.h"
2ef6175a 4#include "exec/helper-proto.h"
1de7afc9 5#include "qemu/host-utils.h"
78027bb6 6#include "sysemu/arch_init.h"
9c17d615 7#include "sysemu/sysemu.h"
1de7afc9 8#include "qemu/bitops.h"
eb0ecd5a 9#include "qemu/crc32c.h"
f08b6170 10#include "exec/cpu_ldst.h"
1d854765 11#include "arm_ldst.h"
eb0ecd5a 12#include <zlib.h> /* For crc32 */
0b03bdfc 13
4a501606 14#ifndef CONFIG_USER_ONLY
2c8dd318 15static inline int get_phys_addr(CPUARMState *env, target_ulong address,
4a501606 16 int access_type, int is_user,
a8170e5e 17 hwaddr *phys_ptr, int *prot,
4a501606 18 target_ulong *page_size);
7c2cb42b
AF
19
20/* Definitions for the PMCCNTR and PMCR registers */
21#define PMCRD 0x8
22#define PMCRC 0x4
23#define PMCRE 0x1
4a501606
PM
24#endif
25
0ecb72a5 26static int vfp_gdb_get_reg(CPUARMState *env, uint8_t *buf, int reg)
56aebc89
PB
27{
28 int nregs;
29
30 /* VFP data registers are always little-endian. */
31 nregs = arm_feature(env, ARM_FEATURE_VFP3) ? 32 : 16;
32 if (reg < nregs) {
33 stfq_le_p(buf, env->vfp.regs[reg]);
34 return 8;
35 }
36 if (arm_feature(env, ARM_FEATURE_NEON)) {
37 /* Aliases for Q regs. */
38 nregs += 16;
39 if (reg < nregs) {
40 stfq_le_p(buf, env->vfp.regs[(reg - 32) * 2]);
41 stfq_le_p(buf + 8, env->vfp.regs[(reg - 32) * 2 + 1]);
42 return 16;
43 }
44 }
45 switch (reg - nregs) {
46 case 0: stl_p(buf, env->vfp.xregs[ARM_VFP_FPSID]); return 4;
47 case 1: stl_p(buf, env->vfp.xregs[ARM_VFP_FPSCR]); return 4;
48 case 2: stl_p(buf, env->vfp.xregs[ARM_VFP_FPEXC]); return 4;
49 }
50 return 0;
51}
52
0ecb72a5 53static int vfp_gdb_set_reg(CPUARMState *env, uint8_t *buf, int reg)
56aebc89
PB
54{
55 int nregs;
56
57 nregs = arm_feature(env, ARM_FEATURE_VFP3) ? 32 : 16;
58 if (reg < nregs) {
59 env->vfp.regs[reg] = ldfq_le_p(buf);
60 return 8;
61 }
62 if (arm_feature(env, ARM_FEATURE_NEON)) {
63 nregs += 16;
64 if (reg < nregs) {
65 env->vfp.regs[(reg - 32) * 2] = ldfq_le_p(buf);
66 env->vfp.regs[(reg - 32) * 2 + 1] = ldfq_le_p(buf + 8);
67 return 16;
68 }
69 }
70 switch (reg - nregs) {
71 case 0: env->vfp.xregs[ARM_VFP_FPSID] = ldl_p(buf); return 4;
72 case 1: env->vfp.xregs[ARM_VFP_FPSCR] = ldl_p(buf); return 4;
71b3c3de 73 case 2: env->vfp.xregs[ARM_VFP_FPEXC] = ldl_p(buf) & (1 << 30); return 4;
56aebc89
PB
74 }
75 return 0;
76}
77
6a669427
PM
78static int aarch64_fpu_gdb_get_reg(CPUARMState *env, uint8_t *buf, int reg)
79{
80 switch (reg) {
81 case 0 ... 31:
82 /* 128 bit FP register */
83 stfq_le_p(buf, env->vfp.regs[reg * 2]);
84 stfq_le_p(buf + 8, env->vfp.regs[reg * 2 + 1]);
85 return 16;
86 case 32:
87 /* FPSR */
88 stl_p(buf, vfp_get_fpsr(env));
89 return 4;
90 case 33:
91 /* FPCR */
92 stl_p(buf, vfp_get_fpcr(env));
93 return 4;
94 default:
95 return 0;
96 }
97}
98
99static int aarch64_fpu_gdb_set_reg(CPUARMState *env, uint8_t *buf, int reg)
100{
101 switch (reg) {
102 case 0 ... 31:
103 /* 128 bit FP register */
104 env->vfp.regs[reg * 2] = ldfq_le_p(buf);
105 env->vfp.regs[reg * 2 + 1] = ldfq_le_p(buf + 8);
106 return 16;
107 case 32:
108 /* FPSR */
109 vfp_set_fpsr(env, ldl_p(buf));
110 return 4;
111 case 33:
112 /* FPCR */
113 vfp_set_fpcr(env, ldl_p(buf));
114 return 4;
115 default:
116 return 0;
117 }
118}
119
c4241c7d 120static uint64_t raw_read(CPUARMState *env, const ARMCPRegInfo *ri)
d4e6df63 121{
67ed771d 122 if (cpreg_field_is_64bit(ri)) {
c4241c7d 123 return CPREG_FIELD64(env, ri);
22d9e1a9 124 } else {
c4241c7d 125 return CPREG_FIELD32(env, ri);
22d9e1a9 126 }
d4e6df63
PM
127}
128
c4241c7d
PM
129static void raw_write(CPUARMState *env, const ARMCPRegInfo *ri,
130 uint64_t value)
d4e6df63 131{
67ed771d 132 if (cpreg_field_is_64bit(ri)) {
22d9e1a9
PM
133 CPREG_FIELD64(env, ri) = value;
134 } else {
135 CPREG_FIELD32(env, ri) = value;
136 }
d4e6df63
PM
137}
138
59a1c327 139static uint64_t read_raw_cp_reg(CPUARMState *env, const ARMCPRegInfo *ri)
721fae12 140{
59a1c327 141 /* Raw read of a coprocessor register (as needed for migration, etc). */
721fae12 142 if (ri->type & ARM_CP_CONST) {
59a1c327 143 return ri->resetvalue;
721fae12 144 } else if (ri->raw_readfn) {
59a1c327 145 return ri->raw_readfn(env, ri);
721fae12 146 } else if (ri->readfn) {
59a1c327 147 return ri->readfn(env, ri);
721fae12 148 } else {
59a1c327 149 return raw_read(env, ri);
721fae12 150 }
721fae12
PM
151}
152
59a1c327 153static void write_raw_cp_reg(CPUARMState *env, const ARMCPRegInfo *ri,
7900e9f1 154 uint64_t v)
721fae12
PM
155{
156 /* Raw write of a coprocessor register (as needed for migration, etc).
721fae12
PM
157 * Note that constant registers are treated as write-ignored; the
158 * caller should check for success by whether a readback gives the
159 * value written.
160 */
161 if (ri->type & ARM_CP_CONST) {
59a1c327 162 return;
721fae12 163 } else if (ri->raw_writefn) {
c4241c7d 164 ri->raw_writefn(env, ri, v);
721fae12 165 } else if (ri->writefn) {
c4241c7d 166 ri->writefn(env, ri, v);
721fae12 167 } else {
afb2530f 168 raw_write(env, ri, v);
721fae12 169 }
721fae12
PM
170}
171
172bool write_cpustate_to_list(ARMCPU *cpu)
173{
174 /* Write the coprocessor state from cpu->env to the (index,value) list. */
175 int i;
176 bool ok = true;
177
178 for (i = 0; i < cpu->cpreg_array_len; i++) {
179 uint32_t regidx = kvm_to_cpreg_id(cpu->cpreg_indexes[i]);
180 const ARMCPRegInfo *ri;
59a1c327 181
60322b39 182 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
183 if (!ri) {
184 ok = false;
185 continue;
186 }
187 if (ri->type & ARM_CP_NO_MIGRATE) {
188 continue;
189 }
59a1c327 190 cpu->cpreg_values[i] = read_raw_cp_reg(&cpu->env, ri);
721fae12
PM
191 }
192 return ok;
193}
194
195bool write_list_to_cpustate(ARMCPU *cpu)
196{
197 int i;
198 bool ok = true;
199
200 for (i = 0; i < cpu->cpreg_array_len; i++) {
201 uint32_t regidx = kvm_to_cpreg_id(cpu->cpreg_indexes[i]);
202 uint64_t v = cpu->cpreg_values[i];
721fae12
PM
203 const ARMCPRegInfo *ri;
204
60322b39 205 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
206 if (!ri) {
207 ok = false;
208 continue;
209 }
210 if (ri->type & ARM_CP_NO_MIGRATE) {
211 continue;
212 }
213 /* Write value and confirm it reads back as written
214 * (to catch read-only registers and partially read-only
215 * registers where the incoming migration value doesn't match)
216 */
59a1c327
PM
217 write_raw_cp_reg(&cpu->env, ri, v);
218 if (read_raw_cp_reg(&cpu->env, ri) != v) {
721fae12
PM
219 ok = false;
220 }
221 }
222 return ok;
223}
224
225static void add_cpreg_to_list(gpointer key, gpointer opaque)
226{
227 ARMCPU *cpu = opaque;
228 uint64_t regidx;
229 const ARMCPRegInfo *ri;
230
231 regidx = *(uint32_t *)key;
60322b39 232 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
233
234 if (!(ri->type & ARM_CP_NO_MIGRATE)) {
235 cpu->cpreg_indexes[cpu->cpreg_array_len] = cpreg_to_kvm_id(regidx);
236 /* The value array need not be initialized at this point */
237 cpu->cpreg_array_len++;
238 }
239}
240
241static void count_cpreg(gpointer key, gpointer opaque)
242{
243 ARMCPU *cpu = opaque;
244 uint64_t regidx;
245 const ARMCPRegInfo *ri;
246
247 regidx = *(uint32_t *)key;
60322b39 248 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
249
250 if (!(ri->type & ARM_CP_NO_MIGRATE)) {
251 cpu->cpreg_array_len++;
252 }
253}
254
255static gint cpreg_key_compare(gconstpointer a, gconstpointer b)
256{
cbf239b7
AR
257 uint64_t aidx = cpreg_to_kvm_id(*(uint32_t *)a);
258 uint64_t bidx = cpreg_to_kvm_id(*(uint32_t *)b);
721fae12 259
cbf239b7
AR
260 if (aidx > bidx) {
261 return 1;
262 }
263 if (aidx < bidx) {
264 return -1;
265 }
266 return 0;
721fae12
PM
267}
268
82a3a118
PM
269static void cpreg_make_keylist(gpointer key, gpointer value, gpointer udata)
270{
271 GList **plist = udata;
272
273 *plist = g_list_prepend(*plist, key);
274}
275
721fae12
PM
276void init_cpreg_list(ARMCPU *cpu)
277{
278 /* Initialise the cpreg_tuples[] array based on the cp_regs hash.
279 * Note that we require cpreg_tuples[] to be sorted by key ID.
280 */
82a3a118 281 GList *keys = NULL;
721fae12
PM
282 int arraylen;
283
82a3a118
PM
284 g_hash_table_foreach(cpu->cp_regs, cpreg_make_keylist, &keys);
285
721fae12
PM
286 keys = g_list_sort(keys, cpreg_key_compare);
287
288 cpu->cpreg_array_len = 0;
289
290 g_list_foreach(keys, count_cpreg, cpu);
291
292 arraylen = cpu->cpreg_array_len;
293 cpu->cpreg_indexes = g_new(uint64_t, arraylen);
294 cpu->cpreg_values = g_new(uint64_t, arraylen);
295 cpu->cpreg_vmstate_indexes = g_new(uint64_t, arraylen);
296 cpu->cpreg_vmstate_values = g_new(uint64_t, arraylen);
297 cpu->cpreg_vmstate_array_len = cpu->cpreg_array_len;
298 cpu->cpreg_array_len = 0;
299
300 g_list_foreach(keys, add_cpreg_to_list, cpu);
301
302 assert(cpu->cpreg_array_len == arraylen);
303
304 g_list_free(keys);
305}
306
c4241c7d 307static void dacr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
c983fe6c 308{
00c8cb0a
AF
309 ARMCPU *cpu = arm_env_get_cpu(env);
310
8d5c773e 311 raw_write(env, ri, value);
00c8cb0a 312 tlb_flush(CPU(cpu), 1); /* Flush TLB as domain not tracked in TLB */
c983fe6c
PM
313}
314
c4241c7d 315static void fcse_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
08de207b 316{
00c8cb0a
AF
317 ARMCPU *cpu = arm_env_get_cpu(env);
318
8d5c773e 319 if (raw_read(env, ri) != value) {
08de207b
PM
320 /* Unlike real hardware the qemu TLB uses virtual addresses,
321 * not modified virtual addresses, so this causes a TLB flush.
322 */
00c8cb0a 323 tlb_flush(CPU(cpu), 1);
8d5c773e 324 raw_write(env, ri, value);
08de207b 325 }
08de207b 326}
c4241c7d
PM
327
328static void contextidr_write(CPUARMState *env, const ARMCPRegInfo *ri,
329 uint64_t value)
08de207b 330{
00c8cb0a
AF
331 ARMCPU *cpu = arm_env_get_cpu(env);
332
8d5c773e 333 if (raw_read(env, ri) != value && !arm_feature(env, ARM_FEATURE_MPU)
014406b5 334 && !extended_addresses_enabled(env)) {
08de207b
PM
335 /* For VMSA (when not using the LPAE long descriptor page table
336 * format) this register includes the ASID, so do a TLB flush.
337 * For PMSA it is purely a process ID and no action is needed.
338 */
00c8cb0a 339 tlb_flush(CPU(cpu), 1);
08de207b 340 }
8d5c773e 341 raw_write(env, ri, value);
08de207b
PM
342}
343
c4241c7d
PM
344static void tlbiall_write(CPUARMState *env, const ARMCPRegInfo *ri,
345 uint64_t value)
d929823f
PM
346{
347 /* Invalidate all (TLBIALL) */
00c8cb0a
AF
348 ARMCPU *cpu = arm_env_get_cpu(env);
349
350 tlb_flush(CPU(cpu), 1);
d929823f
PM
351}
352
c4241c7d
PM
353static void tlbimva_write(CPUARMState *env, const ARMCPRegInfo *ri,
354 uint64_t value)
d929823f
PM
355{
356 /* Invalidate single TLB entry by MVA and ASID (TLBIMVA) */
31b030d4
AF
357 ARMCPU *cpu = arm_env_get_cpu(env);
358
359 tlb_flush_page(CPU(cpu), value & TARGET_PAGE_MASK);
d929823f
PM
360}
361
c4241c7d
PM
362static void tlbiasid_write(CPUARMState *env, const ARMCPRegInfo *ri,
363 uint64_t value)
d929823f
PM
364{
365 /* Invalidate by ASID (TLBIASID) */
00c8cb0a
AF
366 ARMCPU *cpu = arm_env_get_cpu(env);
367
368 tlb_flush(CPU(cpu), value == 0);
d929823f
PM
369}
370
c4241c7d
PM
371static void tlbimvaa_write(CPUARMState *env, const ARMCPRegInfo *ri,
372 uint64_t value)
d929823f
PM
373{
374 /* Invalidate single entry by MVA, all ASIDs (TLBIMVAA) */
31b030d4
AF
375 ARMCPU *cpu = arm_env_get_cpu(env);
376
377 tlb_flush_page(CPU(cpu), value & TARGET_PAGE_MASK);
d929823f
PM
378}
379
fa439fc5
PM
380/* IS variants of TLB operations must affect all cores */
381static void tlbiall_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
382 uint64_t value)
383{
384 CPUState *other_cs;
385
386 CPU_FOREACH(other_cs) {
387 tlb_flush(other_cs, 1);
388 }
389}
390
391static void tlbiasid_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
392 uint64_t value)
393{
394 CPUState *other_cs;
395
396 CPU_FOREACH(other_cs) {
397 tlb_flush(other_cs, value == 0);
398 }
399}
400
401static void tlbimva_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
402 uint64_t value)
403{
404 CPUState *other_cs;
405
406 CPU_FOREACH(other_cs) {
407 tlb_flush_page(other_cs, value & TARGET_PAGE_MASK);
408 }
409}
410
411static void tlbimvaa_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
412 uint64_t value)
413{
414 CPUState *other_cs;
415
416 CPU_FOREACH(other_cs) {
417 tlb_flush_page(other_cs, value & TARGET_PAGE_MASK);
418 }
419}
420
e9aa6c21 421static const ARMCPRegInfo cp_reginfo[] = {
08de207b
PM
422 { .name = "FCSEIDR", .cp = 15, .crn = 13, .crm = 0, .opc1 = 0, .opc2 = 0,
423 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c13_fcse),
d4e6df63 424 .resetvalue = 0, .writefn = fcse_write, .raw_writefn = raw_write, },
014406b5
PM
425 { .name = "CONTEXTIDR", .state = ARM_CP_STATE_BOTH,
426 .opc0 = 3, .opc1 = 0, .crn = 13, .crm = 0, .opc2 = 1,
427 .access = PL1_RW,
428 .fieldoffset = offsetof(CPUARMState, cp15.contextidr_el1),
d4e6df63 429 .resetvalue = 0, .writefn = contextidr_write, .raw_writefn = raw_write, },
9449fdf6
PM
430 REGINFO_SENTINEL
431};
432
433static const ARMCPRegInfo not_v8_cp_reginfo[] = {
434 /* NB: Some of these registers exist in v8 but with more precise
435 * definitions that don't use CP_ANY wildcards (mostly in v8_cp_reginfo[]).
436 */
437 /* MMU Domain access control / MPU write buffer control */
438 { .name = "DACR", .cp = 15,
439 .crn = 3, .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY,
440 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c3),
441 .resetvalue = 0, .writefn = dacr_write, .raw_writefn = raw_write, },
4fdd17dd
PM
442 /* ??? This covers not just the impdef TLB lockdown registers but also
443 * some v7VMSA registers relating to TEX remap, so it is overly broad.
444 */
445 { .name = "TLB_LOCKDOWN", .cp = 15, .crn = 10, .crm = CP_ANY,
446 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_NOP },
c4804214
PM
447 /* Cache maintenance ops; some of this space may be overridden later. */
448 { .name = "CACHEMAINT", .cp = 15, .crn = 7, .crm = CP_ANY,
449 .opc1 = 0, .opc2 = CP_ANY, .access = PL1_W,
450 .type = ARM_CP_NOP | ARM_CP_OVERRIDE },
e9aa6c21
PM
451 REGINFO_SENTINEL
452};
453
7d57f408
PM
454static const ARMCPRegInfo not_v6_cp_reginfo[] = {
455 /* Not all pre-v6 cores implemented this WFI, so this is slightly
456 * over-broad.
457 */
458 { .name = "WFI_v5", .cp = 15, .crn = 7, .crm = 8, .opc1 = 0, .opc2 = 2,
459 .access = PL1_W, .type = ARM_CP_WFI },
460 REGINFO_SENTINEL
461};
462
463static const ARMCPRegInfo not_v7_cp_reginfo[] = {
464 /* Standard v6 WFI (also used in some pre-v6 cores); not in v7 (which
465 * is UNPREDICTABLE; we choose to NOP as most implementations do).
466 */
467 { .name = "WFI_v6", .cp = 15, .crn = 7, .crm = 0, .opc1 = 0, .opc2 = 4,
468 .access = PL1_W, .type = ARM_CP_WFI },
34f90529
PM
469 /* L1 cache lockdown. Not architectural in v6 and earlier but in practice
470 * implemented in 926, 946, 1026, 1136, 1176 and 11MPCore. StrongARM and
471 * OMAPCP will override this space.
472 */
473 { .name = "DLOCKDOWN", .cp = 15, .crn = 9, .crm = 0, .opc1 = 0, .opc2 = 0,
474 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_data),
475 .resetvalue = 0 },
476 { .name = "ILOCKDOWN", .cp = 15, .crn = 9, .crm = 0, .opc1 = 0, .opc2 = 1,
477 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_insn),
478 .resetvalue = 0 },
776d4e5c
PM
479 /* v6 doesn't have the cache ID registers but Linux reads them anyway */
480 { .name = "DUMMY", .cp = 15, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = CP_ANY,
d4e6df63
PM
481 .access = PL1_R, .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE,
482 .resetvalue = 0 },
50300698
PM
483 /* We don't implement pre-v7 debug but most CPUs had at least a DBGDIDR;
484 * implementing it as RAZ means the "debug architecture version" bits
485 * will read as a reserved value, which should cause Linux to not try
486 * to use the debug hardware.
487 */
488 { .name = "DBGDIDR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 0,
489 .access = PL0_R, .type = ARM_CP_CONST, .resetvalue = 0 },
995939a6
PM
490 /* MMU TLB control. Note that the wildcarding means we cover not just
491 * the unified TLB ops but also the dside/iside/inner-shareable variants.
492 */
493 { .name = "TLBIALL", .cp = 15, .crn = 8, .crm = CP_ANY,
494 .opc1 = CP_ANY, .opc2 = 0, .access = PL1_W, .writefn = tlbiall_write,
495 .type = ARM_CP_NO_MIGRATE },
496 { .name = "TLBIMVA", .cp = 15, .crn = 8, .crm = CP_ANY,
497 .opc1 = CP_ANY, .opc2 = 1, .access = PL1_W, .writefn = tlbimva_write,
498 .type = ARM_CP_NO_MIGRATE },
499 { .name = "TLBIASID", .cp = 15, .crn = 8, .crm = CP_ANY,
500 .opc1 = CP_ANY, .opc2 = 2, .access = PL1_W, .writefn = tlbiasid_write,
501 .type = ARM_CP_NO_MIGRATE },
502 { .name = "TLBIMVAA", .cp = 15, .crn = 8, .crm = CP_ANY,
503 .opc1 = CP_ANY, .opc2 = 3, .access = PL1_W, .writefn = tlbimvaa_write,
504 .type = ARM_CP_NO_MIGRATE },
7d57f408
PM
505 REGINFO_SENTINEL
506};
507
c4241c7d
PM
508static void cpacr_write(CPUARMState *env, const ARMCPRegInfo *ri,
509 uint64_t value)
2771db27 510{
f0aff255
FA
511 uint32_t mask = 0;
512
513 /* In ARMv8 most bits of CPACR_EL1 are RES0. */
514 if (!arm_feature(env, ARM_FEATURE_V8)) {
515 /* ARMv7 defines bits for unimplemented coprocessors as RAZ/WI.
516 * ASEDIS [31] and D32DIS [30] are both UNK/SBZP without VFP.
517 * TRCDIS [28] is RAZ/WI since we do not implement a trace macrocell.
518 */
519 if (arm_feature(env, ARM_FEATURE_VFP)) {
520 /* VFP coprocessor: cp10 & cp11 [23:20] */
521 mask |= (1 << 31) | (1 << 30) | (0xf << 20);
522
523 if (!arm_feature(env, ARM_FEATURE_NEON)) {
524 /* ASEDIS [31] bit is RAO/WI */
525 value |= (1 << 31);
526 }
527
528 /* VFPv3 and upwards with NEON implement 32 double precision
529 * registers (D0-D31).
530 */
531 if (!arm_feature(env, ARM_FEATURE_NEON) ||
532 !arm_feature(env, ARM_FEATURE_VFP3)) {
533 /* D32DIS [30] is RAO/WI if D16-31 are not implemented. */
534 value |= (1 << 30);
535 }
536 }
537 value &= mask;
2771db27 538 }
f0aff255 539 env->cp15.c1_coproc = value;
2771db27
PM
540}
541
7d57f408
PM
542static const ARMCPRegInfo v6_cp_reginfo[] = {
543 /* prefetch by MVA in v6, NOP in v7 */
544 { .name = "MVA_prefetch",
545 .cp = 15, .crn = 7, .crm = 13, .opc1 = 0, .opc2 = 1,
546 .access = PL1_W, .type = ARM_CP_NOP },
547 { .name = "ISB", .cp = 15, .crn = 7, .crm = 5, .opc1 = 0, .opc2 = 4,
548 .access = PL0_W, .type = ARM_CP_NOP },
091fd17c 549 { .name = "DSB", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 4,
7d57f408 550 .access = PL0_W, .type = ARM_CP_NOP },
091fd17c 551 { .name = "DMB", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 5,
7d57f408 552 .access = PL0_W, .type = ARM_CP_NOP },
06d76f31 553 { .name = "IFAR", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 2,
6cd8a264 554 .access = PL1_RW,
2f0180c5 555 .fieldoffset = offsetofhigh32(CPUARMState, cp15.far_el[1]),
06d76f31
PM
556 .resetvalue = 0, },
557 /* Watchpoint Fault Address Register : should actually only be present
558 * for 1136, 1176, 11MPCore.
559 */
560 { .name = "WFAR", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 1,
561 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0, },
34222fb8
PM
562 { .name = "CPACR", .state = ARM_CP_STATE_BOTH, .opc0 = 3,
563 .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 2,
2771db27
PM
564 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c1_coproc),
565 .resetvalue = 0, .writefn = cpacr_write },
7d57f408
PM
566 REGINFO_SENTINEL
567};
568
fcd25206 569static CPAccessResult pmreg_access(CPUARMState *env, const ARMCPRegInfo *ri)
200ac0ef 570{
3b163b01 571 /* Performance monitor registers user accessibility is controlled
fcd25206 572 * by PMUSERENR.
200ac0ef 573 */
dcbff19b 574 if (arm_current_el(env) == 0 && !env->cp15.c9_pmuserenr) {
fcd25206 575 return CP_ACCESS_TRAP;
200ac0ef 576 }
fcd25206 577 return CP_ACCESS_OK;
200ac0ef
PM
578}
579
7c2cb42b 580#ifndef CONFIG_USER_ONLY
87124fde
AF
581
582static inline bool arm_ccnt_enabled(CPUARMState *env)
583{
584 /* This does not support checking PMCCFILTR_EL0 register */
585
586 if (!(env->cp15.c9_pmcr & PMCRE)) {
587 return false;
588 }
589
590 return true;
591}
592
ec7b4ce4
AF
593void pmccntr_sync(CPUARMState *env)
594{
595 uint64_t temp_ticks;
596
597 temp_ticks = muldiv64(qemu_clock_get_us(QEMU_CLOCK_VIRTUAL),
598 get_ticks_per_sec(), 1000000);
599
600 if (env->cp15.c9_pmcr & PMCRD) {
601 /* Increment once every 64 processor clock cycles */
602 temp_ticks /= 64;
603 }
604
605 if (arm_ccnt_enabled(env)) {
606 env->cp15.c15_ccnt = temp_ticks - env->cp15.c15_ccnt;
607 }
608}
609
c4241c7d
PM
610static void pmcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
611 uint64_t value)
200ac0ef 612{
942a155b 613 pmccntr_sync(env);
7c2cb42b
AF
614
615 if (value & PMCRC) {
616 /* The counter has been reset */
617 env->cp15.c15_ccnt = 0;
618 }
619
200ac0ef
PM
620 /* only the DP, X, D and E bits are writable */
621 env->cp15.c9_pmcr &= ~0x39;
622 env->cp15.c9_pmcr |= (value & 0x39);
7c2cb42b 623
942a155b 624 pmccntr_sync(env);
7c2cb42b
AF
625}
626
627static uint64_t pmccntr_read(CPUARMState *env, const ARMCPRegInfo *ri)
628{
c92c0687 629 uint64_t total_ticks;
7c2cb42b 630
942a155b 631 if (!arm_ccnt_enabled(env)) {
7c2cb42b
AF
632 /* Counter is disabled, do not change value */
633 return env->cp15.c15_ccnt;
634 }
635
c92c0687
AF
636 total_ticks = muldiv64(qemu_clock_get_us(QEMU_CLOCK_VIRTUAL),
637 get_ticks_per_sec(), 1000000);
7c2cb42b
AF
638
639 if (env->cp15.c9_pmcr & PMCRD) {
640 /* Increment once every 64 processor clock cycles */
641 total_ticks /= 64;
642 }
643 return total_ticks - env->cp15.c15_ccnt;
644}
645
646static void pmccntr_write(CPUARMState *env, const ARMCPRegInfo *ri,
647 uint64_t value)
648{
c92c0687 649 uint64_t total_ticks;
7c2cb42b 650
942a155b 651 if (!arm_ccnt_enabled(env)) {
7c2cb42b
AF
652 /* Counter is disabled, set the absolute value */
653 env->cp15.c15_ccnt = value;
654 return;
655 }
656
c92c0687
AF
657 total_ticks = muldiv64(qemu_clock_get_us(QEMU_CLOCK_VIRTUAL),
658 get_ticks_per_sec(), 1000000);
7c2cb42b
AF
659
660 if (env->cp15.c9_pmcr & PMCRD) {
661 /* Increment once every 64 processor clock cycles */
662 total_ticks /= 64;
663 }
664 env->cp15.c15_ccnt = total_ticks - value;
200ac0ef 665}
421c7ebd
PC
666
667static void pmccntr_write32(CPUARMState *env, const ARMCPRegInfo *ri,
668 uint64_t value)
669{
670 uint64_t cur_val = pmccntr_read(env, NULL);
671
672 pmccntr_write(env, ri, deposit64(cur_val, 0, 32, value));
673}
674
ec7b4ce4
AF
675#else /* CONFIG_USER_ONLY */
676
677void pmccntr_sync(CPUARMState *env)
678{
679}
680
7c2cb42b 681#endif
200ac0ef 682
0614601c
AF
683static void pmccfiltr_write(CPUARMState *env, const ARMCPRegInfo *ri,
684 uint64_t value)
685{
686 pmccntr_sync(env);
687 env->cp15.pmccfiltr_el0 = value & 0x7E000000;
688 pmccntr_sync(env);
689}
690
c4241c7d 691static void pmcntenset_write(CPUARMState *env, const ARMCPRegInfo *ri,
200ac0ef
PM
692 uint64_t value)
693{
200ac0ef
PM
694 value &= (1 << 31);
695 env->cp15.c9_pmcnten |= value;
200ac0ef
PM
696}
697
c4241c7d
PM
698static void pmcntenclr_write(CPUARMState *env, const ARMCPRegInfo *ri,
699 uint64_t value)
200ac0ef 700{
200ac0ef
PM
701 value &= (1 << 31);
702 env->cp15.c9_pmcnten &= ~value;
200ac0ef
PM
703}
704
c4241c7d
PM
705static void pmovsr_write(CPUARMState *env, const ARMCPRegInfo *ri,
706 uint64_t value)
200ac0ef 707{
200ac0ef 708 env->cp15.c9_pmovsr &= ~value;
200ac0ef
PM
709}
710
c4241c7d
PM
711static void pmxevtyper_write(CPUARMState *env, const ARMCPRegInfo *ri,
712 uint64_t value)
200ac0ef 713{
200ac0ef 714 env->cp15.c9_pmxevtyper = value & 0xff;
200ac0ef
PM
715}
716
c4241c7d 717static void pmuserenr_write(CPUARMState *env, const ARMCPRegInfo *ri,
200ac0ef
PM
718 uint64_t value)
719{
720 env->cp15.c9_pmuserenr = value & 1;
200ac0ef
PM
721}
722
c4241c7d
PM
723static void pmintenset_write(CPUARMState *env, const ARMCPRegInfo *ri,
724 uint64_t value)
200ac0ef
PM
725{
726 /* We have no event counters so only the C bit can be changed */
727 value &= (1 << 31);
728 env->cp15.c9_pminten |= value;
200ac0ef
PM
729}
730
c4241c7d
PM
731static void pmintenclr_write(CPUARMState *env, const ARMCPRegInfo *ri,
732 uint64_t value)
200ac0ef
PM
733{
734 value &= (1 << 31);
735 env->cp15.c9_pminten &= ~value;
200ac0ef
PM
736}
737
c4241c7d
PM
738static void vbar_write(CPUARMState *env, const ARMCPRegInfo *ri,
739 uint64_t value)
8641136c 740{
a505d7fe
PM
741 /* Note that even though the AArch64 view of this register has bits
742 * [10:0] all RES0 we can only mask the bottom 5, to comply with the
743 * architectural requirements for bits which are RES0 only in some
744 * contexts. (ARMv8 would permit us to do no masking at all, but ARMv7
745 * requires the bottom five bits to be RAZ/WI because they're UNK/SBZP.)
746 */
855ea66d 747 raw_write(env, ri, value & ~0x1FULL);
8641136c
NR
748}
749
64e0e2de
EI
750static void scr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
751{
752 /* We only mask off bits that are RES0 both for AArch64 and AArch32.
753 * For bits that vary between AArch32/64, code needs to check the
754 * current execution mode before directly using the feature bit.
755 */
756 uint32_t valid_mask = SCR_AARCH64_MASK | SCR_AARCH32_MASK;
757
758 if (!arm_feature(env, ARM_FEATURE_EL2)) {
759 valid_mask &= ~SCR_HCE;
760
761 /* On ARMv7, SMD (or SCD as it is called in v7) is only
762 * supported if EL2 exists. The bit is UNK/SBZP when
763 * EL2 is unavailable. In QEMU ARMv7, we force it to always zero
764 * when EL2 is unavailable.
765 */
766 if (arm_feature(env, ARM_FEATURE_V7)) {
767 valid_mask &= ~SCR_SMD;
768 }
769 }
770
771 /* Clear all-context RES0 bits. */
772 value &= valid_mask;
773 raw_write(env, ri, value);
774}
775
c4241c7d 776static uint64_t ccsidr_read(CPUARMState *env, const ARMCPRegInfo *ri)
776d4e5c
PM
777{
778 ARMCPU *cpu = arm_env_get_cpu(env);
c4241c7d 779 return cpu->ccsidr[env->cp15.c0_cssel];
776d4e5c
PM
780}
781
c4241c7d
PM
782static void csselr_write(CPUARMState *env, const ARMCPRegInfo *ri,
783 uint64_t value)
776d4e5c 784{
8d5c773e 785 raw_write(env, ri, value & 0xf);
776d4e5c
PM
786}
787
1090b9c6
PM
788static uint64_t isr_read(CPUARMState *env, const ARMCPRegInfo *ri)
789{
790 CPUState *cs = ENV_GET_CPU(env);
791 uint64_t ret = 0;
792
793 if (cs->interrupt_request & CPU_INTERRUPT_HARD) {
794 ret |= CPSR_I;
795 }
796 if (cs->interrupt_request & CPU_INTERRUPT_FIQ) {
797 ret |= CPSR_F;
798 }
799 /* External aborts are not possible in QEMU so A bit is always clear */
800 return ret;
801}
802
e9aa6c21 803static const ARMCPRegInfo v7_cp_reginfo[] = {
7d57f408
PM
804 /* the old v6 WFI, UNPREDICTABLE in v7 but we choose to NOP */
805 { .name = "NOP", .cp = 15, .crn = 7, .crm = 0, .opc1 = 0, .opc2 = 4,
806 .access = PL1_W, .type = ARM_CP_NOP },
200ac0ef
PM
807 /* Performance monitors are implementation defined in v7,
808 * but with an ARM recommended set of registers, which we
809 * follow (although we don't actually implement any counters)
810 *
811 * Performance registers fall into three categories:
812 * (a) always UNDEF in PL0, RW in PL1 (PMINTENSET, PMINTENCLR)
813 * (b) RO in PL0 (ie UNDEF on write), RW in PL1 (PMUSERENR)
814 * (c) UNDEF in PL0 if PMUSERENR.EN==0, otherwise accessible (all others)
815 * For the cases controlled by PMUSERENR we must set .access to PL0_RW
816 * or PL0_RO as appropriate and then check PMUSERENR in the helper fn.
817 */
818 { .name = "PMCNTENSET", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 1,
8521466b
AF
819 .access = PL0_RW, .type = ARM_CP_NO_MIGRATE,
820 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcnten),
fcd25206
PM
821 .writefn = pmcntenset_write,
822 .accessfn = pmreg_access,
823 .raw_writefn = raw_write },
8521466b
AF
824 { .name = "PMCNTENSET_EL0", .state = ARM_CP_STATE_AA64,
825 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 1,
826 .access = PL0_RW, .accessfn = pmreg_access,
827 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcnten), .resetvalue = 0,
828 .writefn = pmcntenset_write, .raw_writefn = raw_write },
200ac0ef 829 { .name = "PMCNTENCLR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 2,
8521466b
AF
830 .access = PL0_RW,
831 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcnten),
fcd25206
PM
832 .accessfn = pmreg_access,
833 .writefn = pmcntenclr_write,
d4e6df63 834 .type = ARM_CP_NO_MIGRATE },
8521466b
AF
835 { .name = "PMCNTENCLR_EL0", .state = ARM_CP_STATE_AA64,
836 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 2,
837 .access = PL0_RW, .accessfn = pmreg_access,
838 .type = ARM_CP_NO_MIGRATE,
839 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcnten),
840 .writefn = pmcntenclr_write },
200ac0ef
PM
841 { .name = "PMOVSR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 3,
842 .access = PL0_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_pmovsr),
fcd25206
PM
843 .accessfn = pmreg_access,
844 .writefn = pmovsr_write,
845 .raw_writefn = raw_write },
846 /* Unimplemented so WI. */
200ac0ef 847 { .name = "PMSWINC", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 4,
fcd25206 848 .access = PL0_W, .accessfn = pmreg_access, .type = ARM_CP_NOP },
200ac0ef 849 /* Since we don't implement any events, writing to PMSELR is UNPREDICTABLE.
fcd25206 850 * We choose to RAZ/WI.
200ac0ef
PM
851 */
852 { .name = "PMSELR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 5,
fcd25206
PM
853 .access = PL0_RW, .type = ARM_CP_CONST, .resetvalue = 0,
854 .accessfn = pmreg_access },
7c2cb42b 855#ifndef CONFIG_USER_ONLY
200ac0ef 856 { .name = "PMCCNTR", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 0,
7c2cb42b 857 .access = PL0_RW, .resetvalue = 0, .type = ARM_CP_IO,
421c7ebd 858 .readfn = pmccntr_read, .writefn = pmccntr_write32,
fcd25206 859 .accessfn = pmreg_access },
8521466b
AF
860 { .name = "PMCCNTR_EL0", .state = ARM_CP_STATE_AA64,
861 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 13, .opc2 = 0,
862 .access = PL0_RW, .accessfn = pmreg_access,
863 .type = ARM_CP_IO,
864 .readfn = pmccntr_read, .writefn = pmccntr_write, },
7c2cb42b 865#endif
8521466b
AF
866 { .name = "PMCCFILTR_EL0", .state = ARM_CP_STATE_AA64,
867 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 15, .opc2 = 7,
0614601c 868 .writefn = pmccfiltr_write,
8521466b
AF
869 .access = PL0_RW, .accessfn = pmreg_access,
870 .type = ARM_CP_IO,
871 .fieldoffset = offsetof(CPUARMState, cp15.pmccfiltr_el0),
872 .resetvalue = 0, },
200ac0ef
PM
873 { .name = "PMXEVTYPER", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 1,
874 .access = PL0_RW,
875 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmxevtyper),
fcd25206
PM
876 .accessfn = pmreg_access, .writefn = pmxevtyper_write,
877 .raw_writefn = raw_write },
878 /* Unimplemented, RAZ/WI. */
200ac0ef 879 { .name = "PMXEVCNTR", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 2,
fcd25206
PM
880 .access = PL0_RW, .type = ARM_CP_CONST, .resetvalue = 0,
881 .accessfn = pmreg_access },
200ac0ef
PM
882 { .name = "PMUSERENR", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 0,
883 .access = PL0_R | PL1_RW,
884 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmuserenr),
885 .resetvalue = 0,
d4e6df63 886 .writefn = pmuserenr_write, .raw_writefn = raw_write },
200ac0ef
PM
887 { .name = "PMINTENSET", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 1,
888 .access = PL1_RW,
889 .fieldoffset = offsetof(CPUARMState, cp15.c9_pminten),
890 .resetvalue = 0,
d4e6df63 891 .writefn = pmintenset_write, .raw_writefn = raw_write },
200ac0ef 892 { .name = "PMINTENCLR", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 2,
d4e6df63 893 .access = PL1_RW, .type = ARM_CP_NO_MIGRATE,
200ac0ef 894 .fieldoffset = offsetof(CPUARMState, cp15.c9_pminten),
d4e6df63 895 .resetvalue = 0, .writefn = pmintenclr_write, },
a505d7fe
PM
896 { .name = "VBAR", .state = ARM_CP_STATE_BOTH,
897 .opc0 = 3, .crn = 12, .crm = 0, .opc1 = 0, .opc2 = 0,
8641136c 898 .access = PL1_RW, .writefn = vbar_write,
68fdb6c5 899 .fieldoffset = offsetof(CPUARMState, cp15.vbar_el[1]),
8641136c 900 .resetvalue = 0 },
2771db27 901 { .name = "SCR", .cp = 15, .crn = 1, .crm = 1, .opc1 = 0, .opc2 = 0,
64e0e2de
EI
902 .access = PL1_RW, .fieldoffset = offsetoflow32(CPUARMState, cp15.scr_el3),
903 .resetvalue = 0, .writefn = scr_write },
7da845b0
PM
904 { .name = "CCSIDR", .state = ARM_CP_STATE_BOTH,
905 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = 0,
d4e6df63 906 .access = PL1_R, .readfn = ccsidr_read, .type = ARM_CP_NO_MIGRATE },
7da845b0
PM
907 { .name = "CSSELR", .state = ARM_CP_STATE_BOTH,
908 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 2, .opc2 = 0,
776d4e5c
PM
909 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c0_cssel),
910 .writefn = csselr_write, .resetvalue = 0 },
911 /* Auxiliary ID register: this actually has an IMPDEF value but for now
912 * just RAZ for all cores:
913 */
0ff644a7
PM
914 { .name = "AIDR", .state = ARM_CP_STATE_BOTH,
915 .opc0 = 3, .opc1 = 1, .crn = 0, .crm = 0, .opc2 = 7,
776d4e5c 916 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
f32cdad5
PM
917 /* Auxiliary fault status registers: these also are IMPDEF, and we
918 * choose to RAZ/WI for all cores.
919 */
920 { .name = "AFSR0_EL1", .state = ARM_CP_STATE_BOTH,
921 .opc0 = 3, .opc1 = 0, .crn = 5, .crm = 1, .opc2 = 0,
922 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
923 { .name = "AFSR1_EL1", .state = ARM_CP_STATE_BOTH,
924 .opc0 = 3, .opc1 = 0, .crn = 5, .crm = 1, .opc2 = 1,
925 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
b0fe2427
PM
926 /* MAIR can just read-as-written because we don't implement caches
927 * and so don't need to care about memory attributes.
928 */
929 { .name = "MAIR_EL1", .state = ARM_CP_STATE_AA64,
930 .opc0 = 3, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 0,
931 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.mair_el1),
932 .resetvalue = 0 },
933 /* For non-long-descriptor page tables these are PRRR and NMRR;
934 * regardless they still act as reads-as-written for QEMU.
935 * The override is necessary because of the overly-broad TLB_LOCKDOWN
936 * definition.
937 */
938 { .name = "MAIR0", .state = ARM_CP_STATE_AA32, .type = ARM_CP_OVERRIDE,
939 .cp = 15, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 0, .access = PL1_RW,
940 .fieldoffset = offsetoflow32(CPUARMState, cp15.mair_el1),
941 .resetfn = arm_cp_reset_ignore },
942 { .name = "MAIR1", .state = ARM_CP_STATE_AA32, .type = ARM_CP_OVERRIDE,
943 .cp = 15, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 1, .access = PL1_RW,
944 .fieldoffset = offsetofhigh32(CPUARMState, cp15.mair_el1),
945 .resetfn = arm_cp_reset_ignore },
1090b9c6
PM
946 { .name = "ISR_EL1", .state = ARM_CP_STATE_BOTH,
947 .opc0 = 3, .opc1 = 0, .crn = 12, .crm = 1, .opc2 = 0,
948 .type = ARM_CP_NO_MIGRATE, .access = PL1_R, .readfn = isr_read },
995939a6
PM
949 /* 32 bit ITLB invalidates */
950 { .name = "ITLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 0,
951 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiall_write },
952 { .name = "ITLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 1,
953 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_write },
954 { .name = "ITLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 2,
955 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiasid_write },
956 /* 32 bit DTLB invalidates */
957 { .name = "DTLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 0,
958 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiall_write },
959 { .name = "DTLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 1,
960 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_write },
961 { .name = "DTLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 2,
962 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiasid_write },
963 /* 32 bit TLB invalidates */
964 { .name = "TLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 0,
965 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiall_write },
966 { .name = "TLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 1,
967 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_write },
968 { .name = "TLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 2,
969 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiasid_write },
970 { .name = "TLBIMVAA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 3,
971 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimvaa_write },
972 REGINFO_SENTINEL
973};
974
975static const ARMCPRegInfo v7mp_cp_reginfo[] = {
976 /* 32 bit TLB invalidates, Inner Shareable */
977 { .name = "TLBIALLIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 0,
fa439fc5 978 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbiall_is_write },
995939a6 979 { .name = "TLBIMVAIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 1,
fa439fc5 980 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_is_write },
995939a6 981 { .name = "TLBIASIDIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 2,
fa439fc5
PM
982 .type = ARM_CP_NO_MIGRATE, .access = PL1_W,
983 .writefn = tlbiasid_is_write },
995939a6 984 { .name = "TLBIMVAAIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 3,
fa439fc5
PM
985 .type = ARM_CP_NO_MIGRATE, .access = PL1_W,
986 .writefn = tlbimvaa_is_write },
e9aa6c21
PM
987 REGINFO_SENTINEL
988};
989
c4241c7d
PM
990static void teecr_write(CPUARMState *env, const ARMCPRegInfo *ri,
991 uint64_t value)
c326b979
PM
992{
993 value &= 1;
994 env->teecr = value;
c326b979
PM
995}
996
c4241c7d 997static CPAccessResult teehbr_access(CPUARMState *env, const ARMCPRegInfo *ri)
c326b979 998{
dcbff19b 999 if (arm_current_el(env) == 0 && (env->teecr & 1)) {
92611c00 1000 return CP_ACCESS_TRAP;
c326b979 1001 }
92611c00 1002 return CP_ACCESS_OK;
c326b979
PM
1003}
1004
1005static const ARMCPRegInfo t2ee_cp_reginfo[] = {
1006 { .name = "TEECR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 6, .opc2 = 0,
1007 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, teecr),
1008 .resetvalue = 0,
1009 .writefn = teecr_write },
1010 { .name = "TEEHBR", .cp = 14, .crn = 1, .crm = 0, .opc1 = 6, .opc2 = 0,
1011 .access = PL0_RW, .fieldoffset = offsetof(CPUARMState, teehbr),
92611c00 1012 .accessfn = teehbr_access, .resetvalue = 0 },
c326b979
PM
1013 REGINFO_SENTINEL
1014};
1015
4d31c596 1016static const ARMCPRegInfo v6k_cp_reginfo[] = {
e4fe830b
PM
1017 { .name = "TPIDR_EL0", .state = ARM_CP_STATE_AA64,
1018 .opc0 = 3, .opc1 = 3, .opc2 = 2, .crn = 13, .crm = 0,
1019 .access = PL0_RW,
1020 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el0), .resetvalue = 0 },
4d31c596
PM
1021 { .name = "TPIDRURW", .cp = 15, .crn = 13, .crm = 0, .opc1 = 0, .opc2 = 2,
1022 .access = PL0_RW,
e4fe830b
PM
1023 .fieldoffset = offsetoflow32(CPUARMState, cp15.tpidr_el0),
1024 .resetfn = arm_cp_reset_ignore },
1025 { .name = "TPIDRRO_EL0", .state = ARM_CP_STATE_AA64,
1026 .opc0 = 3, .opc1 = 3, .opc2 = 3, .crn = 13, .crm = 0,
1027 .access = PL0_R|PL1_W,
1028 .fieldoffset = offsetof(CPUARMState, cp15.tpidrro_el0), .resetvalue = 0 },
4d31c596
PM
1029 { .name = "TPIDRURO", .cp = 15, .crn = 13, .crm = 0, .opc1 = 0, .opc2 = 3,
1030 .access = PL0_R|PL1_W,
e4fe830b
PM
1031 .fieldoffset = offsetoflow32(CPUARMState, cp15.tpidrro_el0),
1032 .resetfn = arm_cp_reset_ignore },
1033 { .name = "TPIDR_EL1", .state = ARM_CP_STATE_BOTH,
1034 .opc0 = 3, .opc1 = 0, .opc2 = 4, .crn = 13, .crm = 0,
4d31c596 1035 .access = PL1_RW,
e4fe830b 1036 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el1), .resetvalue = 0 },
4d31c596
PM
1037 REGINFO_SENTINEL
1038};
1039
55d284af
PM
1040#ifndef CONFIG_USER_ONLY
1041
00108f2d
PM
1042static CPAccessResult gt_cntfrq_access(CPUARMState *env, const ARMCPRegInfo *ri)
1043{
1044 /* CNTFRQ: not visible from PL0 if both PL0PCTEN and PL0VCTEN are zero */
dcbff19b 1045 if (arm_current_el(env) == 0 && !extract32(env->cp15.c14_cntkctl, 0, 2)) {
00108f2d
PM
1046 return CP_ACCESS_TRAP;
1047 }
1048 return CP_ACCESS_OK;
1049}
1050
1051static CPAccessResult gt_counter_access(CPUARMState *env, int timeridx)
1052{
1053 /* CNT[PV]CT: not visible from PL0 if ELO[PV]CTEN is zero */
dcbff19b 1054 if (arm_current_el(env) == 0 &&
00108f2d
PM
1055 !extract32(env->cp15.c14_cntkctl, timeridx, 1)) {
1056 return CP_ACCESS_TRAP;
1057 }
1058 return CP_ACCESS_OK;
1059}
1060
1061static CPAccessResult gt_timer_access(CPUARMState *env, int timeridx)
1062{
1063 /* CNT[PV]_CVAL, CNT[PV]_CTL, CNT[PV]_TVAL: not visible from PL0 if
1064 * EL0[PV]TEN is zero.
1065 */
dcbff19b 1066 if (arm_current_el(env) == 0 &&
00108f2d
PM
1067 !extract32(env->cp15.c14_cntkctl, 9 - timeridx, 1)) {
1068 return CP_ACCESS_TRAP;
1069 }
1070 return CP_ACCESS_OK;
1071}
1072
1073static CPAccessResult gt_pct_access(CPUARMState *env,
1074 const ARMCPRegInfo *ri)
1075{
1076 return gt_counter_access(env, GTIMER_PHYS);
1077}
1078
1079static CPAccessResult gt_vct_access(CPUARMState *env,
1080 const ARMCPRegInfo *ri)
1081{
1082 return gt_counter_access(env, GTIMER_VIRT);
1083}
1084
1085static CPAccessResult gt_ptimer_access(CPUARMState *env, const ARMCPRegInfo *ri)
1086{
1087 return gt_timer_access(env, GTIMER_PHYS);
1088}
1089
1090static CPAccessResult gt_vtimer_access(CPUARMState *env, const ARMCPRegInfo *ri)
1091{
1092 return gt_timer_access(env, GTIMER_VIRT);
1093}
1094
55d284af
PM
1095static uint64_t gt_get_countervalue(CPUARMState *env)
1096{
bc72ad67 1097 return qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL) / GTIMER_SCALE;
55d284af
PM
1098}
1099
1100static void gt_recalc_timer(ARMCPU *cpu, int timeridx)
1101{
1102 ARMGenericTimer *gt = &cpu->env.cp15.c14_timer[timeridx];
1103
1104 if (gt->ctl & 1) {
1105 /* Timer enabled: calculate and set current ISTATUS, irq, and
1106 * reset timer to when ISTATUS next has to change
1107 */
1108 uint64_t count = gt_get_countervalue(&cpu->env);
1109 /* Note that this must be unsigned 64 bit arithmetic: */
1110 int istatus = count >= gt->cval;
1111 uint64_t nexttick;
1112
1113 gt->ctl = deposit32(gt->ctl, 2, 1, istatus);
1114 qemu_set_irq(cpu->gt_timer_outputs[timeridx],
1115 (istatus && !(gt->ctl & 2)));
1116 if (istatus) {
1117 /* Next transition is when count rolls back over to zero */
1118 nexttick = UINT64_MAX;
1119 } else {
1120 /* Next transition is when we hit cval */
1121 nexttick = gt->cval;
1122 }
1123 /* Note that the desired next expiry time might be beyond the
1124 * signed-64-bit range of a QEMUTimer -- in this case we just
1125 * set the timer for as far in the future as possible. When the
1126 * timer expires we will reset the timer for any remaining period.
1127 */
1128 if (nexttick > INT64_MAX / GTIMER_SCALE) {
1129 nexttick = INT64_MAX / GTIMER_SCALE;
1130 }
bc72ad67 1131 timer_mod(cpu->gt_timer[timeridx], nexttick);
55d284af
PM
1132 } else {
1133 /* Timer disabled: ISTATUS and timer output always clear */
1134 gt->ctl &= ~4;
1135 qemu_set_irq(cpu->gt_timer_outputs[timeridx], 0);
bc72ad67 1136 timer_del(cpu->gt_timer[timeridx]);
55d284af
PM
1137 }
1138}
1139
55d284af
PM
1140static void gt_cnt_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1141{
1142 ARMCPU *cpu = arm_env_get_cpu(env);
1143 int timeridx = ri->opc1 & 1;
1144
bc72ad67 1145 timer_del(cpu->gt_timer[timeridx]);
55d284af
PM
1146}
1147
c4241c7d 1148static uint64_t gt_cnt_read(CPUARMState *env, const ARMCPRegInfo *ri)
55d284af 1149{
c4241c7d 1150 return gt_get_countervalue(env);
55d284af
PM
1151}
1152
c4241c7d
PM
1153static void gt_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1154 uint64_t value)
55d284af
PM
1155{
1156 int timeridx = ri->opc1 & 1;
1157
1158 env->cp15.c14_timer[timeridx].cval = value;
1159 gt_recalc_timer(arm_env_get_cpu(env), timeridx);
55d284af 1160}
c4241c7d
PM
1161
1162static uint64_t gt_tval_read(CPUARMState *env, const ARMCPRegInfo *ri)
55d284af
PM
1163{
1164 int timeridx = ri->crm & 1;
1165
c4241c7d
PM
1166 return (uint32_t)(env->cp15.c14_timer[timeridx].cval -
1167 gt_get_countervalue(env));
55d284af
PM
1168}
1169
c4241c7d
PM
1170static void gt_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1171 uint64_t value)
55d284af
PM
1172{
1173 int timeridx = ri->crm & 1;
1174
1175 env->cp15.c14_timer[timeridx].cval = gt_get_countervalue(env) +
1176 + sextract64(value, 0, 32);
1177 gt_recalc_timer(arm_env_get_cpu(env), timeridx);
55d284af
PM
1178}
1179
c4241c7d
PM
1180static void gt_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
1181 uint64_t value)
55d284af
PM
1182{
1183 ARMCPU *cpu = arm_env_get_cpu(env);
1184 int timeridx = ri->crm & 1;
1185 uint32_t oldval = env->cp15.c14_timer[timeridx].ctl;
1186
d3afacc7 1187 env->cp15.c14_timer[timeridx].ctl = deposit64(oldval, 0, 2, value);
55d284af
PM
1188 if ((oldval ^ value) & 1) {
1189 /* Enable toggled */
1190 gt_recalc_timer(cpu, timeridx);
d3afacc7 1191 } else if ((oldval ^ value) & 2) {
55d284af
PM
1192 /* IMASK toggled: don't need to recalculate,
1193 * just set the interrupt line based on ISTATUS
1194 */
1195 qemu_set_irq(cpu->gt_timer_outputs[timeridx],
d3afacc7 1196 (oldval & 4) && !(value & 2));
55d284af 1197 }
55d284af
PM
1198}
1199
1200void arm_gt_ptimer_cb(void *opaque)
1201{
1202 ARMCPU *cpu = opaque;
1203
1204 gt_recalc_timer(cpu, GTIMER_PHYS);
1205}
1206
1207void arm_gt_vtimer_cb(void *opaque)
1208{
1209 ARMCPU *cpu = opaque;
1210
1211 gt_recalc_timer(cpu, GTIMER_VIRT);
1212}
1213
1214static const ARMCPRegInfo generic_timer_cp_reginfo[] = {
1215 /* Note that CNTFRQ is purely reads-as-written for the benefit
1216 * of software; writing it doesn't actually change the timer frequency.
1217 * Our reset value matches the fixed frequency we implement the timer at.
1218 */
1219 { .name = "CNTFRQ", .cp = 15, .crn = 14, .crm = 0, .opc1 = 0, .opc2 = 0,
a7adc4b7
PM
1220 .type = ARM_CP_NO_MIGRATE,
1221 .access = PL1_RW | PL0_R, .accessfn = gt_cntfrq_access,
1222 .fieldoffset = offsetoflow32(CPUARMState, cp15.c14_cntfrq),
1223 .resetfn = arm_cp_reset_ignore,
1224 },
1225 { .name = "CNTFRQ_EL0", .state = ARM_CP_STATE_AA64,
1226 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 0,
1227 .access = PL1_RW | PL0_R, .accessfn = gt_cntfrq_access,
55d284af
PM
1228 .fieldoffset = offsetof(CPUARMState, cp15.c14_cntfrq),
1229 .resetvalue = (1000 * 1000 * 1000) / GTIMER_SCALE,
55d284af
PM
1230 },
1231 /* overall control: mostly access permissions */
a7adc4b7
PM
1232 { .name = "CNTKCTL", .state = ARM_CP_STATE_BOTH,
1233 .opc0 = 3, .opc1 = 0, .crn = 14, .crm = 1, .opc2 = 0,
55d284af
PM
1234 .access = PL1_RW,
1235 .fieldoffset = offsetof(CPUARMState, cp15.c14_cntkctl),
1236 .resetvalue = 0,
1237 },
1238 /* per-timer control */
1239 { .name = "CNTP_CTL", .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 1,
a7adc4b7
PM
1240 .type = ARM_CP_IO | ARM_CP_NO_MIGRATE, .access = PL1_RW | PL0_R,
1241 .accessfn = gt_ptimer_access,
1242 .fieldoffset = offsetoflow32(CPUARMState,
1243 cp15.c14_timer[GTIMER_PHYS].ctl),
1244 .resetfn = arm_cp_reset_ignore,
1245 .writefn = gt_ctl_write, .raw_writefn = raw_write,
1246 },
1247 { .name = "CNTP_CTL_EL0", .state = ARM_CP_STATE_AA64,
1248 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 1,
55d284af 1249 .type = ARM_CP_IO, .access = PL1_RW | PL0_R,
a7adc4b7 1250 .accessfn = gt_ptimer_access,
55d284af
PM
1251 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].ctl),
1252 .resetvalue = 0,
00108f2d 1253 .writefn = gt_ctl_write, .raw_writefn = raw_write,
55d284af
PM
1254 },
1255 { .name = "CNTV_CTL", .cp = 15, .crn = 14, .crm = 3, .opc1 = 0, .opc2 = 1,
a7adc4b7
PM
1256 .type = ARM_CP_IO | ARM_CP_NO_MIGRATE, .access = PL1_RW | PL0_R,
1257 .accessfn = gt_vtimer_access,
1258 .fieldoffset = offsetoflow32(CPUARMState,
1259 cp15.c14_timer[GTIMER_VIRT].ctl),
1260 .resetfn = arm_cp_reset_ignore,
1261 .writefn = gt_ctl_write, .raw_writefn = raw_write,
1262 },
1263 { .name = "CNTV_CTL_EL0", .state = ARM_CP_STATE_AA64,
1264 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 1,
55d284af 1265 .type = ARM_CP_IO, .access = PL1_RW | PL0_R,
a7adc4b7 1266 .accessfn = gt_vtimer_access,
55d284af
PM
1267 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].ctl),
1268 .resetvalue = 0,
00108f2d 1269 .writefn = gt_ctl_write, .raw_writefn = raw_write,
55d284af
PM
1270 },
1271 /* TimerValue views: a 32 bit downcounting view of the underlying state */
1272 { .name = "CNTP_TVAL", .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 0,
1273 .type = ARM_CP_NO_MIGRATE | ARM_CP_IO, .access = PL1_RW | PL0_R,
00108f2d 1274 .accessfn = gt_ptimer_access,
55d284af
PM
1275 .readfn = gt_tval_read, .writefn = gt_tval_write,
1276 },
a7adc4b7
PM
1277 { .name = "CNTP_TVAL_EL0", .state = ARM_CP_STATE_AA64,
1278 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 0,
1279 .type = ARM_CP_NO_MIGRATE | ARM_CP_IO, .access = PL1_RW | PL0_R,
1280 .readfn = gt_tval_read, .writefn = gt_tval_write,
1281 },
55d284af
PM
1282 { .name = "CNTV_TVAL", .cp = 15, .crn = 14, .crm = 3, .opc1 = 0, .opc2 = 0,
1283 .type = ARM_CP_NO_MIGRATE | ARM_CP_IO, .access = PL1_RW | PL0_R,
00108f2d 1284 .accessfn = gt_vtimer_access,
55d284af
PM
1285 .readfn = gt_tval_read, .writefn = gt_tval_write,
1286 },
a7adc4b7
PM
1287 { .name = "CNTV_TVAL_EL0", .state = ARM_CP_STATE_AA64,
1288 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 0,
1289 .type = ARM_CP_NO_MIGRATE | ARM_CP_IO, .access = PL1_RW | PL0_R,
1290 .readfn = gt_tval_read, .writefn = gt_tval_write,
1291 },
55d284af
PM
1292 /* The counter itself */
1293 { .name = "CNTPCT", .cp = 15, .crm = 14, .opc1 = 0,
1294 .access = PL0_R, .type = ARM_CP_64BIT | ARM_CP_NO_MIGRATE | ARM_CP_IO,
00108f2d 1295 .accessfn = gt_pct_access,
a7adc4b7
PM
1296 .readfn = gt_cnt_read, .resetfn = arm_cp_reset_ignore,
1297 },
1298 { .name = "CNTPCT_EL0", .state = ARM_CP_STATE_AA64,
1299 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 1,
1300 .access = PL0_R, .type = ARM_CP_NO_MIGRATE | ARM_CP_IO,
1301 .accessfn = gt_pct_access,
55d284af
PM
1302 .readfn = gt_cnt_read, .resetfn = gt_cnt_reset,
1303 },
1304 { .name = "CNTVCT", .cp = 15, .crm = 14, .opc1 = 1,
1305 .access = PL0_R, .type = ARM_CP_64BIT | ARM_CP_NO_MIGRATE | ARM_CP_IO,
00108f2d 1306 .accessfn = gt_vct_access,
a7adc4b7
PM
1307 .readfn = gt_cnt_read, .resetfn = arm_cp_reset_ignore,
1308 },
1309 { .name = "CNTVCT_EL0", .state = ARM_CP_STATE_AA64,
1310 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 2,
1311 .access = PL0_R, .type = ARM_CP_NO_MIGRATE | ARM_CP_IO,
1312 .accessfn = gt_vct_access,
55d284af
PM
1313 .readfn = gt_cnt_read, .resetfn = gt_cnt_reset,
1314 },
1315 /* Comparison value, indicating when the timer goes off */
1316 { .name = "CNTP_CVAL", .cp = 15, .crm = 14, .opc1 = 2,
1317 .access = PL1_RW | PL0_R,
a7adc4b7 1318 .type = ARM_CP_64BIT | ARM_CP_IO | ARM_CP_NO_MIGRATE,
55d284af 1319 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].cval),
a7adc4b7
PM
1320 .accessfn = gt_ptimer_access, .resetfn = arm_cp_reset_ignore,
1321 .writefn = gt_cval_write, .raw_writefn = raw_write,
1322 },
1323 { .name = "CNTP_CVAL_EL0", .state = ARM_CP_STATE_AA64,
1324 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 2,
1325 .access = PL1_RW | PL0_R,
1326 .type = ARM_CP_IO,
1327 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].cval),
1328 .resetvalue = 0, .accessfn = gt_vtimer_access,
00108f2d 1329 .writefn = gt_cval_write, .raw_writefn = raw_write,
55d284af
PM
1330 },
1331 { .name = "CNTV_CVAL", .cp = 15, .crm = 14, .opc1 = 3,
1332 .access = PL1_RW | PL0_R,
a7adc4b7 1333 .type = ARM_CP_64BIT | ARM_CP_IO | ARM_CP_NO_MIGRATE,
55d284af 1334 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].cval),
a7adc4b7
PM
1335 .accessfn = gt_vtimer_access, .resetfn = arm_cp_reset_ignore,
1336 .writefn = gt_cval_write, .raw_writefn = raw_write,
1337 },
1338 { .name = "CNTV_CVAL_EL0", .state = ARM_CP_STATE_AA64,
1339 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 2,
1340 .access = PL1_RW | PL0_R,
1341 .type = ARM_CP_IO,
1342 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].cval),
1343 .resetvalue = 0, .accessfn = gt_vtimer_access,
00108f2d 1344 .writefn = gt_cval_write, .raw_writefn = raw_write,
55d284af
PM
1345 },
1346 REGINFO_SENTINEL
1347};
1348
1349#else
1350/* In user-mode none of the generic timer registers are accessible,
bc72ad67 1351 * and their implementation depends on QEMU_CLOCK_VIRTUAL and qdev gpio outputs,
55d284af
PM
1352 * so instead just don't register any of them.
1353 */
6cc7a3ae 1354static const ARMCPRegInfo generic_timer_cp_reginfo[] = {
6cc7a3ae
PM
1355 REGINFO_SENTINEL
1356};
1357
55d284af
PM
1358#endif
1359
c4241c7d 1360static void par_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
4a501606 1361{
891a2fe7 1362 if (arm_feature(env, ARM_FEATURE_LPAE)) {
8d5c773e 1363 raw_write(env, ri, value);
891a2fe7 1364 } else if (arm_feature(env, ARM_FEATURE_V7)) {
8d5c773e 1365 raw_write(env, ri, value & 0xfffff6ff);
4a501606 1366 } else {
8d5c773e 1367 raw_write(env, ri, value & 0xfffff1ff);
4a501606 1368 }
4a501606
PM
1369}
1370
1371#ifndef CONFIG_USER_ONLY
1372/* get_phys_addr() isn't present for user-mode-only targets */
702a9357 1373
92611c00
PM
1374static CPAccessResult ats_access(CPUARMState *env, const ARMCPRegInfo *ri)
1375{
1376 if (ri->opc2 & 4) {
1377 /* Other states are only available with TrustZone; in
1378 * a non-TZ implementation these registers don't exist
1379 * at all, which is an Uncategorized trap. This underdecoding
1380 * is safe because the reginfo is NO_MIGRATE.
1381 */
1382 return CP_ACCESS_TRAP_UNCATEGORIZED;
1383 }
1384 return CP_ACCESS_OK;
1385}
1386
c4241c7d 1387static void ats_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
4a501606 1388{
a8170e5e 1389 hwaddr phys_addr;
4a501606
PM
1390 target_ulong page_size;
1391 int prot;
1392 int ret, is_user = ri->opc2 & 2;
1393 int access_type = ri->opc2 & 1;
1394
4a501606
PM
1395 ret = get_phys_addr(env, value, access_type, is_user,
1396 &phys_addr, &prot, &page_size);
702a9357
PM
1397 if (extended_addresses_enabled(env)) {
1398 /* ret is a DFSR/IFSR value for the long descriptor
1399 * translation table format, but with WnR always clear.
1400 * Convert it to a 64-bit PAR.
1401 */
1402 uint64_t par64 = (1 << 11); /* LPAE bit always set */
1403 if (ret == 0) {
1404 par64 |= phys_addr & ~0xfffULL;
1405 /* We don't set the ATTR or SH fields in the PAR. */
4a501606 1406 } else {
702a9357
PM
1407 par64 |= 1; /* F */
1408 par64 |= (ret & 0x3f) << 1; /* FS */
1409 /* Note that S2WLK and FSTAGE are always zero, because we don't
1410 * implement virtualization and therefore there can't be a stage 2
1411 * fault.
1412 */
4a501606 1413 }
19525524 1414 env->cp15.par_el1 = par64;
4a501606 1415 } else {
702a9357
PM
1416 /* ret is a DFSR/IFSR value for the short descriptor
1417 * translation table format (with WnR always clear).
1418 * Convert it to a 32-bit PAR.
1419 */
1420 if (ret == 0) {
1421 /* We do not set any attribute bits in the PAR */
1422 if (page_size == (1 << 24)
1423 && arm_feature(env, ARM_FEATURE_V7)) {
19525524 1424 env->cp15.par_el1 = (phys_addr & 0xff000000) | 1 << 1;
702a9357 1425 } else {
19525524 1426 env->cp15.par_el1 = phys_addr & 0xfffff000;
702a9357
PM
1427 }
1428 } else {
19525524 1429 env->cp15.par_el1 = ((ret & (1 << 10)) >> 5) |
775fda92 1430 ((ret & (1 << 12)) >> 6) |
702a9357
PM
1431 ((ret & 0xf) << 1) | 1;
1432 }
4a501606 1433 }
4a501606
PM
1434}
1435#endif
1436
1437static const ARMCPRegInfo vapa_cp_reginfo[] = {
1438 { .name = "PAR", .cp = 15, .crn = 7, .crm = 4, .opc1 = 0, .opc2 = 0,
1439 .access = PL1_RW, .resetvalue = 0,
19525524 1440 .fieldoffset = offsetoflow32(CPUARMState, cp15.par_el1),
4a501606
PM
1441 .writefn = par_write },
1442#ifndef CONFIG_USER_ONLY
1443 { .name = "ATS", .cp = 15, .crn = 7, .crm = 8, .opc1 = 0, .opc2 = CP_ANY,
92611c00
PM
1444 .access = PL1_W, .accessfn = ats_access,
1445 .writefn = ats_write, .type = ARM_CP_NO_MIGRATE },
4a501606
PM
1446#endif
1447 REGINFO_SENTINEL
1448};
1449
18032bec
PM
1450/* Return basic MPU access permission bits. */
1451static uint32_t simple_mpu_ap_bits(uint32_t val)
1452{
1453 uint32_t ret;
1454 uint32_t mask;
1455 int i;
1456 ret = 0;
1457 mask = 3;
1458 for (i = 0; i < 16; i += 2) {
1459 ret |= (val >> i) & mask;
1460 mask <<= 2;
1461 }
1462 return ret;
1463}
1464
1465/* Pad basic MPU access permission bits to extended format. */
1466static uint32_t extended_mpu_ap_bits(uint32_t val)
1467{
1468 uint32_t ret;
1469 uint32_t mask;
1470 int i;
1471 ret = 0;
1472 mask = 3;
1473 for (i = 0; i < 16; i += 2) {
1474 ret |= (val & mask) << i;
1475 mask <<= 2;
1476 }
1477 return ret;
1478}
1479
c4241c7d
PM
1480static void pmsav5_data_ap_write(CPUARMState *env, const ARMCPRegInfo *ri,
1481 uint64_t value)
18032bec 1482{
7e09797c 1483 env->cp15.pmsav5_data_ap = extended_mpu_ap_bits(value);
18032bec
PM
1484}
1485
c4241c7d 1486static uint64_t pmsav5_data_ap_read(CPUARMState *env, const ARMCPRegInfo *ri)
18032bec 1487{
7e09797c 1488 return simple_mpu_ap_bits(env->cp15.pmsav5_data_ap);
18032bec
PM
1489}
1490
c4241c7d
PM
1491static void pmsav5_insn_ap_write(CPUARMState *env, const ARMCPRegInfo *ri,
1492 uint64_t value)
18032bec 1493{
7e09797c 1494 env->cp15.pmsav5_insn_ap = extended_mpu_ap_bits(value);
18032bec
PM
1495}
1496
c4241c7d 1497static uint64_t pmsav5_insn_ap_read(CPUARMState *env, const ARMCPRegInfo *ri)
18032bec 1498{
7e09797c 1499 return simple_mpu_ap_bits(env->cp15.pmsav5_insn_ap);
18032bec
PM
1500}
1501
1502static const ARMCPRegInfo pmsav5_cp_reginfo[] = {
1503 { .name = "DATA_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 0,
d4e6df63 1504 .access = PL1_RW, .type = ARM_CP_NO_MIGRATE,
7e09797c
PM
1505 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_data_ap),
1506 .resetvalue = 0,
18032bec
PM
1507 .readfn = pmsav5_data_ap_read, .writefn = pmsav5_data_ap_write, },
1508 { .name = "INSN_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 1,
d4e6df63 1509 .access = PL1_RW, .type = ARM_CP_NO_MIGRATE,
7e09797c
PM
1510 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_insn_ap),
1511 .resetvalue = 0,
18032bec
PM
1512 .readfn = pmsav5_insn_ap_read, .writefn = pmsav5_insn_ap_write, },
1513 { .name = "DATA_EXT_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 2,
1514 .access = PL1_RW,
7e09797c
PM
1515 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_data_ap),
1516 .resetvalue = 0, },
18032bec
PM
1517 { .name = "INSN_EXT_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 3,
1518 .access = PL1_RW,
7e09797c
PM
1519 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_insn_ap),
1520 .resetvalue = 0, },
ecce5c3c
PM
1521 { .name = "DCACHE_CFG", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 0,
1522 .access = PL1_RW,
1523 .fieldoffset = offsetof(CPUARMState, cp15.c2_data), .resetvalue = 0, },
1524 { .name = "ICACHE_CFG", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 1,
1525 .access = PL1_RW,
1526 .fieldoffset = offsetof(CPUARMState, cp15.c2_insn), .resetvalue = 0, },
06d76f31 1527 /* Protection region base and size registers */
e508a92b
PM
1528 { .name = "946_PRBS0", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0,
1529 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1530 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[0]) },
1531 { .name = "946_PRBS1", .cp = 15, .crn = 6, .crm = 1, .opc1 = 0,
1532 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1533 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[1]) },
1534 { .name = "946_PRBS2", .cp = 15, .crn = 6, .crm = 2, .opc1 = 0,
1535 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1536 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[2]) },
1537 { .name = "946_PRBS3", .cp = 15, .crn = 6, .crm = 3, .opc1 = 0,
1538 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1539 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[3]) },
1540 { .name = "946_PRBS4", .cp = 15, .crn = 6, .crm = 4, .opc1 = 0,
1541 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1542 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[4]) },
1543 { .name = "946_PRBS5", .cp = 15, .crn = 6, .crm = 5, .opc1 = 0,
1544 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1545 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[5]) },
1546 { .name = "946_PRBS6", .cp = 15, .crn = 6, .crm = 6, .opc1 = 0,
1547 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1548 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[6]) },
1549 { .name = "946_PRBS7", .cp = 15, .crn = 6, .crm = 7, .opc1 = 0,
1550 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
1551 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[7]) },
18032bec
PM
1552 REGINFO_SENTINEL
1553};
1554
c4241c7d
PM
1555static void vmsa_ttbcr_raw_write(CPUARMState *env, const ARMCPRegInfo *ri,
1556 uint64_t value)
ecce5c3c 1557{
2ebcebe2
PM
1558 int maskshift = extract32(value, 0, 3);
1559
e389be16
FA
1560 if (!arm_feature(env, ARM_FEATURE_V8)) {
1561 if (arm_feature(env, ARM_FEATURE_LPAE) && (value & TTBCR_EAE)) {
1562 /* Pre ARMv8 bits [21:19], [15:14] and [6:3] are UNK/SBZP when
1563 * using Long-desciptor translation table format */
1564 value &= ~((7 << 19) | (3 << 14) | (0xf << 3));
1565 } else if (arm_feature(env, ARM_FEATURE_EL3)) {
1566 /* In an implementation that includes the Security Extensions
1567 * TTBCR has additional fields PD0 [4] and PD1 [5] for
1568 * Short-descriptor translation table format.
1569 */
1570 value &= TTBCR_PD1 | TTBCR_PD0 | TTBCR_N;
1571 } else {
1572 value &= TTBCR_N;
1573 }
e42c4db3 1574 }
e389be16 1575
e42c4db3
PM
1576 /* Note that we always calculate c2_mask and c2_base_mask, but
1577 * they are only used for short-descriptor tables (ie if EAE is 0);
1578 * for long-descriptor tables the TTBCR fields are used differently
1579 * and the c2_mask and c2_base_mask values are meaningless.
1580 */
8d5c773e 1581 raw_write(env, ri, value);
2ebcebe2
PM
1582 env->cp15.c2_mask = ~(((uint32_t)0xffffffffu) >> maskshift);
1583 env->cp15.c2_base_mask = ~((uint32_t)0x3fffu >> maskshift);
ecce5c3c
PM
1584}
1585
c4241c7d
PM
1586static void vmsa_ttbcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1587 uint64_t value)
d4e6df63 1588{
00c8cb0a
AF
1589 ARMCPU *cpu = arm_env_get_cpu(env);
1590
d4e6df63
PM
1591 if (arm_feature(env, ARM_FEATURE_LPAE)) {
1592 /* With LPAE the TTBCR could result in a change of ASID
1593 * via the TTBCR.A1 bit, so do a TLB flush.
1594 */
00c8cb0a 1595 tlb_flush(CPU(cpu), 1);
d4e6df63 1596 }
c4241c7d 1597 vmsa_ttbcr_raw_write(env, ri, value);
d4e6df63
PM
1598}
1599
ecce5c3c
PM
1600static void vmsa_ttbcr_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1601{
1602 env->cp15.c2_base_mask = 0xffffc000u;
8d5c773e 1603 raw_write(env, ri, 0);
ecce5c3c
PM
1604 env->cp15.c2_mask = 0;
1605}
1606
cb2e37df
PM
1607static void vmsa_tcr_el1_write(CPUARMState *env, const ARMCPRegInfo *ri,
1608 uint64_t value)
1609{
00c8cb0a
AF
1610 ARMCPU *cpu = arm_env_get_cpu(env);
1611
cb2e37df 1612 /* For AArch64 the A1 bit could result in a change of ASID, so TLB flush. */
00c8cb0a 1613 tlb_flush(CPU(cpu), 1);
8d5c773e 1614 raw_write(env, ri, value);
cb2e37df
PM
1615}
1616
327ed10f
PM
1617static void vmsa_ttbr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1618 uint64_t value)
1619{
1620 /* 64 bit accesses to the TTBRs can change the ASID and so we
1621 * must flush the TLB.
1622 */
1623 if (cpreg_field_is_64bit(ri)) {
00c8cb0a
AF
1624 ARMCPU *cpu = arm_env_get_cpu(env);
1625
1626 tlb_flush(CPU(cpu), 1);
327ed10f
PM
1627 }
1628 raw_write(env, ri, value);
1629}
1630
18032bec
PM
1631static const ARMCPRegInfo vmsa_cp_reginfo[] = {
1632 { .name = "DFSR", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 0,
6cd8a264 1633 .access = PL1_RW, .type = ARM_CP_NO_MIGRATE,
d81c519c 1634 .fieldoffset = offsetoflow32(CPUARMState, cp15.esr_el[1]),
6cd8a264 1635 .resetfn = arm_cp_reset_ignore, },
18032bec
PM
1636 { .name = "IFSR", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 1,
1637 .access = PL1_RW,
6cd8a264
RH
1638 .fieldoffset = offsetof(CPUARMState, cp15.ifsr_el2), .resetvalue = 0, },
1639 { .name = "ESR_EL1", .state = ARM_CP_STATE_AA64,
1640 .opc0 = 3, .crn = 5, .crm = 2, .opc1 = 0, .opc2 = 0,
1641 .access = PL1_RW,
d81c519c 1642 .fieldoffset = offsetof(CPUARMState, cp15.esr_el[1]), .resetvalue = 0, },
327ed10f
PM
1643 { .name = "TTBR0_EL1", .state = ARM_CP_STATE_BOTH,
1644 .opc0 = 3, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 0,
1645 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.ttbr0_el1),
1646 .writefn = vmsa_ttbr_write, .resetvalue = 0 },
1647 { .name = "TTBR1_EL1", .state = ARM_CP_STATE_BOTH,
1648 .opc0 = 3, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 1,
1649 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.ttbr1_el1),
1650 .writefn = vmsa_ttbr_write, .resetvalue = 0 },
cb2e37df
PM
1651 { .name = "TCR_EL1", .state = ARM_CP_STATE_AA64,
1652 .opc0 = 3, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 2,
1653 .access = PL1_RW, .writefn = vmsa_tcr_el1_write,
1654 .resetfn = vmsa_ttbcr_reset, .raw_writefn = raw_write,
ecce5c3c 1655 .fieldoffset = offsetof(CPUARMState, cp15.c2_control) },
cb2e37df
PM
1656 { .name = "TTBCR", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 2,
1657 .access = PL1_RW, .type = ARM_CP_NO_MIGRATE, .writefn = vmsa_ttbcr_write,
1658 .resetfn = arm_cp_reset_ignore, .raw_writefn = vmsa_ttbcr_raw_write,
1659 .fieldoffset = offsetoflow32(CPUARMState, cp15.c2_control) },
6cd8a264
RH
1660 /* 64-bit FAR; this entry also gives us the AArch32 DFAR */
1661 { .name = "FAR_EL1", .state = ARM_CP_STATE_BOTH,
1662 .opc0 = 3, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 0,
2f0180c5 1663 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[1]),
06d76f31 1664 .resetvalue = 0, },
18032bec
PM
1665 REGINFO_SENTINEL
1666};
1667
c4241c7d
PM
1668static void omap_ticonfig_write(CPUARMState *env, const ARMCPRegInfo *ri,
1669 uint64_t value)
1047b9d7
PM
1670{
1671 env->cp15.c15_ticonfig = value & 0xe7;
1672 /* The OS_TYPE bit in this register changes the reported CPUID! */
1673 env->cp15.c0_cpuid = (value & (1 << 5)) ?
1674 ARM_CPUID_TI915T : ARM_CPUID_TI925T;
1047b9d7
PM
1675}
1676
c4241c7d
PM
1677static void omap_threadid_write(CPUARMState *env, const ARMCPRegInfo *ri,
1678 uint64_t value)
1047b9d7
PM
1679{
1680 env->cp15.c15_threadid = value & 0xffff;
1047b9d7
PM
1681}
1682
c4241c7d
PM
1683static void omap_wfi_write(CPUARMState *env, const ARMCPRegInfo *ri,
1684 uint64_t value)
1047b9d7
PM
1685{
1686 /* Wait-for-interrupt (deprecated) */
c3affe56 1687 cpu_interrupt(CPU(arm_env_get_cpu(env)), CPU_INTERRUPT_HALT);
1047b9d7
PM
1688}
1689
c4241c7d
PM
1690static void omap_cachemaint_write(CPUARMState *env, const ARMCPRegInfo *ri,
1691 uint64_t value)
c4804214
PM
1692{
1693 /* On OMAP there are registers indicating the max/min index of dcache lines
1694 * containing a dirty line; cache flush operations have to reset these.
1695 */
1696 env->cp15.c15_i_max = 0x000;
1697 env->cp15.c15_i_min = 0xff0;
c4804214
PM
1698}
1699
18032bec
PM
1700static const ARMCPRegInfo omap_cp_reginfo[] = {
1701 { .name = "DFSR", .cp = 15, .crn = 5, .crm = CP_ANY,
1702 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_OVERRIDE,
d81c519c 1703 .fieldoffset = offsetoflow32(CPUARMState, cp15.esr_el[1]),
6cd8a264 1704 .resetvalue = 0, },
1047b9d7
PM
1705 { .name = "", .cp = 15, .crn = 15, .crm = 0, .opc1 = 0, .opc2 = 0,
1706 .access = PL1_RW, .type = ARM_CP_NOP },
1707 { .name = "TICONFIG", .cp = 15, .crn = 15, .crm = 1, .opc1 = 0, .opc2 = 0,
1708 .access = PL1_RW,
1709 .fieldoffset = offsetof(CPUARMState, cp15.c15_ticonfig), .resetvalue = 0,
1710 .writefn = omap_ticonfig_write },
1711 { .name = "IMAX", .cp = 15, .crn = 15, .crm = 2, .opc1 = 0, .opc2 = 0,
1712 .access = PL1_RW,
1713 .fieldoffset = offsetof(CPUARMState, cp15.c15_i_max), .resetvalue = 0, },
1714 { .name = "IMIN", .cp = 15, .crn = 15, .crm = 3, .opc1 = 0, .opc2 = 0,
1715 .access = PL1_RW, .resetvalue = 0xff0,
1716 .fieldoffset = offsetof(CPUARMState, cp15.c15_i_min) },
1717 { .name = "THREADID", .cp = 15, .crn = 15, .crm = 4, .opc1 = 0, .opc2 = 0,
1718 .access = PL1_RW,
1719 .fieldoffset = offsetof(CPUARMState, cp15.c15_threadid), .resetvalue = 0,
1720 .writefn = omap_threadid_write },
1721 { .name = "TI925T_STATUS", .cp = 15, .crn = 15,
1722 .crm = 8, .opc1 = 0, .opc2 = 0, .access = PL1_RW,
d4e6df63 1723 .type = ARM_CP_NO_MIGRATE,
1047b9d7
PM
1724 .readfn = arm_cp_read_zero, .writefn = omap_wfi_write, },
1725 /* TODO: Peripheral port remap register:
1726 * On OMAP2 mcr p15, 0, rn, c15, c2, 4 sets up the interrupt controller
1727 * base address at $rn & ~0xfff and map size of 0x200 << ($rn & 0xfff),
1728 * when MMU is off.
1729 */
c4804214 1730 { .name = "OMAP_CACHEMAINT", .cp = 15, .crn = 7, .crm = CP_ANY,
d4e6df63
PM
1731 .opc1 = 0, .opc2 = CP_ANY, .access = PL1_W,
1732 .type = ARM_CP_OVERRIDE | ARM_CP_NO_MIGRATE,
c4804214 1733 .writefn = omap_cachemaint_write },
34f90529
PM
1734 { .name = "C9", .cp = 15, .crn = 9,
1735 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW,
1736 .type = ARM_CP_CONST | ARM_CP_OVERRIDE, .resetvalue = 0 },
1047b9d7
PM
1737 REGINFO_SENTINEL
1738};
1739
c4241c7d
PM
1740static void xscale_cpar_write(CPUARMState *env, const ARMCPRegInfo *ri,
1741 uint64_t value)
1047b9d7 1742{
c0f4af17 1743 env->cp15.c15_cpar = value & 0x3fff;
1047b9d7
PM
1744}
1745
1746static const ARMCPRegInfo xscale_cp_reginfo[] = {
1747 { .name = "XSCALE_CPAR",
1748 .cp = 15, .crn = 15, .crm = 1, .opc1 = 0, .opc2 = 0, .access = PL1_RW,
1749 .fieldoffset = offsetof(CPUARMState, cp15.c15_cpar), .resetvalue = 0,
1750 .writefn = xscale_cpar_write, },
2771db27
PM
1751 { .name = "XSCALE_AUXCR",
1752 .cp = 15, .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 1, .access = PL1_RW,
1753 .fieldoffset = offsetof(CPUARMState, cp15.c1_xscaleauxcr),
1754 .resetvalue = 0, },
3b771579
PM
1755 /* XScale specific cache-lockdown: since we have no cache we NOP these
1756 * and hope the guest does not really rely on cache behaviour.
1757 */
1758 { .name = "XSCALE_LOCK_ICACHE_LINE",
1759 .cp = 15, .opc1 = 0, .crn = 9, .crm = 1, .opc2 = 0,
1760 .access = PL1_W, .type = ARM_CP_NOP },
1761 { .name = "XSCALE_UNLOCK_ICACHE",
1762 .cp = 15, .opc1 = 0, .crn = 9, .crm = 1, .opc2 = 1,
1763 .access = PL1_W, .type = ARM_CP_NOP },
1764 { .name = "XSCALE_DCACHE_LOCK",
1765 .cp = 15, .opc1 = 0, .crn = 9, .crm = 2, .opc2 = 0,
1766 .access = PL1_RW, .type = ARM_CP_NOP },
1767 { .name = "XSCALE_UNLOCK_DCACHE",
1768 .cp = 15, .opc1 = 0, .crn = 9, .crm = 2, .opc2 = 1,
1769 .access = PL1_W, .type = ARM_CP_NOP },
1047b9d7
PM
1770 REGINFO_SENTINEL
1771};
1772
1773static const ARMCPRegInfo dummy_c15_cp_reginfo[] = {
1774 /* RAZ/WI the whole crn=15 space, when we don't have a more specific
1775 * implementation of this implementation-defined space.
1776 * Ideally this should eventually disappear in favour of actually
1777 * implementing the correct behaviour for all cores.
1778 */
1779 { .name = "C15_IMPDEF", .cp = 15, .crn = 15,
1780 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY,
3671cd87
PC
1781 .access = PL1_RW,
1782 .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE | ARM_CP_OVERRIDE,
d4e6df63 1783 .resetvalue = 0 },
18032bec
PM
1784 REGINFO_SENTINEL
1785};
1786
c4804214
PM
1787static const ARMCPRegInfo cache_dirty_status_cp_reginfo[] = {
1788 /* Cache status: RAZ because we have no cache so it's always clean */
1789 { .name = "CDSR", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 6,
d4e6df63
PM
1790 .access = PL1_R, .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE,
1791 .resetvalue = 0 },
c4804214
PM
1792 REGINFO_SENTINEL
1793};
1794
1795static const ARMCPRegInfo cache_block_ops_cp_reginfo[] = {
1796 /* We never have a a block transfer operation in progress */
1797 { .name = "BXSR", .cp = 15, .crn = 7, .crm = 12, .opc1 = 0, .opc2 = 4,
d4e6df63
PM
1798 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE,
1799 .resetvalue = 0 },
30b05bba
PM
1800 /* The cache ops themselves: these all NOP for QEMU */
1801 { .name = "IICR", .cp = 15, .crm = 5, .opc1 = 0,
1802 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
1803 { .name = "IDCR", .cp = 15, .crm = 6, .opc1 = 0,
1804 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
1805 { .name = "CDCR", .cp = 15, .crm = 12, .opc1 = 0,
1806 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
1807 { .name = "PIR", .cp = 15, .crm = 12, .opc1 = 1,
1808 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
1809 { .name = "PDR", .cp = 15, .crm = 12, .opc1 = 2,
1810 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
1811 { .name = "CIDCR", .cp = 15, .crm = 14, .opc1 = 0,
1812 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
c4804214
PM
1813 REGINFO_SENTINEL
1814};
1815
1816static const ARMCPRegInfo cache_test_clean_cp_reginfo[] = {
1817 /* The cache test-and-clean instructions always return (1 << 30)
1818 * to indicate that there are no dirty cache lines.
1819 */
1820 { .name = "TC_DCACHE", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 3,
d4e6df63
PM
1821 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE,
1822 .resetvalue = (1 << 30) },
c4804214 1823 { .name = "TCI_DCACHE", .cp = 15, .crn = 7, .crm = 14, .opc1 = 0, .opc2 = 3,
d4e6df63
PM
1824 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_MIGRATE,
1825 .resetvalue = (1 << 30) },
c4804214
PM
1826 REGINFO_SENTINEL
1827};
1828
34f90529
PM
1829static const ARMCPRegInfo strongarm_cp_reginfo[] = {
1830 /* Ignore ReadBuffer accesses */
1831 { .name = "C9_READBUFFER", .cp = 15, .crn = 9,
1832 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY,
d4e6df63
PM
1833 .access = PL1_RW, .resetvalue = 0,
1834 .type = ARM_CP_CONST | ARM_CP_OVERRIDE | ARM_CP_NO_MIGRATE },
34f90529
PM
1835 REGINFO_SENTINEL
1836};
1837
c4241c7d 1838static uint64_t mpidr_read(CPUARMState *env, const ARMCPRegInfo *ri)
81bdde9d 1839{
55e5c285
AF
1840 CPUState *cs = CPU(arm_env_get_cpu(env));
1841 uint32_t mpidr = cs->cpu_index;
4b7fff2f
PM
1842 /* We don't support setting cluster ID ([8..11]) (known as Aff1
1843 * in later ARM ARM versions), or any of the higher affinity level fields,
81bdde9d
PM
1844 * so these bits always RAZ.
1845 */
1846 if (arm_feature(env, ARM_FEATURE_V7MP)) {
78dbbbe4 1847 mpidr |= (1U << 31);
81bdde9d
PM
1848 /* Cores which are uniprocessor (non-coherent)
1849 * but still implement the MP extensions set
1850 * bit 30. (For instance, A9UP.) However we do
1851 * not currently model any of those cores.
1852 */
1853 }
c4241c7d 1854 return mpidr;
81bdde9d
PM
1855}
1856
1857static const ARMCPRegInfo mpidr_cp_reginfo[] = {
4b7fff2f
PM
1858 { .name = "MPIDR", .state = ARM_CP_STATE_BOTH,
1859 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 5,
d4e6df63 1860 .access = PL1_R, .readfn = mpidr_read, .type = ARM_CP_NO_MIGRATE },
81bdde9d
PM
1861 REGINFO_SENTINEL
1862};
1863
7ac681cf 1864static const ARMCPRegInfo lpae_cp_reginfo[] = {
b90372ad 1865 /* NOP AMAIR0/1: the override is because these clash with the rather
7ac681cf
PM
1866 * broadly specified TLB_LOCKDOWN entry in the generic cp_reginfo.
1867 */
b0fe2427
PM
1868 { .name = "AMAIR0", .state = ARM_CP_STATE_BOTH,
1869 .opc0 = 3, .crn = 10, .crm = 3, .opc1 = 0, .opc2 = 0,
7ac681cf
PM
1870 .access = PL1_RW, .type = ARM_CP_CONST | ARM_CP_OVERRIDE,
1871 .resetvalue = 0 },
b0fe2427 1872 /* AMAIR1 is mapped to AMAIR_EL1[63:32] */
7ac681cf
PM
1873 { .name = "AMAIR1", .cp = 15, .crn = 10, .crm = 3, .opc1 = 0, .opc2 = 1,
1874 .access = PL1_RW, .type = ARM_CP_CONST | ARM_CP_OVERRIDE,
1875 .resetvalue = 0 },
891a2fe7
PM
1876 { .name = "PAR", .cp = 15, .crm = 7, .opc1 = 0,
1877 .access = PL1_RW, .type = ARM_CP_64BIT,
19525524 1878 .fieldoffset = offsetof(CPUARMState, cp15.par_el1), .resetvalue = 0 },
891a2fe7 1879 { .name = "TTBR0", .cp = 15, .crm = 2, .opc1 = 0,
327ed10f
PM
1880 .access = PL1_RW, .type = ARM_CP_64BIT | ARM_CP_NO_MIGRATE,
1881 .fieldoffset = offsetof(CPUARMState, cp15.ttbr0_el1),
1882 .writefn = vmsa_ttbr_write, .resetfn = arm_cp_reset_ignore },
891a2fe7 1883 { .name = "TTBR1", .cp = 15, .crm = 2, .opc1 = 1,
327ed10f
PM
1884 .access = PL1_RW, .type = ARM_CP_64BIT | ARM_CP_NO_MIGRATE,
1885 .fieldoffset = offsetof(CPUARMState, cp15.ttbr1_el1),
1886 .writefn = vmsa_ttbr_write, .resetfn = arm_cp_reset_ignore },
7ac681cf
PM
1887 REGINFO_SENTINEL
1888};
1889
c4241c7d 1890static uint64_t aa64_fpcr_read(CPUARMState *env, const ARMCPRegInfo *ri)
b0d2b7d0 1891{
c4241c7d 1892 return vfp_get_fpcr(env);
b0d2b7d0
PM
1893}
1894
c4241c7d
PM
1895static void aa64_fpcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1896 uint64_t value)
b0d2b7d0
PM
1897{
1898 vfp_set_fpcr(env, value);
b0d2b7d0
PM
1899}
1900
c4241c7d 1901static uint64_t aa64_fpsr_read(CPUARMState *env, const ARMCPRegInfo *ri)
b0d2b7d0 1902{
c4241c7d 1903 return vfp_get_fpsr(env);
b0d2b7d0
PM
1904}
1905
c4241c7d
PM
1906static void aa64_fpsr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1907 uint64_t value)
b0d2b7d0
PM
1908{
1909 vfp_set_fpsr(env, value);
b0d2b7d0
PM
1910}
1911
c2b820fe
PM
1912static CPAccessResult aa64_daif_access(CPUARMState *env, const ARMCPRegInfo *ri)
1913{
dcbff19b 1914 if (arm_current_el(env) == 0 && !(env->cp15.c1_sys & SCTLR_UMA)) {
c2b820fe
PM
1915 return CP_ACCESS_TRAP;
1916 }
1917 return CP_ACCESS_OK;
1918}
1919
1920static void aa64_daif_write(CPUARMState *env, const ARMCPRegInfo *ri,
1921 uint64_t value)
1922{
1923 env->daif = value & PSTATE_DAIF;
1924}
1925
8af35c37
PM
1926static CPAccessResult aa64_cacheop_access(CPUARMState *env,
1927 const ARMCPRegInfo *ri)
1928{
1929 /* Cache invalidate/clean: NOP, but EL0 must UNDEF unless
1930 * SCTLR_EL1.UCI is set.
1931 */
dcbff19b 1932 if (arm_current_el(env) == 0 && !(env->cp15.c1_sys & SCTLR_UCI)) {
8af35c37
PM
1933 return CP_ACCESS_TRAP;
1934 }
1935 return CP_ACCESS_OK;
1936}
1937
dbb1fb27
AB
1938/* See: D4.7.2 TLB maintenance requirements and the TLB maintenance instructions
1939 * Page D4-1736 (DDI0487A.b)
1940 */
1941
168aa23b
PM
1942static void tlbi_aa64_va_write(CPUARMState *env, const ARMCPRegInfo *ri,
1943 uint64_t value)
1944{
1945 /* Invalidate by VA (AArch64 version) */
31b030d4 1946 ARMCPU *cpu = arm_env_get_cpu(env);
dbb1fb27
AB
1947 uint64_t pageaddr = sextract64(value << 12, 0, 56);
1948
31b030d4 1949 tlb_flush_page(CPU(cpu), pageaddr);
168aa23b
PM
1950}
1951
1952static void tlbi_aa64_vaa_write(CPUARMState *env, const ARMCPRegInfo *ri,
1953 uint64_t value)
1954{
1955 /* Invalidate by VA, all ASIDs (AArch64 version) */
31b030d4 1956 ARMCPU *cpu = arm_env_get_cpu(env);
dbb1fb27
AB
1957 uint64_t pageaddr = sextract64(value << 12, 0, 56);
1958
31b030d4 1959 tlb_flush_page(CPU(cpu), pageaddr);
168aa23b
PM
1960}
1961
1962static void tlbi_aa64_asid_write(CPUARMState *env, const ARMCPRegInfo *ri,
1963 uint64_t value)
1964{
1965 /* Invalidate by ASID (AArch64 version) */
00c8cb0a 1966 ARMCPU *cpu = arm_env_get_cpu(env);
168aa23b 1967 int asid = extract64(value, 48, 16);
00c8cb0a 1968 tlb_flush(CPU(cpu), asid == 0);
168aa23b
PM
1969}
1970
fa439fc5
PM
1971static void tlbi_aa64_va_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
1972 uint64_t value)
1973{
1974 CPUState *other_cs;
1975 uint64_t pageaddr = sextract64(value << 12, 0, 56);
1976
1977 CPU_FOREACH(other_cs) {
1978 tlb_flush_page(other_cs, pageaddr);
1979 }
1980}
1981
1982static void tlbi_aa64_vaa_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
1983 uint64_t value)
1984{
1985 CPUState *other_cs;
1986 uint64_t pageaddr = sextract64(value << 12, 0, 56);
1987
1988 CPU_FOREACH(other_cs) {
1989 tlb_flush_page(other_cs, pageaddr);
1990 }
1991}
1992
1993static void tlbi_aa64_asid_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
1994 uint64_t value)
1995{
1996 CPUState *other_cs;
1997 int asid = extract64(value, 48, 16);
1998
1999 CPU_FOREACH(other_cs) {
2000 tlb_flush(other_cs, asid == 0);
2001 }
2002}
2003
aca3f40b
PM
2004static CPAccessResult aa64_zva_access(CPUARMState *env, const ARMCPRegInfo *ri)
2005{
2006 /* We don't implement EL2, so the only control on DC ZVA is the
2007 * bit in the SCTLR which can prohibit access for EL0.
2008 */
dcbff19b 2009 if (arm_current_el(env) == 0 && !(env->cp15.c1_sys & SCTLR_DZE)) {
aca3f40b
PM
2010 return CP_ACCESS_TRAP;
2011 }
2012 return CP_ACCESS_OK;
2013}
2014
2015static uint64_t aa64_dczid_read(CPUARMState *env, const ARMCPRegInfo *ri)
2016{
2017 ARMCPU *cpu = arm_env_get_cpu(env);
2018 int dzp_bit = 1 << 4;
2019
2020 /* DZP indicates whether DC ZVA access is allowed */
14e5f106 2021 if (aa64_zva_access(env, NULL) == CP_ACCESS_OK) {
aca3f40b
PM
2022 dzp_bit = 0;
2023 }
2024 return cpu->dcz_blocksize | dzp_bit;
2025}
2026
f502cfc2
PM
2027static CPAccessResult sp_el0_access(CPUARMState *env, const ARMCPRegInfo *ri)
2028{
cdcf1405 2029 if (!(env->pstate & PSTATE_SP)) {
f502cfc2
PM
2030 /* Access to SP_EL0 is undefined if it's being used as
2031 * the stack pointer.
2032 */
2033 return CP_ACCESS_TRAP_UNCATEGORIZED;
2034 }
2035 return CP_ACCESS_OK;
2036}
2037
2038static uint64_t spsel_read(CPUARMState *env, const ARMCPRegInfo *ri)
2039{
2040 return env->pstate & PSTATE_SP;
2041}
2042
2043static void spsel_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t val)
2044{
2045 update_spsel(env, val);
2046}
2047
b0d2b7d0
PM
2048static const ARMCPRegInfo v8_cp_reginfo[] = {
2049 /* Minimal set of EL0-visible registers. This will need to be expanded
2050 * significantly for system emulation of AArch64 CPUs.
2051 */
2052 { .name = "NZCV", .state = ARM_CP_STATE_AA64,
2053 .opc0 = 3, .opc1 = 3, .opc2 = 0, .crn = 4, .crm = 2,
2054 .access = PL0_RW, .type = ARM_CP_NZCV },
c2b820fe
PM
2055 { .name = "DAIF", .state = ARM_CP_STATE_AA64,
2056 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 4, .crm = 2,
2057 .type = ARM_CP_NO_MIGRATE,
2058 .access = PL0_RW, .accessfn = aa64_daif_access,
2059 .fieldoffset = offsetof(CPUARMState, daif),
2060 .writefn = aa64_daif_write, .resetfn = arm_cp_reset_ignore },
b0d2b7d0
PM
2061 { .name = "FPCR", .state = ARM_CP_STATE_AA64,
2062 .opc0 = 3, .opc1 = 3, .opc2 = 0, .crn = 4, .crm = 4,
2063 .access = PL0_RW, .readfn = aa64_fpcr_read, .writefn = aa64_fpcr_write },
2064 { .name = "FPSR", .state = ARM_CP_STATE_AA64,
2065 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 4, .crm = 4,
2066 .access = PL0_RW, .readfn = aa64_fpsr_read, .writefn = aa64_fpsr_write },
b0d2b7d0
PM
2067 { .name = "DCZID_EL0", .state = ARM_CP_STATE_AA64,
2068 .opc0 = 3, .opc1 = 3, .opc2 = 7, .crn = 0, .crm = 0,
aca3f40b
PM
2069 .access = PL0_R, .type = ARM_CP_NO_MIGRATE,
2070 .readfn = aa64_dczid_read },
2071 { .name = "DC_ZVA", .state = ARM_CP_STATE_AA64,
2072 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 4, .opc2 = 1,
2073 .access = PL0_W, .type = ARM_CP_DC_ZVA,
2074#ifndef CONFIG_USER_ONLY
2075 /* Avoid overhead of an access check that always passes in user-mode */
2076 .accessfn = aa64_zva_access,
2077#endif
2078 },
0eef9d98
PM
2079 { .name = "CURRENTEL", .state = ARM_CP_STATE_AA64,
2080 .opc0 = 3, .opc1 = 0, .opc2 = 2, .crn = 4, .crm = 2,
2081 .access = PL1_R, .type = ARM_CP_CURRENTEL },
8af35c37
PM
2082 /* Cache ops: all NOPs since we don't emulate caches */
2083 { .name = "IC_IALLUIS", .state = ARM_CP_STATE_AA64,
2084 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 0,
2085 .access = PL1_W, .type = ARM_CP_NOP },
2086 { .name = "IC_IALLU", .state = ARM_CP_STATE_AA64,
2087 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 0,
2088 .access = PL1_W, .type = ARM_CP_NOP },
2089 { .name = "IC_IVAU", .state = ARM_CP_STATE_AA64,
2090 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 5, .opc2 = 1,
2091 .access = PL0_W, .type = ARM_CP_NOP,
2092 .accessfn = aa64_cacheop_access },
2093 { .name = "DC_IVAC", .state = ARM_CP_STATE_AA64,
2094 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 1,
2095 .access = PL1_W, .type = ARM_CP_NOP },
2096 { .name = "DC_ISW", .state = ARM_CP_STATE_AA64,
2097 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 2,
2098 .access = PL1_W, .type = ARM_CP_NOP },
2099 { .name = "DC_CVAC", .state = ARM_CP_STATE_AA64,
2100 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 10, .opc2 = 1,
2101 .access = PL0_W, .type = ARM_CP_NOP,
2102 .accessfn = aa64_cacheop_access },
2103 { .name = "DC_CSW", .state = ARM_CP_STATE_AA64,
2104 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 2,
2105 .access = PL1_W, .type = ARM_CP_NOP },
2106 { .name = "DC_CVAU", .state = ARM_CP_STATE_AA64,
2107 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 11, .opc2 = 1,
2108 .access = PL0_W, .type = ARM_CP_NOP,
2109 .accessfn = aa64_cacheop_access },
2110 { .name = "DC_CIVAC", .state = ARM_CP_STATE_AA64,
2111 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 14, .opc2 = 1,
2112 .access = PL0_W, .type = ARM_CP_NOP,
2113 .accessfn = aa64_cacheop_access },
2114 { .name = "DC_CISW", .state = ARM_CP_STATE_AA64,
2115 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 2,
2116 .access = PL1_W, .type = ARM_CP_NOP },
168aa23b
PM
2117 /* TLBI operations */
2118 { .name = "TLBI_VMALLE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2119 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 0,
168aa23b 2120 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2121 .writefn = tlbiall_is_write },
168aa23b 2122 { .name = "TLBI_VAE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2123 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 1,
168aa23b 2124 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2125 .writefn = tlbi_aa64_va_is_write },
168aa23b 2126 { .name = "TLBI_ASIDE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2127 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 2,
168aa23b 2128 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2129 .writefn = tlbi_aa64_asid_is_write },
168aa23b 2130 { .name = "TLBI_VAAE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2131 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 3,
168aa23b 2132 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2133 .writefn = tlbi_aa64_vaa_is_write },
168aa23b 2134 { .name = "TLBI_VALE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2135 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 5,
168aa23b 2136 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2137 .writefn = tlbi_aa64_va_is_write },
168aa23b 2138 { .name = "TLBI_VAALE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 2139 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 7,
168aa23b 2140 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
fa439fc5 2141 .writefn = tlbi_aa64_vaa_is_write },
168aa23b 2142 { .name = "TLBI_VMALLE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2143 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 0,
168aa23b
PM
2144 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2145 .writefn = tlbiall_write },
2146 { .name = "TLBI_VAE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2147 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 1,
168aa23b
PM
2148 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2149 .writefn = tlbi_aa64_va_write },
2150 { .name = "TLBI_ASIDE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2151 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 2,
168aa23b
PM
2152 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2153 .writefn = tlbi_aa64_asid_write },
2154 { .name = "TLBI_VAAE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2155 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 3,
168aa23b
PM
2156 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2157 .writefn = tlbi_aa64_vaa_write },
2158 { .name = "TLBI_VALE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2159 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 5,
168aa23b
PM
2160 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2161 .writefn = tlbi_aa64_va_write },
2162 { .name = "TLBI_VAALE1", .state = ARM_CP_STATE_AA64,
6ab9f499 2163 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 7,
168aa23b
PM
2164 .access = PL1_W, .type = ARM_CP_NO_MIGRATE,
2165 .writefn = tlbi_aa64_vaa_write },
19525524
PM
2166#ifndef CONFIG_USER_ONLY
2167 /* 64 bit address translation operations */
2168 { .name = "AT_S1E1R", .state = ARM_CP_STATE_AA64,
2169 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 0,
2170 .access = PL1_W, .type = ARM_CP_NO_MIGRATE, .writefn = ats_write },
2171 { .name = "AT_S1E1W", .state = ARM_CP_STATE_AA64,
2172 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 1,
2173 .access = PL1_W, .type = ARM_CP_NO_MIGRATE, .writefn = ats_write },
2174 { .name = "AT_S1E0R", .state = ARM_CP_STATE_AA64,
2175 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 2,
2176 .access = PL1_W, .type = ARM_CP_NO_MIGRATE, .writefn = ats_write },
2177 { .name = "AT_S1E0W", .state = ARM_CP_STATE_AA64,
2178 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 3,
2179 .access = PL1_W, .type = ARM_CP_NO_MIGRATE, .writefn = ats_write },
2180#endif
995939a6 2181 /* TLB invalidate last level of translation table walk */
9449fdf6 2182 { .name = "TLBIMVALIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 5,
fa439fc5 2183 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_is_write },
9449fdf6 2184 { .name = "TLBIMVAALIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 7,
fa439fc5
PM
2185 .type = ARM_CP_NO_MIGRATE, .access = PL1_W,
2186 .writefn = tlbimvaa_is_write },
9449fdf6
PM
2187 { .name = "TLBIMVAL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 5,
2188 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimva_write },
2189 { .name = "TLBIMVAAL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 7,
2190 .type = ARM_CP_NO_MIGRATE, .access = PL1_W, .writefn = tlbimvaa_write },
2191 /* 32 bit cache operations */
2192 { .name = "ICIALLUIS", .cp = 15, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 0,
2193 .type = ARM_CP_NOP, .access = PL1_W },
2194 { .name = "BPIALLUIS", .cp = 15, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 6,
2195 .type = ARM_CP_NOP, .access = PL1_W },
2196 { .name = "ICIALLU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 0,
2197 .type = ARM_CP_NOP, .access = PL1_W },
2198 { .name = "ICIMVAU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 1,
2199 .type = ARM_CP_NOP, .access = PL1_W },
2200 { .name = "BPIALL", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 6,
2201 .type = ARM_CP_NOP, .access = PL1_W },
2202 { .name = "BPIMVA", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 7,
2203 .type = ARM_CP_NOP, .access = PL1_W },
2204 { .name = "DCIMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 1,
2205 .type = ARM_CP_NOP, .access = PL1_W },
2206 { .name = "DCISW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 2,
2207 .type = ARM_CP_NOP, .access = PL1_W },
2208 { .name = "DCCMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 1,
2209 .type = ARM_CP_NOP, .access = PL1_W },
2210 { .name = "DCCSW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 2,
2211 .type = ARM_CP_NOP, .access = PL1_W },
2212 { .name = "DCCMVAU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 11, .opc2 = 1,
2213 .type = ARM_CP_NOP, .access = PL1_W },
2214 { .name = "DCCIMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 1,
2215 .type = ARM_CP_NOP, .access = PL1_W },
2216 { .name = "DCCISW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 2,
2217 .type = ARM_CP_NOP, .access = PL1_W },
2218 /* MMU Domain access control / MPU write buffer control */
2219 { .name = "DACR", .cp = 15,
2220 .opc1 = 0, .crn = 3, .crm = 0, .opc2 = 0,
2221 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c3),
2222 .resetvalue = 0, .writefn = dacr_write, .raw_writefn = raw_write, },
a0618a19
PM
2223 { .name = "ELR_EL1", .state = ARM_CP_STATE_AA64,
2224 .type = ARM_CP_NO_MIGRATE,
2225 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 0, .opc2 = 1,
6947f059
EI
2226 .access = PL1_RW,
2227 .fieldoffset = offsetof(CPUARMState, elr_el[1]) },
a65f1de9
PM
2228 { .name = "SPSR_EL1", .state = ARM_CP_STATE_AA64,
2229 .type = ARM_CP_NO_MIGRATE,
2230 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 0, .opc2 = 0,
2231 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, banked_spsr[0]) },
f502cfc2
PM
2232 /* We rely on the access checks not allowing the guest to write to the
2233 * state field when SPSel indicates that it's being used as the stack
2234 * pointer.
2235 */
2236 { .name = "SP_EL0", .state = ARM_CP_STATE_AA64,
2237 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 1, .opc2 = 0,
2238 .access = PL1_RW, .accessfn = sp_el0_access,
2239 .type = ARM_CP_NO_MIGRATE,
2240 .fieldoffset = offsetof(CPUARMState, sp_el[0]) },
2241 { .name = "SPSel", .state = ARM_CP_STATE_AA64,
2242 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 2, .opc2 = 0,
2243 .type = ARM_CP_NO_MIGRATE,
2244 .access = PL1_RW, .readfn = spsel_read, .writefn = spsel_write },
b0d2b7d0
PM
2245 REGINFO_SENTINEL
2246};
2247
d42e3c26
EI
2248/* Used to describe the behaviour of EL2 regs when EL2 does not exist. */
2249static const ARMCPRegInfo v8_el3_no_el2_cp_reginfo[] = {
2250 { .name = "VBAR_EL2", .state = ARM_CP_STATE_AA64,
2251 .opc0 = 3, .opc1 = 4, .crn = 12, .crm = 0, .opc2 = 0,
2252 .access = PL2_RW,
2253 .readfn = arm_cp_read_zero, .writefn = arm_cp_write_ignore },
f149e3e8
EI
2254 { .name = "HCR_EL2", .state = ARM_CP_STATE_AA64,
2255 .type = ARM_CP_NO_MIGRATE,
2256 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 0,
2257 .access = PL2_RW,
2258 .readfn = arm_cp_read_zero, .writefn = arm_cp_write_ignore },
d42e3c26
EI
2259 REGINFO_SENTINEL
2260};
2261
f149e3e8
EI
2262static void hcr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
2263{
2264 ARMCPU *cpu = arm_env_get_cpu(env);
2265 uint64_t valid_mask = HCR_MASK;
2266
2267 if (arm_feature(env, ARM_FEATURE_EL3)) {
2268 valid_mask &= ~HCR_HCD;
2269 } else {
2270 valid_mask &= ~HCR_TSC;
2271 }
2272
2273 /* Clear RES0 bits. */
2274 value &= valid_mask;
2275
2276 /* These bits change the MMU setup:
2277 * HCR_VM enables stage 2 translation
2278 * HCR_PTW forbids certain page-table setups
2279 * HCR_DC Disables stage1 and enables stage2 translation
2280 */
2281 if ((raw_read(env, ri) ^ value) & (HCR_VM | HCR_PTW | HCR_DC)) {
2282 tlb_flush(CPU(cpu), 1);
2283 }
2284 raw_write(env, ri, value);
2285}
2286
3b685ba7 2287static const ARMCPRegInfo v8_el2_cp_reginfo[] = {
f149e3e8
EI
2288 { .name = "HCR_EL2", .state = ARM_CP_STATE_AA64,
2289 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 0,
2290 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.hcr_el2),
2291 .writefn = hcr_write },
3b685ba7
EI
2292 { .name = "ELR_EL2", .state = ARM_CP_STATE_AA64,
2293 .type = ARM_CP_NO_MIGRATE,
2294 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 0, .opc2 = 1,
2295 .access = PL2_RW,
2296 .fieldoffset = offsetof(CPUARMState, elr_el[2]) },
f2c30f42
EI
2297 { .name = "ESR_EL2", .state = ARM_CP_STATE_AA64,
2298 .type = ARM_CP_NO_MIGRATE,
2299 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 2, .opc2 = 0,
2300 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.esr_el[2]) },
63b60551
EI
2301 { .name = "FAR_EL2", .state = ARM_CP_STATE_AA64,
2302 .opc0 = 3, .opc1 = 4, .crn = 6, .crm = 0, .opc2 = 0,
2303 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[2]) },
3b685ba7
EI
2304 { .name = "SPSR_EL2", .state = ARM_CP_STATE_AA64,
2305 .type = ARM_CP_NO_MIGRATE,
2306 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 0, .opc2 = 0,
2307 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, banked_spsr[6]) },
d42e3c26
EI
2308 { .name = "VBAR_EL2", .state = ARM_CP_STATE_AA64,
2309 .opc0 = 3, .opc1 = 4, .crn = 12, .crm = 0, .opc2 = 0,
2310 .access = PL2_RW, .writefn = vbar_write,
2311 .fieldoffset = offsetof(CPUARMState, cp15.vbar_el[2]),
2312 .resetvalue = 0 },
3b685ba7
EI
2313 REGINFO_SENTINEL
2314};
2315
81547d66
EI
2316static const ARMCPRegInfo v8_el3_cp_reginfo[] = {
2317 { .name = "ELR_EL3", .state = ARM_CP_STATE_AA64,
2318 .type = ARM_CP_NO_MIGRATE,
2319 .opc0 = 3, .opc1 = 6, .crn = 4, .crm = 0, .opc2 = 1,
2320 .access = PL3_RW,
2321 .fieldoffset = offsetof(CPUARMState, elr_el[3]) },
f2c30f42
EI
2322 { .name = "ESR_EL3", .state = ARM_CP_STATE_AA64,
2323 .type = ARM_CP_NO_MIGRATE,
2324 .opc0 = 3, .opc1 = 6, .crn = 5, .crm = 2, .opc2 = 0,
2325 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.esr_el[3]) },
63b60551
EI
2326 { .name = "FAR_EL3", .state = ARM_CP_STATE_AA64,
2327 .opc0 = 3, .opc1 = 6, .crn = 6, .crm = 0, .opc2 = 0,
2328 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[3]) },
81547d66
EI
2329 { .name = "SPSR_EL3", .state = ARM_CP_STATE_AA64,
2330 .type = ARM_CP_NO_MIGRATE,
2331 .opc0 = 3, .opc1 = 6, .crn = 4, .crm = 0, .opc2 = 0,
2332 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, banked_spsr[7]) },
a1ba125c
EI
2333 { .name = "VBAR_EL3", .state = ARM_CP_STATE_AA64,
2334 .opc0 = 3, .opc1 = 6, .crn = 12, .crm = 0, .opc2 = 0,
2335 .access = PL3_RW, .writefn = vbar_write,
2336 .fieldoffset = offsetof(CPUARMState, cp15.vbar_el[3]),
2337 .resetvalue = 0 },
64e0e2de
EI
2338 { .name = "SCR_EL3", .state = ARM_CP_STATE_AA64,
2339 .type = ARM_CP_NO_MIGRATE,
2340 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 1, .opc2 = 0,
2341 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.scr_el3),
2342 .writefn = scr_write },
81547d66
EI
2343 REGINFO_SENTINEL
2344};
2345
c4241c7d
PM
2346static void sctlr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2347 uint64_t value)
2771db27 2348{
00c8cb0a
AF
2349 ARMCPU *cpu = arm_env_get_cpu(env);
2350
8d5c773e 2351 if (raw_read(env, ri) == value) {
2f0d8631
PM
2352 /* Skip the TLB flush if nothing actually changed; Linux likes
2353 * to do a lot of pointless SCTLR writes.
2354 */
2355 return;
2356 }
2357
8d5c773e 2358 raw_write(env, ri, value);
2771db27
PM
2359 /* ??? Lots of these bits are not implemented. */
2360 /* This may enable/disable the MMU, so do a TLB flush. */
00c8cb0a 2361 tlb_flush(CPU(cpu), 1);
2771db27
PM
2362}
2363
7da845b0
PM
2364static CPAccessResult ctr_el0_access(CPUARMState *env, const ARMCPRegInfo *ri)
2365{
2366 /* Only accessible in EL0 if SCTLR.UCT is set (and only in AArch64,
2367 * but the AArch32 CTR has its own reginfo struct)
2368 */
dcbff19b 2369 if (arm_current_el(env) == 0 && !(env->cp15.c1_sys & SCTLR_UCT)) {
7da845b0
PM
2370 return CP_ACCESS_TRAP;
2371 }
2372 return CP_ACCESS_OK;
2373}
2374
50300698 2375static const ARMCPRegInfo debug_cp_reginfo[] = {
50300698 2376 /* DBGDRAR, DBGDSAR: always RAZ since we don't implement memory mapped
10aae104
PM
2377 * debug components. The AArch64 version of DBGDRAR is named MDRAR_EL1;
2378 * unlike DBGDRAR it is never accessible from EL0.
2379 * DBGDSAR is deprecated and must RAZ from v8 anyway, so it has no AArch64
2380 * accessor.
50300698
PM
2381 */
2382 { .name = "DBGDRAR", .cp = 14, .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 0,
2383 .access = PL0_R, .type = ARM_CP_CONST, .resetvalue = 0 },
10aae104
PM
2384 { .name = "MDRAR_EL1", .state = ARM_CP_STATE_AA64,
2385 .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 0,
2386 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
50300698
PM
2387 { .name = "DBGDSAR", .cp = 14, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 0,
2388 .access = PL0_R, .type = ARM_CP_CONST, .resetvalue = 0 },
17a9eb53 2389 /* Monitor debug system control register; the 32-bit alias is DBGDSCRext. */
10aae104
PM
2390 { .name = "MDSCR_EL1", .state = ARM_CP_STATE_BOTH,
2391 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 2,
0e5e8935
PM
2392 .access = PL1_RW,
2393 .fieldoffset = offsetof(CPUARMState, cp15.mdscr_el1),
2394 .resetvalue = 0 },
5e8b12ff
PM
2395 /* MDCCSR_EL0, aka DBGDSCRint. This is a read-only mirror of MDSCR_EL1.
2396 * We don't implement the configurable EL0 access.
2397 */
2398 { .name = "MDCCSR_EL0", .state = ARM_CP_STATE_BOTH,
2399 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 0,
2400 .type = ARM_CP_NO_MIGRATE,
2401 .access = PL1_R,
2402 .fieldoffset = offsetof(CPUARMState, cp15.mdscr_el1),
2403 .resetfn = arm_cp_reset_ignore },
50300698 2404 /* We define a dummy WI OSLAR_EL1, because Linux writes to it. */
10aae104
PM
2405 { .name = "OSLAR_EL1", .state = ARM_CP_STATE_BOTH,
2406 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 4,
50300698 2407 .access = PL1_W, .type = ARM_CP_NOP },
5e8b12ff
PM
2408 /* Dummy OSDLR_EL1: 32-bit Linux will read this */
2409 { .name = "OSDLR_EL1", .state = ARM_CP_STATE_BOTH,
2410 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 3, .opc2 = 4,
2411 .access = PL1_RW, .type = ARM_CP_NOP },
2412 /* Dummy DBGVCR: Linux wants to clear this on startup, but we don't
2413 * implement vector catch debug events yet.
2414 */
2415 { .name = "DBGVCR",
2416 .cp = 14, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 0,
2417 .access = PL1_RW, .type = ARM_CP_NOP },
50300698
PM
2418 REGINFO_SENTINEL
2419};
2420
2421static const ARMCPRegInfo debug_lpae_cp_reginfo[] = {
2422 /* 64 bit access versions of the (dummy) debug registers */
2423 { .name = "DBGDRAR", .cp = 14, .crm = 1, .opc1 = 0,
2424 .access = PL0_R, .type = ARM_CP_CONST|ARM_CP_64BIT, .resetvalue = 0 },
2425 { .name = "DBGDSAR", .cp = 14, .crm = 2, .opc1 = 0,
2426 .access = PL0_R, .type = ARM_CP_CONST|ARM_CP_64BIT, .resetvalue = 0 },
2427 REGINFO_SENTINEL
2428};
2429
9ee98ce8
PM
2430void hw_watchpoint_update(ARMCPU *cpu, int n)
2431{
2432 CPUARMState *env = &cpu->env;
2433 vaddr len = 0;
2434 vaddr wvr = env->cp15.dbgwvr[n];
2435 uint64_t wcr = env->cp15.dbgwcr[n];
2436 int mask;
2437 int flags = BP_CPU | BP_STOP_BEFORE_ACCESS;
2438
2439 if (env->cpu_watchpoint[n]) {
2440 cpu_watchpoint_remove_by_ref(CPU(cpu), env->cpu_watchpoint[n]);
2441 env->cpu_watchpoint[n] = NULL;
2442 }
2443
2444 if (!extract64(wcr, 0, 1)) {
2445 /* E bit clear : watchpoint disabled */
2446 return;
2447 }
2448
2449 switch (extract64(wcr, 3, 2)) {
2450 case 0:
2451 /* LSC 00 is reserved and must behave as if the wp is disabled */
2452 return;
2453 case 1:
2454 flags |= BP_MEM_READ;
2455 break;
2456 case 2:
2457 flags |= BP_MEM_WRITE;
2458 break;
2459 case 3:
2460 flags |= BP_MEM_ACCESS;
2461 break;
2462 }
2463
2464 /* Attempts to use both MASK and BAS fields simultaneously are
2465 * CONSTRAINED UNPREDICTABLE; we opt to ignore BAS in this case,
2466 * thus generating a watchpoint for every byte in the masked region.
2467 */
2468 mask = extract64(wcr, 24, 4);
2469 if (mask == 1 || mask == 2) {
2470 /* Reserved values of MASK; we must act as if the mask value was
2471 * some non-reserved value, or as if the watchpoint were disabled.
2472 * We choose the latter.
2473 */
2474 return;
2475 } else if (mask) {
2476 /* Watchpoint covers an aligned area up to 2GB in size */
2477 len = 1ULL << mask;
2478 /* If masked bits in WVR are not zero it's CONSTRAINED UNPREDICTABLE
2479 * whether the watchpoint fires when the unmasked bits match; we opt
2480 * to generate the exceptions.
2481 */
2482 wvr &= ~(len - 1);
2483 } else {
2484 /* Watchpoint covers bytes defined by the byte address select bits */
2485 int bas = extract64(wcr, 5, 8);
2486 int basstart;
2487
2488 if (bas == 0) {
2489 /* This must act as if the watchpoint is disabled */
2490 return;
2491 }
2492
2493 if (extract64(wvr, 2, 1)) {
2494 /* Deprecated case of an only 4-aligned address. BAS[7:4] are
2495 * ignored, and BAS[3:0] define which bytes to watch.
2496 */
2497 bas &= 0xf;
2498 }
2499 /* The BAS bits are supposed to be programmed to indicate a contiguous
2500 * range of bytes. Otherwise it is CONSTRAINED UNPREDICTABLE whether
2501 * we fire for each byte in the word/doubleword addressed by the WVR.
2502 * We choose to ignore any non-zero bits after the first range of 1s.
2503 */
2504 basstart = ctz32(bas);
2505 len = cto32(bas >> basstart);
2506 wvr += basstart;
2507 }
2508
2509 cpu_watchpoint_insert(CPU(cpu), wvr, len, flags,
2510 &env->cpu_watchpoint[n]);
2511}
2512
2513void hw_watchpoint_update_all(ARMCPU *cpu)
2514{
2515 int i;
2516 CPUARMState *env = &cpu->env;
2517
2518 /* Completely clear out existing QEMU watchpoints and our array, to
2519 * avoid possible stale entries following migration load.
2520 */
2521 cpu_watchpoint_remove_all(CPU(cpu), BP_CPU);
2522 memset(env->cpu_watchpoint, 0, sizeof(env->cpu_watchpoint));
2523
2524 for (i = 0; i < ARRAY_SIZE(cpu->env.cpu_watchpoint); i++) {
2525 hw_watchpoint_update(cpu, i);
2526 }
2527}
2528
2529static void dbgwvr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2530 uint64_t value)
2531{
2532 ARMCPU *cpu = arm_env_get_cpu(env);
2533 int i = ri->crm;
2534
2535 /* Bits [63:49] are hardwired to the value of bit [48]; that is, the
2536 * register reads and behaves as if values written are sign extended.
2537 * Bits [1:0] are RES0.
2538 */
2539 value = sextract64(value, 0, 49) & ~3ULL;
2540
2541 raw_write(env, ri, value);
2542 hw_watchpoint_update(cpu, i);
2543}
2544
2545static void dbgwcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2546 uint64_t value)
2547{
2548 ARMCPU *cpu = arm_env_get_cpu(env);
2549 int i = ri->crm;
2550
2551 raw_write(env, ri, value);
2552 hw_watchpoint_update(cpu, i);
2553}
2554
46747d15
PM
2555void hw_breakpoint_update(ARMCPU *cpu, int n)
2556{
2557 CPUARMState *env = &cpu->env;
2558 uint64_t bvr = env->cp15.dbgbvr[n];
2559 uint64_t bcr = env->cp15.dbgbcr[n];
2560 vaddr addr;
2561 int bt;
2562 int flags = BP_CPU;
2563
2564 if (env->cpu_breakpoint[n]) {
2565 cpu_breakpoint_remove_by_ref(CPU(cpu), env->cpu_breakpoint[n]);
2566 env->cpu_breakpoint[n] = NULL;
2567 }
2568
2569 if (!extract64(bcr, 0, 1)) {
2570 /* E bit clear : watchpoint disabled */
2571 return;
2572 }
2573
2574 bt = extract64(bcr, 20, 4);
2575
2576 switch (bt) {
2577 case 4: /* unlinked address mismatch (reserved if AArch64) */
2578 case 5: /* linked address mismatch (reserved if AArch64) */
2579 qemu_log_mask(LOG_UNIMP,
2580 "arm: address mismatch breakpoint types not implemented");
2581 return;
2582 case 0: /* unlinked address match */
2583 case 1: /* linked address match */
2584 {
2585 /* Bits [63:49] are hardwired to the value of bit [48]; that is,
2586 * we behave as if the register was sign extended. Bits [1:0] are
2587 * RES0. The BAS field is used to allow setting breakpoints on 16
2588 * bit wide instructions; it is CONSTRAINED UNPREDICTABLE whether
2589 * a bp will fire if the addresses covered by the bp and the addresses
2590 * covered by the insn overlap but the insn doesn't start at the
2591 * start of the bp address range. We choose to require the insn and
2592 * the bp to have the same address. The constraints on writing to
2593 * BAS enforced in dbgbcr_write mean we have only four cases:
2594 * 0b0000 => no breakpoint
2595 * 0b0011 => breakpoint on addr
2596 * 0b1100 => breakpoint on addr + 2
2597 * 0b1111 => breakpoint on addr
2598 * See also figure D2-3 in the v8 ARM ARM (DDI0487A.c).
2599 */
2600 int bas = extract64(bcr, 5, 4);
2601 addr = sextract64(bvr, 0, 49) & ~3ULL;
2602 if (bas == 0) {
2603 return;
2604 }
2605 if (bas == 0xc) {
2606 addr += 2;
2607 }
2608 break;
2609 }
2610 case 2: /* unlinked context ID match */
2611 case 8: /* unlinked VMID match (reserved if no EL2) */
2612 case 10: /* unlinked context ID and VMID match (reserved if no EL2) */
2613 qemu_log_mask(LOG_UNIMP,
2614 "arm: unlinked context breakpoint types not implemented");
2615 return;
2616 case 9: /* linked VMID match (reserved if no EL2) */
2617 case 11: /* linked context ID and VMID match (reserved if no EL2) */
2618 case 3: /* linked context ID match */
2619 default:
2620 /* We must generate no events for Linked context matches (unless
2621 * they are linked to by some other bp/wp, which is handled in
2622 * updates for the linking bp/wp). We choose to also generate no events
2623 * for reserved values.
2624 */
2625 return;
2626 }
2627
2628 cpu_breakpoint_insert(CPU(cpu), addr, flags, &env->cpu_breakpoint[n]);
2629}
2630
2631void hw_breakpoint_update_all(ARMCPU *cpu)
2632{
2633 int i;
2634 CPUARMState *env = &cpu->env;
2635
2636 /* Completely clear out existing QEMU breakpoints and our array, to
2637 * avoid possible stale entries following migration load.
2638 */
2639 cpu_breakpoint_remove_all(CPU(cpu), BP_CPU);
2640 memset(env->cpu_breakpoint, 0, sizeof(env->cpu_breakpoint));
2641
2642 for (i = 0; i < ARRAY_SIZE(cpu->env.cpu_breakpoint); i++) {
2643 hw_breakpoint_update(cpu, i);
2644 }
2645}
2646
2647static void dbgbvr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2648 uint64_t value)
2649{
2650 ARMCPU *cpu = arm_env_get_cpu(env);
2651 int i = ri->crm;
2652
2653 raw_write(env, ri, value);
2654 hw_breakpoint_update(cpu, i);
2655}
2656
2657static void dbgbcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2658 uint64_t value)
2659{
2660 ARMCPU *cpu = arm_env_get_cpu(env);
2661 int i = ri->crm;
2662
2663 /* BAS[3] is a read-only copy of BAS[2], and BAS[1] a read-only
2664 * copy of BAS[0].
2665 */
2666 value = deposit64(value, 6, 1, extract64(value, 5, 1));
2667 value = deposit64(value, 8, 1, extract64(value, 7, 1));
2668
2669 raw_write(env, ri, value);
2670 hw_breakpoint_update(cpu, i);
2671}
2672
50300698 2673static void define_debug_regs(ARMCPU *cpu)
0b45451e 2674{
50300698
PM
2675 /* Define v7 and v8 architectural debug registers.
2676 * These are just dummy implementations for now.
0b45451e
PM
2677 */
2678 int i;
3ff6fc91 2679 int wrps, brps, ctx_cmps;
48eb3ae6
PM
2680 ARMCPRegInfo dbgdidr = {
2681 .name = "DBGDIDR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 0,
2682 .access = PL0_R, .type = ARM_CP_CONST, .resetvalue = cpu->dbgdidr,
2683 };
2684
3ff6fc91 2685 /* Note that all these register fields hold "number of Xs minus 1". */
48eb3ae6
PM
2686 brps = extract32(cpu->dbgdidr, 24, 4);
2687 wrps = extract32(cpu->dbgdidr, 28, 4);
3ff6fc91
PM
2688 ctx_cmps = extract32(cpu->dbgdidr, 20, 4);
2689
2690 assert(ctx_cmps <= brps);
48eb3ae6
PM
2691
2692 /* The DBGDIDR and ID_AA64DFR0_EL1 define various properties
2693 * of the debug registers such as number of breakpoints;
2694 * check that if they both exist then they agree.
2695 */
2696 if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64)) {
2697 assert(extract32(cpu->id_aa64dfr0, 12, 4) == brps);
2698 assert(extract32(cpu->id_aa64dfr0, 20, 4) == wrps);
3ff6fc91 2699 assert(extract32(cpu->id_aa64dfr0, 28, 4) == ctx_cmps);
48eb3ae6 2700 }
0b45451e 2701
48eb3ae6 2702 define_one_arm_cp_reg(cpu, &dbgdidr);
50300698
PM
2703 define_arm_cp_regs(cpu, debug_cp_reginfo);
2704
2705 if (arm_feature(&cpu->env, ARM_FEATURE_LPAE)) {
2706 define_arm_cp_regs(cpu, debug_lpae_cp_reginfo);
2707 }
2708
48eb3ae6 2709 for (i = 0; i < brps + 1; i++) {
0b45451e 2710 ARMCPRegInfo dbgregs[] = {
10aae104
PM
2711 { .name = "DBGBVR", .state = ARM_CP_STATE_BOTH,
2712 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 4,
0b45451e 2713 .access = PL1_RW,
46747d15
PM
2714 .fieldoffset = offsetof(CPUARMState, cp15.dbgbvr[i]),
2715 .writefn = dbgbvr_write, .raw_writefn = raw_write
2716 },
10aae104
PM
2717 { .name = "DBGBCR", .state = ARM_CP_STATE_BOTH,
2718 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 5,
0b45451e 2719 .access = PL1_RW,
46747d15
PM
2720 .fieldoffset = offsetof(CPUARMState, cp15.dbgbcr[i]),
2721 .writefn = dbgbcr_write, .raw_writefn = raw_write
2722 },
48eb3ae6
PM
2723 REGINFO_SENTINEL
2724 };
2725 define_arm_cp_regs(cpu, dbgregs);
2726 }
2727
2728 for (i = 0; i < wrps + 1; i++) {
2729 ARMCPRegInfo dbgregs[] = {
10aae104
PM
2730 { .name = "DBGWVR", .state = ARM_CP_STATE_BOTH,
2731 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 6,
0b45451e 2732 .access = PL1_RW,
9ee98ce8
PM
2733 .fieldoffset = offsetof(CPUARMState, cp15.dbgwvr[i]),
2734 .writefn = dbgwvr_write, .raw_writefn = raw_write
2735 },
10aae104
PM
2736 { .name = "DBGWCR", .state = ARM_CP_STATE_BOTH,
2737 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 7,
0b45451e 2738 .access = PL1_RW,
9ee98ce8
PM
2739 .fieldoffset = offsetof(CPUARMState, cp15.dbgwcr[i]),
2740 .writefn = dbgwcr_write, .raw_writefn = raw_write
2741 },
2742 REGINFO_SENTINEL
0b45451e
PM
2743 };
2744 define_arm_cp_regs(cpu, dbgregs);
2745 }
2746}
2747
2ceb98c0
PM
2748void register_cp_regs_for_features(ARMCPU *cpu)
2749{
2750 /* Register all the coprocessor registers based on feature bits */
2751 CPUARMState *env = &cpu->env;
2752 if (arm_feature(env, ARM_FEATURE_M)) {
2753 /* M profile has no coprocessor registers */
2754 return;
2755 }
2756
e9aa6c21 2757 define_arm_cp_regs(cpu, cp_reginfo);
9449fdf6
PM
2758 if (!arm_feature(env, ARM_FEATURE_V8)) {
2759 /* Must go early as it is full of wildcards that may be
2760 * overridden by later definitions.
2761 */
2762 define_arm_cp_regs(cpu, not_v8_cp_reginfo);
2763 }
2764
7d57f408 2765 if (arm_feature(env, ARM_FEATURE_V6)) {
8515a092
PM
2766 /* The ID registers all have impdef reset values */
2767 ARMCPRegInfo v6_idregs[] = {
0ff644a7
PM
2768 { .name = "ID_PFR0", .state = ARM_CP_STATE_BOTH,
2769 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 0,
2770 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2771 .resetvalue = cpu->id_pfr0 },
0ff644a7
PM
2772 { .name = "ID_PFR1", .state = ARM_CP_STATE_BOTH,
2773 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 1,
2774 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2775 .resetvalue = cpu->id_pfr1 },
0ff644a7
PM
2776 { .name = "ID_DFR0", .state = ARM_CP_STATE_BOTH,
2777 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 2,
2778 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2779 .resetvalue = cpu->id_dfr0 },
0ff644a7
PM
2780 { .name = "ID_AFR0", .state = ARM_CP_STATE_BOTH,
2781 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 3,
2782 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2783 .resetvalue = cpu->id_afr0 },
0ff644a7
PM
2784 { .name = "ID_MMFR0", .state = ARM_CP_STATE_BOTH,
2785 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 4,
2786 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2787 .resetvalue = cpu->id_mmfr0 },
0ff644a7
PM
2788 { .name = "ID_MMFR1", .state = ARM_CP_STATE_BOTH,
2789 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 5,
2790 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2791 .resetvalue = cpu->id_mmfr1 },
0ff644a7
PM
2792 { .name = "ID_MMFR2", .state = ARM_CP_STATE_BOTH,
2793 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 6,
2794 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2795 .resetvalue = cpu->id_mmfr2 },
0ff644a7
PM
2796 { .name = "ID_MMFR3", .state = ARM_CP_STATE_BOTH,
2797 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 7,
2798 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2799 .resetvalue = cpu->id_mmfr3 },
0ff644a7
PM
2800 { .name = "ID_ISAR0", .state = ARM_CP_STATE_BOTH,
2801 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 0,
2802 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2803 .resetvalue = cpu->id_isar0 },
0ff644a7
PM
2804 { .name = "ID_ISAR1", .state = ARM_CP_STATE_BOTH,
2805 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 1,
2806 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2807 .resetvalue = cpu->id_isar1 },
0ff644a7
PM
2808 { .name = "ID_ISAR2", .state = ARM_CP_STATE_BOTH,
2809 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 2,
2810 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2811 .resetvalue = cpu->id_isar2 },
0ff644a7
PM
2812 { .name = "ID_ISAR3", .state = ARM_CP_STATE_BOTH,
2813 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 3,
2814 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2815 .resetvalue = cpu->id_isar3 },
0ff644a7
PM
2816 { .name = "ID_ISAR4", .state = ARM_CP_STATE_BOTH,
2817 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 4,
2818 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 2819 .resetvalue = cpu->id_isar4 },
0ff644a7
PM
2820 { .name = "ID_ISAR5", .state = ARM_CP_STATE_BOTH,
2821 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 5,
2822 .access = PL1_R, .type = ARM_CP_CONST,
8515a092
PM
2823 .resetvalue = cpu->id_isar5 },
2824 /* 6..7 are as yet unallocated and must RAZ */
2825 { .name = "ID_ISAR6", .cp = 15, .crn = 0, .crm = 2,
2826 .opc1 = 0, .opc2 = 6, .access = PL1_R, .type = ARM_CP_CONST,
2827 .resetvalue = 0 },
2828 { .name = "ID_ISAR7", .cp = 15, .crn = 0, .crm = 2,
2829 .opc1 = 0, .opc2 = 7, .access = PL1_R, .type = ARM_CP_CONST,
2830 .resetvalue = 0 },
2831 REGINFO_SENTINEL
2832 };
2833 define_arm_cp_regs(cpu, v6_idregs);
7d57f408
PM
2834 define_arm_cp_regs(cpu, v6_cp_reginfo);
2835 } else {
2836 define_arm_cp_regs(cpu, not_v6_cp_reginfo);
2837 }
4d31c596
PM
2838 if (arm_feature(env, ARM_FEATURE_V6K)) {
2839 define_arm_cp_regs(cpu, v6k_cp_reginfo);
2840 }
995939a6
PM
2841 if (arm_feature(env, ARM_FEATURE_V7MP)) {
2842 define_arm_cp_regs(cpu, v7mp_cp_reginfo);
2843 }
e9aa6c21 2844 if (arm_feature(env, ARM_FEATURE_V7)) {
200ac0ef 2845 /* v7 performance monitor control register: same implementor
7c2cb42b
AF
2846 * field as main ID register, and we implement only the cycle
2847 * count register.
200ac0ef 2848 */
7c2cb42b 2849#ifndef CONFIG_USER_ONLY
200ac0ef
PM
2850 ARMCPRegInfo pmcr = {
2851 .name = "PMCR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 0,
8521466b
AF
2852 .access = PL0_RW,
2853 .type = ARM_CP_IO | ARM_CP_NO_MIGRATE,
2854 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcr),
fcd25206
PM
2855 .accessfn = pmreg_access, .writefn = pmcr_write,
2856 .raw_writefn = raw_write,
200ac0ef 2857 };
8521466b
AF
2858 ARMCPRegInfo pmcr64 = {
2859 .name = "PMCR_EL0", .state = ARM_CP_STATE_AA64,
2860 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 0,
2861 .access = PL0_RW, .accessfn = pmreg_access,
2862 .type = ARM_CP_IO,
2863 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcr),
2864 .resetvalue = cpu->midr & 0xff000000,
2865 .writefn = pmcr_write, .raw_writefn = raw_write,
2866 };
7c2cb42b 2867 define_one_arm_cp_reg(cpu, &pmcr);
8521466b 2868 define_one_arm_cp_reg(cpu, &pmcr64);
7c2cb42b 2869#endif
776d4e5c 2870 ARMCPRegInfo clidr = {
7da845b0
PM
2871 .name = "CLIDR", .state = ARM_CP_STATE_BOTH,
2872 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = 1,
776d4e5c
PM
2873 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->clidr
2874 };
776d4e5c 2875 define_one_arm_cp_reg(cpu, &clidr);
e9aa6c21 2876 define_arm_cp_regs(cpu, v7_cp_reginfo);
50300698 2877 define_debug_regs(cpu);
7d57f408
PM
2878 } else {
2879 define_arm_cp_regs(cpu, not_v7_cp_reginfo);
e9aa6c21 2880 }
b0d2b7d0 2881 if (arm_feature(env, ARM_FEATURE_V8)) {
e60cef86
PM
2882 /* AArch64 ID registers, which all have impdef reset values */
2883 ARMCPRegInfo v8_idregs[] = {
2884 { .name = "ID_AA64PFR0_EL1", .state = ARM_CP_STATE_AA64,
2885 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 0,
2886 .access = PL1_R, .type = ARM_CP_CONST,
2887 .resetvalue = cpu->id_aa64pfr0 },
2888 { .name = "ID_AA64PFR1_EL1", .state = ARM_CP_STATE_AA64,
2889 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 1,
2890 .access = PL1_R, .type = ARM_CP_CONST,
2891 .resetvalue = cpu->id_aa64pfr1},
2892 { .name = "ID_AA64DFR0_EL1", .state = ARM_CP_STATE_AA64,
2893 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 0,
2894 .access = PL1_R, .type = ARM_CP_CONST,
5d831be2 2895 /* We mask out the PMUVer field, because we don't currently
9225d739
PM
2896 * implement the PMU. Not advertising it prevents the guest
2897 * from trying to use it and getting UNDEFs on registers we
2898 * don't implement.
2899 */
2900 .resetvalue = cpu->id_aa64dfr0 & ~0xf00 },
e60cef86
PM
2901 { .name = "ID_AA64DFR1_EL1", .state = ARM_CP_STATE_AA64,
2902 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 1,
2903 .access = PL1_R, .type = ARM_CP_CONST,
2904 .resetvalue = cpu->id_aa64dfr1 },
2905 { .name = "ID_AA64AFR0_EL1", .state = ARM_CP_STATE_AA64,
2906 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 4,
2907 .access = PL1_R, .type = ARM_CP_CONST,
2908 .resetvalue = cpu->id_aa64afr0 },
2909 { .name = "ID_AA64AFR1_EL1", .state = ARM_CP_STATE_AA64,
2910 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 5,
2911 .access = PL1_R, .type = ARM_CP_CONST,
2912 .resetvalue = cpu->id_aa64afr1 },
2913 { .name = "ID_AA64ISAR0_EL1", .state = ARM_CP_STATE_AA64,
2914 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 0,
2915 .access = PL1_R, .type = ARM_CP_CONST,
2916 .resetvalue = cpu->id_aa64isar0 },
2917 { .name = "ID_AA64ISAR1_EL1", .state = ARM_CP_STATE_AA64,
2918 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 1,
2919 .access = PL1_R, .type = ARM_CP_CONST,
2920 .resetvalue = cpu->id_aa64isar1 },
2921 { .name = "ID_AA64MMFR0_EL1", .state = ARM_CP_STATE_AA64,
2922 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 0,
2923 .access = PL1_R, .type = ARM_CP_CONST,
2924 .resetvalue = cpu->id_aa64mmfr0 },
2925 { .name = "ID_AA64MMFR1_EL1", .state = ARM_CP_STATE_AA64,
2926 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 1,
2927 .access = PL1_R, .type = ARM_CP_CONST,
2928 .resetvalue = cpu->id_aa64mmfr1 },
a50c0f51
PM
2929 { .name = "MVFR0_EL1", .state = ARM_CP_STATE_AA64,
2930 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 0,
2931 .access = PL1_R, .type = ARM_CP_CONST,
2932 .resetvalue = cpu->mvfr0 },
2933 { .name = "MVFR1_EL1", .state = ARM_CP_STATE_AA64,
2934 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 1,
2935 .access = PL1_R, .type = ARM_CP_CONST,
2936 .resetvalue = cpu->mvfr1 },
2937 { .name = "MVFR2_EL1", .state = ARM_CP_STATE_AA64,
2938 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 2,
2939 .access = PL1_R, .type = ARM_CP_CONST,
2940 .resetvalue = cpu->mvfr2 },
e60cef86
PM
2941 REGINFO_SENTINEL
2942 };
3933443e
PM
2943 ARMCPRegInfo rvbar = {
2944 .name = "RVBAR_EL1", .state = ARM_CP_STATE_AA64,
2945 .opc0 = 3, .opc1 = 0, .crn = 12, .crm = 0, .opc2 = 2,
2946 .type = ARM_CP_CONST, .access = PL1_R, .resetvalue = cpu->rvbar
2947 };
2948 define_one_arm_cp_reg(cpu, &rvbar);
e60cef86 2949 define_arm_cp_regs(cpu, v8_idregs);
b0d2b7d0
PM
2950 define_arm_cp_regs(cpu, v8_cp_reginfo);
2951 }
3b685ba7
EI
2952 if (arm_feature(env, ARM_FEATURE_EL2)) {
2953 define_arm_cp_regs(cpu, v8_el2_cp_reginfo);
d42e3c26
EI
2954 } else {
2955 /* If EL2 is missing but higher ELs are enabled, we need to
2956 * register the no_el2 reginfos.
2957 */
2958 if (arm_feature(env, ARM_FEATURE_EL3)) {
2959 define_arm_cp_regs(cpu, v8_el3_no_el2_cp_reginfo);
2960 }
3b685ba7 2961 }
81547d66
EI
2962 if (arm_feature(env, ARM_FEATURE_EL3)) {
2963 define_arm_cp_regs(cpu, v8_el3_cp_reginfo);
2964 }
18032bec
PM
2965 if (arm_feature(env, ARM_FEATURE_MPU)) {
2966 /* These are the MPU registers prior to PMSAv6. Any new
2967 * PMSA core later than the ARM946 will require that we
2968 * implement the PMSAv6 or PMSAv7 registers, which are
2969 * completely different.
2970 */
2971 assert(!arm_feature(env, ARM_FEATURE_V6));
2972 define_arm_cp_regs(cpu, pmsav5_cp_reginfo);
2973 } else {
2974 define_arm_cp_regs(cpu, vmsa_cp_reginfo);
2975 }
c326b979
PM
2976 if (arm_feature(env, ARM_FEATURE_THUMB2EE)) {
2977 define_arm_cp_regs(cpu, t2ee_cp_reginfo);
2978 }
6cc7a3ae
PM
2979 if (arm_feature(env, ARM_FEATURE_GENERIC_TIMER)) {
2980 define_arm_cp_regs(cpu, generic_timer_cp_reginfo);
2981 }
4a501606
PM
2982 if (arm_feature(env, ARM_FEATURE_VAPA)) {
2983 define_arm_cp_regs(cpu, vapa_cp_reginfo);
2984 }
c4804214
PM
2985 if (arm_feature(env, ARM_FEATURE_CACHE_TEST_CLEAN)) {
2986 define_arm_cp_regs(cpu, cache_test_clean_cp_reginfo);
2987 }
2988 if (arm_feature(env, ARM_FEATURE_CACHE_DIRTY_REG)) {
2989 define_arm_cp_regs(cpu, cache_dirty_status_cp_reginfo);
2990 }
2991 if (arm_feature(env, ARM_FEATURE_CACHE_BLOCK_OPS)) {
2992 define_arm_cp_regs(cpu, cache_block_ops_cp_reginfo);
2993 }
18032bec
PM
2994 if (arm_feature(env, ARM_FEATURE_OMAPCP)) {
2995 define_arm_cp_regs(cpu, omap_cp_reginfo);
2996 }
34f90529
PM
2997 if (arm_feature(env, ARM_FEATURE_STRONGARM)) {
2998 define_arm_cp_regs(cpu, strongarm_cp_reginfo);
2999 }
1047b9d7
PM
3000 if (arm_feature(env, ARM_FEATURE_XSCALE)) {
3001 define_arm_cp_regs(cpu, xscale_cp_reginfo);
3002 }
3003 if (arm_feature(env, ARM_FEATURE_DUMMY_C15_REGS)) {
3004 define_arm_cp_regs(cpu, dummy_c15_cp_reginfo);
3005 }
7ac681cf
PM
3006 if (arm_feature(env, ARM_FEATURE_LPAE)) {
3007 define_arm_cp_regs(cpu, lpae_cp_reginfo);
3008 }
7884849c
PM
3009 /* Slightly awkwardly, the OMAP and StrongARM cores need all of
3010 * cp15 crn=0 to be writes-ignored, whereas for other cores they should
3011 * be read-only (ie write causes UNDEF exception).
3012 */
3013 {
00a29f3d
PM
3014 ARMCPRegInfo id_pre_v8_midr_cp_reginfo[] = {
3015 /* Pre-v8 MIDR space.
3016 * Note that the MIDR isn't a simple constant register because
7884849c
PM
3017 * of the TI925 behaviour where writes to another register can
3018 * cause the MIDR value to change.
97ce8d61
PC
3019 *
3020 * Unimplemented registers in the c15 0 0 0 space default to
3021 * MIDR. Define MIDR first as this entire space, then CTR, TCMTR
3022 * and friends override accordingly.
7884849c
PM
3023 */
3024 { .name = "MIDR",
97ce8d61 3025 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = CP_ANY,
7884849c 3026 .access = PL1_R, .resetvalue = cpu->midr,
d4e6df63 3027 .writefn = arm_cp_write_ignore, .raw_writefn = raw_write,
97ce8d61
PC
3028 .fieldoffset = offsetof(CPUARMState, cp15.c0_cpuid),
3029 .type = ARM_CP_OVERRIDE },
7884849c
PM
3030 /* crn = 0 op1 = 0 crm = 3..7 : currently unassigned; we RAZ. */
3031 { .name = "DUMMY",
3032 .cp = 15, .crn = 0, .crm = 3, .opc1 = 0, .opc2 = CP_ANY,
3033 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3034 { .name = "DUMMY",
3035 .cp = 15, .crn = 0, .crm = 4, .opc1 = 0, .opc2 = CP_ANY,
3036 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3037 { .name = "DUMMY",
3038 .cp = 15, .crn = 0, .crm = 5, .opc1 = 0, .opc2 = CP_ANY,
3039 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3040 { .name = "DUMMY",
3041 .cp = 15, .crn = 0, .crm = 6, .opc1 = 0, .opc2 = CP_ANY,
3042 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3043 { .name = "DUMMY",
3044 .cp = 15, .crn = 0, .crm = 7, .opc1 = 0, .opc2 = CP_ANY,
3045 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3046 REGINFO_SENTINEL
3047 };
00a29f3d
PM
3048 ARMCPRegInfo id_v8_midr_cp_reginfo[] = {
3049 /* v8 MIDR -- the wildcard isn't necessary, and nor is the
3050 * variable-MIDR TI925 behaviour. Instead we have a single
3051 * (strictly speaking IMPDEF) alias of the MIDR, REVIDR.
3052 */
3053 { .name = "MIDR_EL1", .state = ARM_CP_STATE_BOTH,
3054 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 0, .opc2 = 0,
3055 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->midr },
3056 { .name = "REVIDR_EL1", .state = ARM_CP_STATE_BOTH,
3057 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 0, .opc2 = 6,
3058 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->midr },
3059 REGINFO_SENTINEL
3060 };
3061 ARMCPRegInfo id_cp_reginfo[] = {
3062 /* These are common to v8 and pre-v8 */
3063 { .name = "CTR",
3064 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 1,
3065 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->ctr },
3066 { .name = "CTR_EL0", .state = ARM_CP_STATE_AA64,
3067 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 0, .crm = 0,
3068 .access = PL0_R, .accessfn = ctr_el0_access,
3069 .type = ARM_CP_CONST, .resetvalue = cpu->ctr },
3070 /* TCMTR and TLBTR exist in v8 but have no 64-bit versions */
3071 { .name = "TCMTR",
3072 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 2,
3073 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3074 { .name = "TLBTR",
3075 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 3,
3076 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
3077 REGINFO_SENTINEL
3078 };
7884849c
PM
3079 ARMCPRegInfo crn0_wi_reginfo = {
3080 .name = "CRN0_WI", .cp = 15, .crn = 0, .crm = CP_ANY,
3081 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_W,
3082 .type = ARM_CP_NOP | ARM_CP_OVERRIDE
3083 };
3084 if (arm_feature(env, ARM_FEATURE_OMAPCP) ||
3085 arm_feature(env, ARM_FEATURE_STRONGARM)) {
3086 ARMCPRegInfo *r;
3087 /* Register the blanket "writes ignored" value first to cover the
a703eda1
PC
3088 * whole space. Then update the specific ID registers to allow write
3089 * access, so that they ignore writes rather than causing them to
3090 * UNDEF.
7884849c
PM
3091 */
3092 define_one_arm_cp_reg(cpu, &crn0_wi_reginfo);
00a29f3d
PM
3093 for (r = id_pre_v8_midr_cp_reginfo;
3094 r->type != ARM_CP_SENTINEL; r++) {
3095 r->access = PL1_RW;
3096 }
7884849c
PM
3097 for (r = id_cp_reginfo; r->type != ARM_CP_SENTINEL; r++) {
3098 r->access = PL1_RW;
7884849c 3099 }
7884849c 3100 }
00a29f3d
PM
3101 if (arm_feature(env, ARM_FEATURE_V8)) {
3102 define_arm_cp_regs(cpu, id_v8_midr_cp_reginfo);
3103 } else {
3104 define_arm_cp_regs(cpu, id_pre_v8_midr_cp_reginfo);
3105 }
a703eda1 3106 define_arm_cp_regs(cpu, id_cp_reginfo);
7884849c
PM
3107 }
3108
97ce8d61
PC
3109 if (arm_feature(env, ARM_FEATURE_MPIDR)) {
3110 define_arm_cp_regs(cpu, mpidr_cp_reginfo);
3111 }
3112
2771db27
PM
3113 if (arm_feature(env, ARM_FEATURE_AUXCR)) {
3114 ARMCPRegInfo auxcr = {
2eef0bf8
PM
3115 .name = "ACTLR_EL1", .state = ARM_CP_STATE_BOTH,
3116 .opc0 = 3, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 1,
2771db27
PM
3117 .access = PL1_RW, .type = ARM_CP_CONST,
3118 .resetvalue = cpu->reset_auxcr
3119 };
3120 define_one_arm_cp_reg(cpu, &auxcr);
3121 }
3122
d8ba780b 3123 if (arm_feature(env, ARM_FEATURE_CBAR)) {
f318cec6
PM
3124 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
3125 /* 32 bit view is [31:18] 0...0 [43:32]. */
3126 uint32_t cbar32 = (extract64(cpu->reset_cbar, 18, 14) << 18)
3127 | extract64(cpu->reset_cbar, 32, 12);
3128 ARMCPRegInfo cbar_reginfo[] = {
3129 { .name = "CBAR",
3130 .type = ARM_CP_CONST,
3131 .cp = 15, .crn = 15, .crm = 0, .opc1 = 4, .opc2 = 0,
3132 .access = PL1_R, .resetvalue = cpu->reset_cbar },
3133 { .name = "CBAR_EL1", .state = ARM_CP_STATE_AA64,
3134 .type = ARM_CP_CONST,
3135 .opc0 = 3, .opc1 = 1, .crn = 15, .crm = 3, .opc2 = 0,
3136 .access = PL1_R, .resetvalue = cbar32 },
3137 REGINFO_SENTINEL
3138 };
3139 /* We don't implement a r/w 64 bit CBAR currently */
3140 assert(arm_feature(env, ARM_FEATURE_CBAR_RO));
3141 define_arm_cp_regs(cpu, cbar_reginfo);
3142 } else {
3143 ARMCPRegInfo cbar = {
3144 .name = "CBAR",
3145 .cp = 15, .crn = 15, .crm = 0, .opc1 = 4, .opc2 = 0,
3146 .access = PL1_R|PL3_W, .resetvalue = cpu->reset_cbar,
3147 .fieldoffset = offsetof(CPUARMState,
3148 cp15.c15_config_base_address)
3149 };
3150 if (arm_feature(env, ARM_FEATURE_CBAR_RO)) {
3151 cbar.access = PL1_R;
3152 cbar.fieldoffset = 0;
3153 cbar.type = ARM_CP_CONST;
3154 }
3155 define_one_arm_cp_reg(cpu, &cbar);
3156 }
d8ba780b
PC
3157 }
3158
2771db27
PM
3159 /* Generic registers whose values depend on the implementation */
3160 {
3161 ARMCPRegInfo sctlr = {
5ebafdf3
PM
3162 .name = "SCTLR", .state = ARM_CP_STATE_BOTH,
3163 .opc0 = 3, .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 0,
2771db27 3164 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c1_sys),
d4e6df63
PM
3165 .writefn = sctlr_write, .resetvalue = cpu->reset_sctlr,
3166 .raw_writefn = raw_write,
2771db27
PM
3167 };
3168 if (arm_feature(env, ARM_FEATURE_XSCALE)) {
3169 /* Normally we would always end the TB on an SCTLR write, but Linux
3170 * arch/arm/mach-pxa/sleep.S expects two instructions following
3171 * an MMU enable to execute from cache. Imitate this behaviour.
3172 */
3173 sctlr.type |= ARM_CP_SUPPRESS_TB_END;
3174 }
3175 define_one_arm_cp_reg(cpu, &sctlr);
3176 }
2ceb98c0
PM
3177}
3178
778c3a06 3179ARMCPU *cpu_arm_init(const char *cpu_model)
40f137e1 3180{
9262685b 3181 return ARM_CPU(cpu_generic_init(TYPE_ARM_CPU, cpu_model));
14969266
AF
3182}
3183
3184void arm_cpu_register_gdb_regs_for_features(ARMCPU *cpu)
3185{
22169d41 3186 CPUState *cs = CPU(cpu);
14969266
AF
3187 CPUARMState *env = &cpu->env;
3188
6a669427
PM
3189 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
3190 gdb_register_coprocessor(cs, aarch64_fpu_gdb_get_reg,
3191 aarch64_fpu_gdb_set_reg,
3192 34, "aarch64-fpu.xml", 0);
3193 } else if (arm_feature(env, ARM_FEATURE_NEON)) {
22169d41 3194 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
3195 51, "arm-neon.xml", 0);
3196 } else if (arm_feature(env, ARM_FEATURE_VFP3)) {
22169d41 3197 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
3198 35, "arm-vfp3.xml", 0);
3199 } else if (arm_feature(env, ARM_FEATURE_VFP)) {
22169d41 3200 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
3201 19, "arm-vfp.xml", 0);
3202 }
40f137e1
PB
3203}
3204
777dc784
PM
3205/* Sort alphabetically by type name, except for "any". */
3206static gint arm_cpu_list_compare(gconstpointer a, gconstpointer b)
5adb4839 3207{
777dc784
PM
3208 ObjectClass *class_a = (ObjectClass *)a;
3209 ObjectClass *class_b = (ObjectClass *)b;
3210 const char *name_a, *name_b;
5adb4839 3211
777dc784
PM
3212 name_a = object_class_get_name(class_a);
3213 name_b = object_class_get_name(class_b);
51492fd1 3214 if (strcmp(name_a, "any-" TYPE_ARM_CPU) == 0) {
777dc784 3215 return 1;
51492fd1 3216 } else if (strcmp(name_b, "any-" TYPE_ARM_CPU) == 0) {
777dc784
PM
3217 return -1;
3218 } else {
3219 return strcmp(name_a, name_b);
5adb4839
PB
3220 }
3221}
3222
777dc784 3223static void arm_cpu_list_entry(gpointer data, gpointer user_data)
40f137e1 3224{
777dc784 3225 ObjectClass *oc = data;
92a31361 3226 CPUListState *s = user_data;
51492fd1
AF
3227 const char *typename;
3228 char *name;
3371d272 3229
51492fd1
AF
3230 typename = object_class_get_name(oc);
3231 name = g_strndup(typename, strlen(typename) - strlen("-" TYPE_ARM_CPU));
777dc784 3232 (*s->cpu_fprintf)(s->file, " %s\n",
51492fd1
AF
3233 name);
3234 g_free(name);
777dc784
PM
3235}
3236
3237void arm_cpu_list(FILE *f, fprintf_function cpu_fprintf)
3238{
92a31361 3239 CPUListState s = {
777dc784
PM
3240 .file = f,
3241 .cpu_fprintf = cpu_fprintf,
3242 };
3243 GSList *list;
3244
3245 list = object_class_get_list(TYPE_ARM_CPU, false);
3246 list = g_slist_sort(list, arm_cpu_list_compare);
3247 (*cpu_fprintf)(f, "Available CPUs:\n");
3248 g_slist_foreach(list, arm_cpu_list_entry, &s);
3249 g_slist_free(list);
a96c0514
PM
3250#ifdef CONFIG_KVM
3251 /* The 'host' CPU type is dynamically registered only if KVM is
3252 * enabled, so we have to special-case it here:
3253 */
3254 (*cpu_fprintf)(f, " host (only available in KVM mode)\n");
3255#endif
40f137e1
PB
3256}
3257
78027bb6
CR
3258static void arm_cpu_add_definition(gpointer data, gpointer user_data)
3259{
3260 ObjectClass *oc = data;
3261 CpuDefinitionInfoList **cpu_list = user_data;
3262 CpuDefinitionInfoList *entry;
3263 CpuDefinitionInfo *info;
3264 const char *typename;
3265
3266 typename = object_class_get_name(oc);
3267 info = g_malloc0(sizeof(*info));
3268 info->name = g_strndup(typename,
3269 strlen(typename) - strlen("-" TYPE_ARM_CPU));
3270
3271 entry = g_malloc0(sizeof(*entry));
3272 entry->value = info;
3273 entry->next = *cpu_list;
3274 *cpu_list = entry;
3275}
3276
3277CpuDefinitionInfoList *arch_query_cpu_definitions(Error **errp)
3278{
3279 CpuDefinitionInfoList *cpu_list = NULL;
3280 GSList *list;
3281
3282 list = object_class_get_list(TYPE_ARM_CPU, false);
3283 g_slist_foreach(list, arm_cpu_add_definition, &cpu_list);
3284 g_slist_free(list);
3285
3286 return cpu_list;
3287}
3288
6e6efd61 3289static void add_cpreg_to_hashtable(ARMCPU *cpu, const ARMCPRegInfo *r,
f5a0a5a5
PM
3290 void *opaque, int state,
3291 int crm, int opc1, int opc2)
6e6efd61
PM
3292{
3293 /* Private utility function for define_one_arm_cp_reg_with_opaque():
3294 * add a single reginfo struct to the hash table.
3295 */
3296 uint32_t *key = g_new(uint32_t, 1);
3297 ARMCPRegInfo *r2 = g_memdup(r, sizeof(ARMCPRegInfo));
3298 int is64 = (r->type & ARM_CP_64BIT) ? 1 : 0;
f5a0a5a5
PM
3299 if (r->state == ARM_CP_STATE_BOTH && state == ARM_CP_STATE_AA32) {
3300 /* The AArch32 view of a shared register sees the lower 32 bits
3301 * of a 64 bit backing field. It is not migratable as the AArch64
3302 * view handles that. AArch64 also handles reset.
58a1d8ce 3303 * We assume it is a cp15 register if the .cp field is left unset.
f5a0a5a5 3304 */
58a1d8ce
PM
3305 if (r2->cp == 0) {
3306 r2->cp = 15;
3307 }
f5a0a5a5
PM
3308 r2->type |= ARM_CP_NO_MIGRATE;
3309 r2->resetfn = arm_cp_reset_ignore;
3310#ifdef HOST_WORDS_BIGENDIAN
3311 if (r2->fieldoffset) {
3312 r2->fieldoffset += sizeof(uint32_t);
3313 }
3314#endif
3315 }
3316 if (state == ARM_CP_STATE_AA64) {
3317 /* To allow abbreviation of ARMCPRegInfo
3318 * definitions, we treat cp == 0 as equivalent to
3319 * the value for "standard guest-visible sysreg".
58a1d8ce
PM
3320 * STATE_BOTH definitions are also always "standard
3321 * sysreg" in their AArch64 view (the .cp value may
3322 * be non-zero for the benefit of the AArch32 view).
f5a0a5a5 3323 */
58a1d8ce 3324 if (r->cp == 0 || r->state == ARM_CP_STATE_BOTH) {
f5a0a5a5
PM
3325 r2->cp = CP_REG_ARM64_SYSREG_CP;
3326 }
3327 *key = ENCODE_AA64_CP_REG(r2->cp, r2->crn, crm,
3328 r2->opc0, opc1, opc2);
3329 } else {
3330 *key = ENCODE_CP_REG(r2->cp, is64, r2->crn, crm, opc1, opc2);
3331 }
6e6efd61
PM
3332 if (opaque) {
3333 r2->opaque = opaque;
3334 }
67ed771d
PM
3335 /* reginfo passed to helpers is correct for the actual access,
3336 * and is never ARM_CP_STATE_BOTH:
3337 */
3338 r2->state = state;
6e6efd61
PM
3339 /* Make sure reginfo passed to helpers for wildcarded regs
3340 * has the correct crm/opc1/opc2 for this reg, not CP_ANY:
3341 */
3342 r2->crm = crm;
3343 r2->opc1 = opc1;
3344 r2->opc2 = opc2;
3345 /* By convention, for wildcarded registers only the first
3346 * entry is used for migration; the others are marked as
3347 * NO_MIGRATE so we don't try to transfer the register
3348 * multiple times. Special registers (ie NOP/WFI) are
3349 * never migratable.
3350 */
3351 if ((r->type & ARM_CP_SPECIAL) ||
3352 ((r->crm == CP_ANY) && crm != 0) ||
3353 ((r->opc1 == CP_ANY) && opc1 != 0) ||
3354 ((r->opc2 == CP_ANY) && opc2 != 0)) {
3355 r2->type |= ARM_CP_NO_MIGRATE;
3356 }
3357
3358 /* Overriding of an existing definition must be explicitly
3359 * requested.
3360 */
3361 if (!(r->type & ARM_CP_OVERRIDE)) {
3362 ARMCPRegInfo *oldreg;
3363 oldreg = g_hash_table_lookup(cpu->cp_regs, key);
3364 if (oldreg && !(oldreg->type & ARM_CP_OVERRIDE)) {
3365 fprintf(stderr, "Register redefined: cp=%d %d bit "
3366 "crn=%d crm=%d opc1=%d opc2=%d, "
3367 "was %s, now %s\n", r2->cp, 32 + 32 * is64,
3368 r2->crn, r2->crm, r2->opc1, r2->opc2,
3369 oldreg->name, r2->name);
3370 g_assert_not_reached();
3371 }
3372 }
3373 g_hash_table_insert(cpu->cp_regs, key, r2);
3374}
3375
3376
4b6a83fb
PM
3377void define_one_arm_cp_reg_with_opaque(ARMCPU *cpu,
3378 const ARMCPRegInfo *r, void *opaque)
3379{
3380 /* Define implementations of coprocessor registers.
3381 * We store these in a hashtable because typically
3382 * there are less than 150 registers in a space which
3383 * is 16*16*16*8*8 = 262144 in size.
3384 * Wildcarding is supported for the crm, opc1 and opc2 fields.
3385 * If a register is defined twice then the second definition is
3386 * used, so this can be used to define some generic registers and
3387 * then override them with implementation specific variations.
3388 * At least one of the original and the second definition should
3389 * include ARM_CP_OVERRIDE in its type bits -- this is just a guard
3390 * against accidental use.
f5a0a5a5
PM
3391 *
3392 * The state field defines whether the register is to be
3393 * visible in the AArch32 or AArch64 execution state. If the
3394 * state is set to ARM_CP_STATE_BOTH then we synthesise a
3395 * reginfo structure for the AArch32 view, which sees the lower
3396 * 32 bits of the 64 bit register.
3397 *
3398 * Only registers visible in AArch64 may set r->opc0; opc0 cannot
3399 * be wildcarded. AArch64 registers are always considered to be 64
3400 * bits; the ARM_CP_64BIT* flag applies only to the AArch32 view of
3401 * the register, if any.
4b6a83fb 3402 */
f5a0a5a5 3403 int crm, opc1, opc2, state;
4b6a83fb
PM
3404 int crmmin = (r->crm == CP_ANY) ? 0 : r->crm;
3405 int crmmax = (r->crm == CP_ANY) ? 15 : r->crm;
3406 int opc1min = (r->opc1 == CP_ANY) ? 0 : r->opc1;
3407 int opc1max = (r->opc1 == CP_ANY) ? 7 : r->opc1;
3408 int opc2min = (r->opc2 == CP_ANY) ? 0 : r->opc2;
3409 int opc2max = (r->opc2 == CP_ANY) ? 7 : r->opc2;
3410 /* 64 bit registers have only CRm and Opc1 fields */
3411 assert(!((r->type & ARM_CP_64BIT) && (r->opc2 || r->crn)));
f5a0a5a5
PM
3412 /* op0 only exists in the AArch64 encodings */
3413 assert((r->state != ARM_CP_STATE_AA32) || (r->opc0 == 0));
3414 /* AArch64 regs are all 64 bit so ARM_CP_64BIT is meaningless */
3415 assert((r->state != ARM_CP_STATE_AA64) || !(r->type & ARM_CP_64BIT));
3416 /* The AArch64 pseudocode CheckSystemAccess() specifies that op1
3417 * encodes a minimum access level for the register. We roll this
3418 * runtime check into our general permission check code, so check
3419 * here that the reginfo's specified permissions are strict enough
3420 * to encompass the generic architectural permission check.
3421 */
3422 if (r->state != ARM_CP_STATE_AA32) {
3423 int mask = 0;
3424 switch (r->opc1) {
3425 case 0: case 1: case 2:
3426 /* min_EL EL1 */
3427 mask = PL1_RW;
3428 break;
3429 case 3:
3430 /* min_EL EL0 */
3431 mask = PL0_RW;
3432 break;
3433 case 4:
3434 /* min_EL EL2 */
3435 mask = PL2_RW;
3436 break;
3437 case 5:
3438 /* unallocated encoding, so not possible */
3439 assert(false);
3440 break;
3441 case 6:
3442 /* min_EL EL3 */
3443 mask = PL3_RW;
3444 break;
3445 case 7:
3446 /* min_EL EL1, secure mode only (we don't check the latter) */
3447 mask = PL1_RW;
3448 break;
3449 default:
3450 /* broken reginfo with out-of-range opc1 */
3451 assert(false);
3452 break;
3453 }
3454 /* assert our permissions are not too lax (stricter is fine) */
3455 assert((r->access & ~mask) == 0);
3456 }
3457
4b6a83fb
PM
3458 /* Check that the register definition has enough info to handle
3459 * reads and writes if they are permitted.
3460 */
3461 if (!(r->type & (ARM_CP_SPECIAL|ARM_CP_CONST))) {
3462 if (r->access & PL3_R) {
3463 assert(r->fieldoffset || r->readfn);
3464 }
3465 if (r->access & PL3_W) {
3466 assert(r->fieldoffset || r->writefn);
3467 }
3468 }
3469 /* Bad type field probably means missing sentinel at end of reg list */
3470 assert(cptype_valid(r->type));
3471 for (crm = crmmin; crm <= crmmax; crm++) {
3472 for (opc1 = opc1min; opc1 <= opc1max; opc1++) {
3473 for (opc2 = opc2min; opc2 <= opc2max; opc2++) {
f5a0a5a5
PM
3474 for (state = ARM_CP_STATE_AA32;
3475 state <= ARM_CP_STATE_AA64; state++) {
3476 if (r->state != state && r->state != ARM_CP_STATE_BOTH) {
3477 continue;
3478 }
3479 add_cpreg_to_hashtable(cpu, r, opaque, state,
3480 crm, opc1, opc2);
3481 }
4b6a83fb
PM
3482 }
3483 }
3484 }
3485}
3486
3487void define_arm_cp_regs_with_opaque(ARMCPU *cpu,
3488 const ARMCPRegInfo *regs, void *opaque)
3489{
3490 /* Define a whole list of registers */
3491 const ARMCPRegInfo *r;
3492 for (r = regs; r->type != ARM_CP_SENTINEL; r++) {
3493 define_one_arm_cp_reg_with_opaque(cpu, r, opaque);
3494 }
3495}
3496
60322b39 3497const ARMCPRegInfo *get_arm_cp_reginfo(GHashTable *cpregs, uint32_t encoded_cp)
4b6a83fb 3498{
60322b39 3499 return g_hash_table_lookup(cpregs, &encoded_cp);
4b6a83fb
PM
3500}
3501
c4241c7d
PM
3502void arm_cp_write_ignore(CPUARMState *env, const ARMCPRegInfo *ri,
3503 uint64_t value)
4b6a83fb
PM
3504{
3505 /* Helper coprocessor write function for write-ignore registers */
4b6a83fb
PM
3506}
3507
c4241c7d 3508uint64_t arm_cp_read_zero(CPUARMState *env, const ARMCPRegInfo *ri)
4b6a83fb
PM
3509{
3510 /* Helper coprocessor write function for read-as-zero registers */
4b6a83fb
PM
3511 return 0;
3512}
3513
f5a0a5a5
PM
3514void arm_cp_reset_ignore(CPUARMState *env, const ARMCPRegInfo *opaque)
3515{
3516 /* Helper coprocessor reset function for do-nothing-on-reset registers */
3517}
3518
0ecb72a5 3519static int bad_mode_switch(CPUARMState *env, int mode)
37064a8b
PM
3520{
3521 /* Return true if it is not valid for us to switch to
3522 * this CPU mode (ie all the UNPREDICTABLE cases in
3523 * the ARM ARM CPSRWriteByInstr pseudocode).
3524 */
3525 switch (mode) {
3526 case ARM_CPU_MODE_USR:
3527 case ARM_CPU_MODE_SYS:
3528 case ARM_CPU_MODE_SVC:
3529 case ARM_CPU_MODE_ABT:
3530 case ARM_CPU_MODE_UND:
3531 case ARM_CPU_MODE_IRQ:
3532 case ARM_CPU_MODE_FIQ:
3533 return 0;
027fc527
SF
3534 case ARM_CPU_MODE_MON:
3535 return !arm_is_secure(env);
37064a8b
PM
3536 default:
3537 return 1;
3538 }
3539}
3540
2f4a40e5
AZ
3541uint32_t cpsr_read(CPUARMState *env)
3542{
3543 int ZF;
6fbe23d5
PB
3544 ZF = (env->ZF == 0);
3545 return env->uncached_cpsr | (env->NF & 0x80000000) | (ZF << 30) |
2f4a40e5
AZ
3546 (env->CF << 29) | ((env->VF & 0x80000000) >> 3) | (env->QF << 27)
3547 | (env->thumb << 5) | ((env->condexec_bits & 3) << 25)
3548 | ((env->condexec_bits & 0xfc) << 8)
af519934 3549 | (env->GE << 16) | (env->daif & CPSR_AIF);
2f4a40e5
AZ
3550}
3551
3552void cpsr_write(CPUARMState *env, uint32_t val, uint32_t mask)
3553{
2f4a40e5 3554 if (mask & CPSR_NZCV) {
6fbe23d5
PB
3555 env->ZF = (~val) & CPSR_Z;
3556 env->NF = val;
2f4a40e5
AZ
3557 env->CF = (val >> 29) & 1;
3558 env->VF = (val << 3) & 0x80000000;
3559 }
3560 if (mask & CPSR_Q)
3561 env->QF = ((val & CPSR_Q) != 0);
3562 if (mask & CPSR_T)
3563 env->thumb = ((val & CPSR_T) != 0);
3564 if (mask & CPSR_IT_0_1) {
3565 env->condexec_bits &= ~3;
3566 env->condexec_bits |= (val >> 25) & 3;
3567 }
3568 if (mask & CPSR_IT_2_7) {
3569 env->condexec_bits &= 3;
3570 env->condexec_bits |= (val >> 8) & 0xfc;
3571 }
3572 if (mask & CPSR_GE) {
3573 env->GE = (val >> 16) & 0xf;
3574 }
3575
4cc35614
PM
3576 env->daif &= ~(CPSR_AIF & mask);
3577 env->daif |= val & CPSR_AIF & mask;
3578
2f4a40e5 3579 if ((env->uncached_cpsr ^ val) & mask & CPSR_M) {
37064a8b
PM
3580 if (bad_mode_switch(env, val & CPSR_M)) {
3581 /* Attempt to switch to an invalid mode: this is UNPREDICTABLE.
3582 * We choose to ignore the attempt and leave the CPSR M field
3583 * untouched.
3584 */
3585 mask &= ~CPSR_M;
3586 } else {
3587 switch_mode(env, val & CPSR_M);
3588 }
2f4a40e5
AZ
3589 }
3590 mask &= ~CACHED_CPSR_BITS;
3591 env->uncached_cpsr = (env->uncached_cpsr & ~mask) | (val & mask);
3592}
3593
b26eefb6
PB
3594/* Sign/zero extend */
3595uint32_t HELPER(sxtb16)(uint32_t x)
3596{
3597 uint32_t res;
3598 res = (uint16_t)(int8_t)x;
3599 res |= (uint32_t)(int8_t)(x >> 16) << 16;
3600 return res;
3601}
3602
3603uint32_t HELPER(uxtb16)(uint32_t x)
3604{
3605 uint32_t res;
3606 res = (uint16_t)(uint8_t)x;
3607 res |= (uint32_t)(uint8_t)(x >> 16) << 16;
3608 return res;
3609}
3610
f51bbbfe
PB
3611uint32_t HELPER(clz)(uint32_t x)
3612{
7bbcb0af 3613 return clz32(x);
f51bbbfe
PB
3614}
3615
3670669c
PB
3616int32_t HELPER(sdiv)(int32_t num, int32_t den)
3617{
3618 if (den == 0)
3619 return 0;
686eeb93
AJ
3620 if (num == INT_MIN && den == -1)
3621 return INT_MIN;
3670669c
PB
3622 return num / den;
3623}
3624
3625uint32_t HELPER(udiv)(uint32_t num, uint32_t den)
3626{
3627 if (den == 0)
3628 return 0;
3629 return num / den;
3630}
3631
3632uint32_t HELPER(rbit)(uint32_t x)
3633{
3634 x = ((x & 0xff000000) >> 24)
3635 | ((x & 0x00ff0000) >> 8)
3636 | ((x & 0x0000ff00) << 8)
3637 | ((x & 0x000000ff) << 24);
3638 x = ((x & 0xf0f0f0f0) >> 4)
3639 | ((x & 0x0f0f0f0f) << 4);
3640 x = ((x & 0x88888888) >> 3)
3641 | ((x & 0x44444444) >> 1)
3642 | ((x & 0x22222222) << 1)
3643 | ((x & 0x11111111) << 3);
3644 return x;
3645}
3646
5fafdf24 3647#if defined(CONFIG_USER_ONLY)
b5ff1b31 3648
7510454e
AF
3649int arm_cpu_handle_mmu_fault(CPUState *cs, vaddr address, int rw,
3650 int mmu_idx)
b5ff1b31 3651{
7510454e
AF
3652 ARMCPU *cpu = ARM_CPU(cs);
3653 CPUARMState *env = &cpu->env;
3654
abf1172f 3655 env->exception.vaddress = address;
b5ff1b31 3656 if (rw == 2) {
27103424 3657 cs->exception_index = EXCP_PREFETCH_ABORT;
b5ff1b31 3658 } else {
27103424 3659 cs->exception_index = EXCP_DATA_ABORT;
b5ff1b31
FB
3660 }
3661 return 1;
3662}
3663
9ee6e8bb 3664/* These should probably raise undefined insn exceptions. */
0ecb72a5 3665void HELPER(v7m_msr)(CPUARMState *env, uint32_t reg, uint32_t val)
9ee6e8bb 3666{
a47dddd7
AF
3667 ARMCPU *cpu = arm_env_get_cpu(env);
3668
3669 cpu_abort(CPU(cpu), "v7m_msr %d\n", reg);
9ee6e8bb
PB
3670}
3671
0ecb72a5 3672uint32_t HELPER(v7m_mrs)(CPUARMState *env, uint32_t reg)
9ee6e8bb 3673{
a47dddd7
AF
3674 ARMCPU *cpu = arm_env_get_cpu(env);
3675
3676 cpu_abort(CPU(cpu), "v7m_mrs %d\n", reg);
9ee6e8bb
PB
3677 return 0;
3678}
3679
0ecb72a5 3680void switch_mode(CPUARMState *env, int mode)
b5ff1b31 3681{
a47dddd7
AF
3682 ARMCPU *cpu = arm_env_get_cpu(env);
3683
3684 if (mode != ARM_CPU_MODE_USR) {
3685 cpu_abort(CPU(cpu), "Tried to switch out of user mode\n");
3686 }
b5ff1b31
FB
3687}
3688
0ecb72a5 3689void HELPER(set_r13_banked)(CPUARMState *env, uint32_t mode, uint32_t val)
9ee6e8bb 3690{
a47dddd7
AF
3691 ARMCPU *cpu = arm_env_get_cpu(env);
3692
3693 cpu_abort(CPU(cpu), "banked r13 write\n");
9ee6e8bb
PB
3694}
3695
0ecb72a5 3696uint32_t HELPER(get_r13_banked)(CPUARMState *env, uint32_t mode)
9ee6e8bb 3697{
a47dddd7
AF
3698 ARMCPU *cpu = arm_env_get_cpu(env);
3699
3700 cpu_abort(CPU(cpu), "banked r13 read\n");
9ee6e8bb
PB
3701 return 0;
3702}
3703
9e729b57
EI
3704unsigned int arm_excp_target_el(CPUState *cs, unsigned int excp_idx)
3705{
3706 return 1;
3707}
3708
b5ff1b31
FB
3709#else
3710
3711/* Map CPU modes onto saved register banks. */
494b00c7 3712int bank_number(int mode)
b5ff1b31
FB
3713{
3714 switch (mode) {
3715 case ARM_CPU_MODE_USR:
3716 case ARM_CPU_MODE_SYS:
3717 return 0;
3718 case ARM_CPU_MODE_SVC:
3719 return 1;
3720 case ARM_CPU_MODE_ABT:
3721 return 2;
3722 case ARM_CPU_MODE_UND:
3723 return 3;
3724 case ARM_CPU_MODE_IRQ:
3725 return 4;
3726 case ARM_CPU_MODE_FIQ:
3727 return 5;
28c9457d
EI
3728 case ARM_CPU_MODE_HYP:
3729 return 6;
3730 case ARM_CPU_MODE_MON:
3731 return 7;
b5ff1b31 3732 }
f5206413 3733 hw_error("bank number requested for bad CPSR mode value 0x%x\n", mode);
b5ff1b31
FB
3734}
3735
0ecb72a5 3736void switch_mode(CPUARMState *env, int mode)
b5ff1b31
FB
3737{
3738 int old_mode;
3739 int i;
3740
3741 old_mode = env->uncached_cpsr & CPSR_M;
3742 if (mode == old_mode)
3743 return;
3744
3745 if (old_mode == ARM_CPU_MODE_FIQ) {
3746 memcpy (env->fiq_regs, env->regs + 8, 5 * sizeof(uint32_t));
8637c67f 3747 memcpy (env->regs + 8, env->usr_regs, 5 * sizeof(uint32_t));
b5ff1b31
FB
3748 } else if (mode == ARM_CPU_MODE_FIQ) {
3749 memcpy (env->usr_regs, env->regs + 8, 5 * sizeof(uint32_t));
8637c67f 3750 memcpy (env->regs + 8, env->fiq_regs, 5 * sizeof(uint32_t));
b5ff1b31
FB
3751 }
3752
f5206413 3753 i = bank_number(old_mode);
b5ff1b31
FB
3754 env->banked_r13[i] = env->regs[13];
3755 env->banked_r14[i] = env->regs[14];
3756 env->banked_spsr[i] = env->spsr;
3757
f5206413 3758 i = bank_number(mode);
b5ff1b31
FB
3759 env->regs[13] = env->banked_r13[i];
3760 env->regs[14] = env->banked_r14[i];
3761 env->spsr = env->banked_spsr[i];
3762}
3763
0eeb17d6
GB
3764/* Physical Interrupt Target EL Lookup Table
3765 *
3766 * [ From ARM ARM section G1.13.4 (Table G1-15) ]
3767 *
3768 * The below multi-dimensional table is used for looking up the target
3769 * exception level given numerous condition criteria. Specifically, the
3770 * target EL is based on SCR and HCR routing controls as well as the
3771 * currently executing EL and secure state.
3772 *
3773 * Dimensions:
3774 * target_el_table[2][2][2][2][2][4]
3775 * | | | | | +--- Current EL
3776 * | | | | +------ Non-secure(0)/Secure(1)
3777 * | | | +--------- HCR mask override
3778 * | | +------------ SCR exec state control
3779 * | +--------------- SCR mask override
3780 * +------------------ 32-bit(0)/64-bit(1) EL3
3781 *
3782 * The table values are as such:
3783 * 0-3 = EL0-EL3
3784 * -1 = Cannot occur
3785 *
3786 * The ARM ARM target EL table includes entries indicating that an "exception
3787 * is not taken". The two cases where this is applicable are:
3788 * 1) An exception is taken from EL3 but the SCR does not have the exception
3789 * routed to EL3.
3790 * 2) An exception is taken from EL2 but the HCR does not have the exception
3791 * routed to EL2.
3792 * In these two cases, the below table contain a target of EL1. This value is
3793 * returned as it is expected that the consumer of the table data will check
3794 * for "target EL >= current EL" to ensure the exception is not taken.
3795 *
3796 * SCR HCR
3797 * 64 EA AMO From
3798 * BIT IRQ IMO Non-secure Secure
3799 * EL3 FIQ RW FMO EL0 EL1 EL2 EL3 EL0 EL1 EL2 EL3
3800 */
3801const int8_t target_el_table[2][2][2][2][2][4] = {
3802 {{{{/* 0 0 0 0 */{ 1, 1, 2, -1 },{ 3, -1, -1, 3 },},
3803 {/* 0 0 0 1 */{ 2, 2, 2, -1 },{ 3, -1, -1, 3 },},},
3804 {{/* 0 0 1 0 */{ 1, 1, 2, -1 },{ 3, -1, -1, 3 },},
3805 {/* 0 0 1 1 */{ 2, 2, 2, -1 },{ 3, -1, -1, 3 },},},},
3806 {{{/* 0 1 0 0 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},
3807 {/* 0 1 0 1 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},},
3808 {{/* 0 1 1 0 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},
3809 {/* 0 1 1 1 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},},},},
3810 {{{{/* 1 0 0 0 */{ 1, 1, 2, -1 },{ 1, 1, -1, 1 },},
3811 {/* 1 0 0 1 */{ 2, 2, 2, -1 },{ 1, 1, -1, 1 },},},
3812 {{/* 1 0 1 0 */{ 1, 1, 1, -1 },{ 1, 1, -1, 1 },},
3813 {/* 1 0 1 1 */{ 2, 2, 2, -1 },{ 1, 1, -1, 1 },},},},
3814 {{{/* 1 1 0 0 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},
3815 {/* 1 1 0 1 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},},
3816 {{/* 1 1 1 0 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},
3817 {/* 1 1 1 1 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},},},},
3818};
3819
3820/*
3821 * Determine the target EL for physical exceptions
3822 */
3823static inline uint32_t arm_phys_excp_target_el(CPUState *cs, uint32_t excp_idx,
3824 uint32_t cur_el, bool secure)
3825{
3826 CPUARMState *env = cs->env_ptr;
3827 int rw = ((env->cp15.scr_el3 & SCR_RW) == SCR_RW);
3828 int scr;
3829 int hcr;
3830 int target_el;
3831 int is64 = arm_el_is_aa64(env, 3);
3832
3833 switch (excp_idx) {
3834 case EXCP_IRQ:
3835 scr = ((env->cp15.scr_el3 & SCR_IRQ) == SCR_IRQ);
3836 hcr = ((env->cp15.hcr_el2 & HCR_IMO) == HCR_IMO);
3837 break;
3838 case EXCP_FIQ:
3839 scr = ((env->cp15.scr_el3 & SCR_FIQ) == SCR_FIQ);
3840 hcr = ((env->cp15.hcr_el2 & HCR_FMO) == HCR_FMO);
3841 break;
3842 default:
3843 scr = ((env->cp15.scr_el3 & SCR_EA) == SCR_EA);
3844 hcr = ((env->cp15.hcr_el2 & HCR_AMO) == HCR_AMO);
3845 break;
3846 };
3847
3848 /* If HCR.TGE is set then HCR is treated as being 1 */
3849 hcr |= ((env->cp15.hcr_el2 & HCR_TGE) == HCR_TGE);
3850
3851 /* Perform a table-lookup for the target EL given the current state */
3852 target_el = target_el_table[is64][scr][rw][hcr][secure][cur_el];
3853
3854 assert(target_el > 0);
3855
3856 return target_el;
3857}
3858
9e729b57
EI
3859/*
3860 * Determine the target EL for a given exception type.
3861 */
3862unsigned int arm_excp_target_el(CPUState *cs, unsigned int excp_idx)
3863{
35979d71
EI
3864 ARMCPU *cpu = ARM_CPU(cs);
3865 CPUARMState *env = &cpu->env;
dcbff19b 3866 unsigned int cur_el = arm_current_el(env);
35979d71 3867 unsigned int target_el;
0eeb17d6 3868 bool secure = arm_is_secure(env);
35979d71
EI
3869
3870 switch (excp_idx) {
3871 case EXCP_HVC:
607d98b8 3872 case EXCP_HYP_TRAP:
35979d71
EI
3873 target_el = 2;
3874 break;
e0d6e6a5
EI
3875 case EXCP_SMC:
3876 target_el = 3;
3877 break;
041c9666
EI
3878 case EXCP_FIQ:
3879 case EXCP_IRQ:
0eeb17d6 3880 target_el = arm_phys_excp_target_el(cs, excp_idx, cur_el, secure);
041c9666 3881 break;
136e67e9
EI
3882 case EXCP_VIRQ:
3883 case EXCP_VFIQ:
3884 target_el = 1;
3885 break;
35979d71
EI
3886 default:
3887 target_el = MAX(cur_el, 1);
3888 break;
3889 }
3890 return target_el;
9e729b57
EI
3891}
3892
9ee6e8bb
PB
3893static void v7m_push(CPUARMState *env, uint32_t val)
3894{
70d74660
AF
3895 CPUState *cs = CPU(arm_env_get_cpu(env));
3896
9ee6e8bb 3897 env->regs[13] -= 4;
ab1da857 3898 stl_phys(cs->as, env->regs[13], val);
9ee6e8bb
PB
3899}
3900
3901static uint32_t v7m_pop(CPUARMState *env)
3902{
70d74660 3903 CPUState *cs = CPU(arm_env_get_cpu(env));
9ee6e8bb 3904 uint32_t val;
70d74660 3905
fdfba1a2 3906 val = ldl_phys(cs->as, env->regs[13]);
9ee6e8bb
PB
3907 env->regs[13] += 4;
3908 return val;
3909}
3910
3911/* Switch to V7M main or process stack pointer. */
3912static void switch_v7m_sp(CPUARMState *env, int process)
3913{
3914 uint32_t tmp;
3915 if (env->v7m.current_sp != process) {
3916 tmp = env->v7m.other_sp;
3917 env->v7m.other_sp = env->regs[13];
3918 env->regs[13] = tmp;
3919 env->v7m.current_sp = process;
3920 }
3921}
3922
3923static void do_v7m_exception_exit(CPUARMState *env)
3924{
3925 uint32_t type;
3926 uint32_t xpsr;
3927
3928 type = env->regs[15];
3929 if (env->v7m.exception != 0)
983fe826 3930 armv7m_nvic_complete_irq(env->nvic, env->v7m.exception);
9ee6e8bb
PB
3931
3932 /* Switch to the target stack. */
3933 switch_v7m_sp(env, (type & 4) != 0);
3934 /* Pop registers. */
3935 env->regs[0] = v7m_pop(env);
3936 env->regs[1] = v7m_pop(env);
3937 env->regs[2] = v7m_pop(env);
3938 env->regs[3] = v7m_pop(env);
3939 env->regs[12] = v7m_pop(env);
3940 env->regs[14] = v7m_pop(env);
3941 env->regs[15] = v7m_pop(env);
3942 xpsr = v7m_pop(env);
3943 xpsr_write(env, xpsr, 0xfffffdff);
3944 /* Undo stack alignment. */
3945 if (xpsr & 0x200)
3946 env->regs[13] |= 4;
3947 /* ??? The exception return type specifies Thread/Handler mode. However
3948 this is also implied by the xPSR value. Not sure what to do
3949 if there is a mismatch. */
3950 /* ??? Likewise for mismatches between the CONTROL register and the stack
3951 pointer. */
3952}
3953
e6f010cc 3954void arm_v7m_cpu_do_interrupt(CPUState *cs)
9ee6e8bb 3955{
e6f010cc
AF
3956 ARMCPU *cpu = ARM_CPU(cs);
3957 CPUARMState *env = &cpu->env;
9ee6e8bb
PB
3958 uint32_t xpsr = xpsr_read(env);
3959 uint32_t lr;
3960 uint32_t addr;
3961
27103424 3962 arm_log_exception(cs->exception_index);
3f1beaca 3963
9ee6e8bb
PB
3964 lr = 0xfffffff1;
3965 if (env->v7m.current_sp)
3966 lr |= 4;
3967 if (env->v7m.exception == 0)
3968 lr |= 8;
3969
3970 /* For exceptions we just mark as pending on the NVIC, and let that
3971 handle it. */
3972 /* TODO: Need to escalate if the current priority is higher than the
3973 one we're raising. */
27103424 3974 switch (cs->exception_index) {
9ee6e8bb 3975 case EXCP_UDEF:
983fe826 3976 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE);
9ee6e8bb
PB
3977 return;
3978 case EXCP_SWI:
314e2296 3979 /* The PC already points to the next instruction. */
983fe826 3980 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SVC);
9ee6e8bb
PB
3981 return;
3982 case EXCP_PREFETCH_ABORT:
3983 case EXCP_DATA_ABORT:
abf1172f
PM
3984 /* TODO: if we implemented the MPU registers, this is where we
3985 * should set the MMFAR, etc from exception.fsr and exception.vaddress.
3986 */
983fe826 3987 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_MEM);
9ee6e8bb
PB
3988 return;
3989 case EXCP_BKPT:
2ad207d4
PB
3990 if (semihosting_enabled) {
3991 int nr;
d31dd73e 3992 nr = arm_lduw_code(env, env->regs[15], env->bswap_code) & 0xff;
2ad207d4
PB
3993 if (nr == 0xab) {
3994 env->regs[15] += 2;
3995 env->regs[0] = do_arm_semihosting(env);
3f1beaca 3996 qemu_log_mask(CPU_LOG_INT, "...handled as semihosting call\n");
2ad207d4
PB
3997 return;
3998 }
3999 }
983fe826 4000 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_DEBUG);
9ee6e8bb
PB
4001 return;
4002 case EXCP_IRQ:
983fe826 4003 env->v7m.exception = armv7m_nvic_acknowledge_irq(env->nvic);
9ee6e8bb
PB
4004 break;
4005 case EXCP_EXCEPTION_EXIT:
4006 do_v7m_exception_exit(env);
4007 return;
4008 default:
a47dddd7 4009 cpu_abort(cs, "Unhandled exception 0x%x\n", cs->exception_index);
9ee6e8bb
PB
4010 return; /* Never happens. Keep compiler happy. */
4011 }
4012
4013 /* Align stack pointer. */
4014 /* ??? Should only do this if Configuration Control Register
4015 STACKALIGN bit is set. */
4016 if (env->regs[13] & 4) {
ab19b0ec 4017 env->regs[13] -= 4;
9ee6e8bb
PB
4018 xpsr |= 0x200;
4019 }
6c95676b 4020 /* Switch to the handler mode. */
9ee6e8bb
PB
4021 v7m_push(env, xpsr);
4022 v7m_push(env, env->regs[15]);
4023 v7m_push(env, env->regs[14]);
4024 v7m_push(env, env->regs[12]);
4025 v7m_push(env, env->regs[3]);
4026 v7m_push(env, env->regs[2]);
4027 v7m_push(env, env->regs[1]);
4028 v7m_push(env, env->regs[0]);
4029 switch_v7m_sp(env, 0);
c98d174c
PM
4030 /* Clear IT bits */
4031 env->condexec_bits = 0;
9ee6e8bb 4032 env->regs[14] = lr;
fdfba1a2 4033 addr = ldl_phys(cs->as, env->v7m.vecbase + env->v7m.exception * 4);
9ee6e8bb
PB
4034 env->regs[15] = addr & 0xfffffffe;
4035 env->thumb = addr & 1;
4036}
4037
b5ff1b31 4038/* Handle a CPU exception. */
97a8ea5a 4039void arm_cpu_do_interrupt(CPUState *cs)
b5ff1b31 4040{
97a8ea5a
AF
4041 ARMCPU *cpu = ARM_CPU(cs);
4042 CPUARMState *env = &cpu->env;
b5ff1b31
FB
4043 uint32_t addr;
4044 uint32_t mask;
4045 int new_mode;
4046 uint32_t offset;
16a906fd 4047 uint32_t moe;
b5ff1b31 4048
e6f010cc
AF
4049 assert(!IS_M(env));
4050
27103424 4051 arm_log_exception(cs->exception_index);
3f1beaca 4052
98128601
RH
4053 if (arm_is_psci_call(cpu, cs->exception_index)) {
4054 arm_handle_psci_call(cpu);
4055 qemu_log_mask(CPU_LOG_INT, "...handled as PSCI call\n");
4056 return;
4057 }
4058
16a906fd
PM
4059 /* If this is a debug exception we must update the DBGDSCR.MOE bits */
4060 switch (env->exception.syndrome >> ARM_EL_EC_SHIFT) {
4061 case EC_BREAKPOINT:
4062 case EC_BREAKPOINT_SAME_EL:
4063 moe = 1;
4064 break;
4065 case EC_WATCHPOINT:
4066 case EC_WATCHPOINT_SAME_EL:
4067 moe = 10;
4068 break;
4069 case EC_AA32_BKPT:
4070 moe = 3;
4071 break;
4072 case EC_VECTORCATCH:
4073 moe = 5;
4074 break;
4075 default:
4076 moe = 0;
4077 break;
4078 }
4079
4080 if (moe) {
4081 env->cp15.mdscr_el1 = deposit64(env->cp15.mdscr_el1, 2, 4, moe);
4082 }
4083
b5ff1b31 4084 /* TODO: Vectored interrupt controller. */
27103424 4085 switch (cs->exception_index) {
b5ff1b31
FB
4086 case EXCP_UDEF:
4087 new_mode = ARM_CPU_MODE_UND;
4088 addr = 0x04;
4089 mask = CPSR_I;
4090 if (env->thumb)
4091 offset = 2;
4092 else
4093 offset = 4;
4094 break;
4095 case EXCP_SWI:
8e71621f
PB
4096 if (semihosting_enabled) {
4097 /* Check for semihosting interrupt. */
4098 if (env->thumb) {
d31dd73e
BS
4099 mask = arm_lduw_code(env, env->regs[15] - 2, env->bswap_code)
4100 & 0xff;
8e71621f 4101 } else {
d31dd73e 4102 mask = arm_ldl_code(env, env->regs[15] - 4, env->bswap_code)
d8fd2954 4103 & 0xffffff;
8e71621f
PB
4104 }
4105 /* Only intercept calls from privileged modes, to provide some
4106 semblance of security. */
4107 if (((mask == 0x123456 && !env->thumb)
4108 || (mask == 0xab && env->thumb))
4109 && (env->uncached_cpsr & CPSR_M) != ARM_CPU_MODE_USR) {
4110 env->regs[0] = do_arm_semihosting(env);
3f1beaca 4111 qemu_log_mask(CPU_LOG_INT, "...handled as semihosting call\n");
8e71621f
PB
4112 return;
4113 }
4114 }
b5ff1b31
FB
4115 new_mode = ARM_CPU_MODE_SVC;
4116 addr = 0x08;
4117 mask = CPSR_I;
601d70b9 4118 /* The PC already points to the next instruction. */
b5ff1b31
FB
4119 offset = 0;
4120 break;
06c949e6 4121 case EXCP_BKPT:
9ee6e8bb 4122 /* See if this is a semihosting syscall. */
2ad207d4 4123 if (env->thumb && semihosting_enabled) {
d31dd73e 4124 mask = arm_lduw_code(env, env->regs[15], env->bswap_code) & 0xff;
9ee6e8bb
PB
4125 if (mask == 0xab
4126 && (env->uncached_cpsr & CPSR_M) != ARM_CPU_MODE_USR) {
4127 env->regs[15] += 2;
4128 env->regs[0] = do_arm_semihosting(env);
3f1beaca 4129 qemu_log_mask(CPU_LOG_INT, "...handled as semihosting call\n");
9ee6e8bb
PB
4130 return;
4131 }
4132 }
abf1172f 4133 env->exception.fsr = 2;
9ee6e8bb
PB
4134 /* Fall through to prefetch abort. */
4135 case EXCP_PREFETCH_ABORT:
6cd8a264 4136 env->cp15.ifsr_el2 = env->exception.fsr;
2f0180c5
EI
4137 env->cp15.far_el[1] = deposit64(env->cp15.far_el[1], 32, 32,
4138 env->exception.vaddress);
3f1beaca 4139 qemu_log_mask(CPU_LOG_INT, "...with IFSR 0x%x IFAR 0x%x\n",
6cd8a264 4140 env->cp15.ifsr_el2, (uint32_t)env->exception.vaddress);
b5ff1b31
FB
4141 new_mode = ARM_CPU_MODE_ABT;
4142 addr = 0x0c;
4143 mask = CPSR_A | CPSR_I;
4144 offset = 4;
4145 break;
4146 case EXCP_DATA_ABORT:
d81c519c 4147 env->cp15.esr_el[1] = env->exception.fsr;
2f0180c5
EI
4148 env->cp15.far_el[1] = deposit64(env->cp15.far_el[1], 0, 32,
4149 env->exception.vaddress);
3f1beaca 4150 qemu_log_mask(CPU_LOG_INT, "...with DFSR 0x%x DFAR 0x%x\n",
d81c519c 4151 (uint32_t)env->cp15.esr_el[1],
6cd8a264 4152 (uint32_t)env->exception.vaddress);
b5ff1b31
FB
4153 new_mode = ARM_CPU_MODE_ABT;
4154 addr = 0x10;
4155 mask = CPSR_A | CPSR_I;
4156 offset = 8;
4157 break;
4158 case EXCP_IRQ:
4159 new_mode = ARM_CPU_MODE_IRQ;
4160 addr = 0x18;
4161 /* Disable IRQ and imprecise data aborts. */
4162 mask = CPSR_A | CPSR_I;
4163 offset = 4;
4164 break;
4165 case EXCP_FIQ:
4166 new_mode = ARM_CPU_MODE_FIQ;
4167 addr = 0x1c;
4168 /* Disable FIQ, IRQ and imprecise data aborts. */
4169 mask = CPSR_A | CPSR_I | CPSR_F;
4170 offset = 4;
4171 break;
dbe9d163
FA
4172 case EXCP_SMC:
4173 new_mode = ARM_CPU_MODE_MON;
4174 addr = 0x08;
4175 mask = CPSR_A | CPSR_I | CPSR_F;
4176 offset = 0;
4177 break;
b5ff1b31 4178 default:
a47dddd7 4179 cpu_abort(cs, "Unhandled exception 0x%x\n", cs->exception_index);
b5ff1b31
FB
4180 return; /* Never happens. Keep compiler happy. */
4181 }
4182 /* High vectors. */
76e3e1bc 4183 if (env->cp15.c1_sys & SCTLR_V) {
8641136c 4184 /* when enabled, base address cannot be remapped. */
b5ff1b31 4185 addr += 0xffff0000;
8641136c
NR
4186 } else {
4187 /* ARM v7 architectures provide a vector base address register to remap
4188 * the interrupt vector table.
4189 * This register is only followed in non-monitor mode, and has a secure
4190 * and un-secure copy. Since the cpu is always in a un-secure operation
4191 * and is never in monitor mode this feature is always active.
4192 * Note: only bits 31:5 are valid.
4193 */
68fdb6c5 4194 addr += env->cp15.vbar_el[1];
b5ff1b31 4195 }
dbe9d163
FA
4196
4197 if ((env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_MON) {
4198 env->cp15.scr_el3 &= ~SCR_NS;
4199 }
4200
b5ff1b31 4201 switch_mode (env, new_mode);
662cefb7
PM
4202 /* For exceptions taken to AArch32 we must clear the SS bit in both
4203 * PSTATE and in the old-state value we save to SPSR_<mode>, so zero it now.
4204 */
4205 env->uncached_cpsr &= ~PSTATE_SS;
b5ff1b31 4206 env->spsr = cpsr_read(env);
9ee6e8bb
PB
4207 /* Clear IT bits. */
4208 env->condexec_bits = 0;
30a8cac1 4209 /* Switch to the new mode, and to the correct instruction set. */
6d7e6326 4210 env->uncached_cpsr = (env->uncached_cpsr & ~CPSR_M) | new_mode;
4cc35614 4211 env->daif |= mask;
be5e7a76
DES
4212 /* this is a lie, as the was no c1_sys on V4T/V5, but who cares
4213 * and we should just guard the thumb mode on V4 */
4214 if (arm_feature(env, ARM_FEATURE_V4T)) {
76e3e1bc 4215 env->thumb = (env->cp15.c1_sys & SCTLR_TE) != 0;
be5e7a76 4216 }
b5ff1b31
FB
4217 env->regs[14] = env->regs[15] + offset;
4218 env->regs[15] = addr;
259186a7 4219 cs->interrupt_request |= CPU_INTERRUPT_EXITTB;
b5ff1b31
FB
4220}
4221
4222/* Check section/page access permissions.
4223 Returns the page protection flags, or zero if the access is not
4224 permitted. */
0ecb72a5 4225static inline int check_ap(CPUARMState *env, int ap, int domain_prot,
dd4ebc2e 4226 int access_type, int is_user)
b5ff1b31 4227{
9ee6e8bb
PB
4228 int prot_ro;
4229
dd4ebc2e 4230 if (domain_prot == 3) {
b5ff1b31 4231 return PAGE_READ | PAGE_WRITE;
dd4ebc2e 4232 }
b5ff1b31 4233
9ee6e8bb
PB
4234 if (access_type == 1)
4235 prot_ro = 0;
4236 else
4237 prot_ro = PAGE_READ;
4238
b5ff1b31
FB
4239 switch (ap) {
4240 case 0:
99f678a6
PM
4241 if (arm_feature(env, ARM_FEATURE_V7)) {
4242 return 0;
4243 }
78600320 4244 if (access_type == 1)
b5ff1b31 4245 return 0;
76e3e1bc
PM
4246 switch (env->cp15.c1_sys & (SCTLR_S | SCTLR_R)) {
4247 case SCTLR_S:
b5ff1b31 4248 return is_user ? 0 : PAGE_READ;
76e3e1bc 4249 case SCTLR_R:
b5ff1b31
FB
4250 return PAGE_READ;
4251 default:
4252 return 0;
4253 }
4254 case 1:
4255 return is_user ? 0 : PAGE_READ | PAGE_WRITE;
4256 case 2:
4257 if (is_user)
9ee6e8bb 4258 return prot_ro;
b5ff1b31
FB
4259 else
4260 return PAGE_READ | PAGE_WRITE;
4261 case 3:
4262 return PAGE_READ | PAGE_WRITE;
d4934d18 4263 case 4: /* Reserved. */
9ee6e8bb
PB
4264 return 0;
4265 case 5:
4266 return is_user ? 0 : prot_ro;
4267 case 6:
4268 return prot_ro;
d4934d18 4269 case 7:
0ab06d83 4270 if (!arm_feature (env, ARM_FEATURE_V6K))
d4934d18
PB
4271 return 0;
4272 return prot_ro;
b5ff1b31
FB
4273 default:
4274 abort();
4275 }
4276}
4277
e389be16
FA
4278static bool get_level1_table_address(CPUARMState *env, uint32_t *table,
4279 uint32_t address)
b2fa1797 4280{
e389be16
FA
4281 if (address & env->cp15.c2_mask) {
4282 if ((env->cp15.c2_control & TTBCR_PD1)) {
4283 /* Translation table walk disabled for TTBR1 */
4284 return false;
4285 }
4286 *table = env->cp15.ttbr1_el1 & 0xffffc000;
4287 } else {
4288 if ((env->cp15.c2_control & TTBCR_PD0)) {
4289 /* Translation table walk disabled for TTBR0 */
4290 return false;
4291 }
4292 *table = env->cp15.ttbr0_el1 & env->cp15.c2_base_mask;
4293 }
4294 *table |= (address >> 18) & 0x3ffc;
4295 return true;
b2fa1797
PB
4296}
4297
0ecb72a5 4298static int get_phys_addr_v5(CPUARMState *env, uint32_t address, int access_type,
a8170e5e 4299 int is_user, hwaddr *phys_ptr,
77a71dd1 4300 int *prot, target_ulong *page_size)
b5ff1b31 4301{
70d74660 4302 CPUState *cs = CPU(arm_env_get_cpu(env));
b5ff1b31
FB
4303 int code;
4304 uint32_t table;
4305 uint32_t desc;
4306 int type;
4307 int ap;
e389be16 4308 int domain = 0;
dd4ebc2e 4309 int domain_prot;
a8170e5e 4310 hwaddr phys_addr;
b5ff1b31 4311
9ee6e8bb
PB
4312 /* Pagetable walk. */
4313 /* Lookup l1 descriptor. */
e389be16
FA
4314 if (!get_level1_table_address(env, &table, address)) {
4315 /* Section translation fault if page walk is disabled by PD0 or PD1 */
4316 code = 5;
4317 goto do_fault;
4318 }
fdfba1a2 4319 desc = ldl_phys(cs->as, table);
9ee6e8bb 4320 type = (desc & 3);
dd4ebc2e
JCD
4321 domain = (desc >> 5) & 0x0f;
4322 domain_prot = (env->cp15.c3 >> (domain * 2)) & 3;
9ee6e8bb 4323 if (type == 0) {
601d70b9 4324 /* Section translation fault. */
9ee6e8bb
PB
4325 code = 5;
4326 goto do_fault;
4327 }
dd4ebc2e 4328 if (domain_prot == 0 || domain_prot == 2) {
9ee6e8bb
PB
4329 if (type == 2)
4330 code = 9; /* Section domain fault. */
4331 else
4332 code = 11; /* Page domain fault. */
4333 goto do_fault;
4334 }
4335 if (type == 2) {
4336 /* 1Mb section. */
4337 phys_addr = (desc & 0xfff00000) | (address & 0x000fffff);
4338 ap = (desc >> 10) & 3;
4339 code = 13;
d4c430a8 4340 *page_size = 1024 * 1024;
9ee6e8bb
PB
4341 } else {
4342 /* Lookup l2 entry. */
4343 if (type == 1) {
4344 /* Coarse pagetable. */
4345 table = (desc & 0xfffffc00) | ((address >> 10) & 0x3fc);
4346 } else {
4347 /* Fine pagetable. */
4348 table = (desc & 0xfffff000) | ((address >> 8) & 0xffc);
4349 }
fdfba1a2 4350 desc = ldl_phys(cs->as, table);
9ee6e8bb
PB
4351 switch (desc & 3) {
4352 case 0: /* Page translation fault. */
4353 code = 7;
4354 goto do_fault;
4355 case 1: /* 64k page. */
4356 phys_addr = (desc & 0xffff0000) | (address & 0xffff);
4357 ap = (desc >> (4 + ((address >> 13) & 6))) & 3;
d4c430a8 4358 *page_size = 0x10000;
ce819861 4359 break;
9ee6e8bb
PB
4360 case 2: /* 4k page. */
4361 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
c10f7fc3 4362 ap = (desc >> (4 + ((address >> 9) & 6))) & 3;
d4c430a8 4363 *page_size = 0x1000;
ce819861 4364 break;
9ee6e8bb
PB
4365 case 3: /* 1k page. */
4366 if (type == 1) {
4367 if (arm_feature(env, ARM_FEATURE_XSCALE)) {
4368 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
4369 } else {
4370 /* Page translation fault. */
4371 code = 7;
4372 goto do_fault;
4373 }
4374 } else {
4375 phys_addr = (desc & 0xfffffc00) | (address & 0x3ff);
4376 }
4377 ap = (desc >> 4) & 3;
d4c430a8 4378 *page_size = 0x400;
ce819861
PB
4379 break;
4380 default:
9ee6e8bb
PB
4381 /* Never happens, but compiler isn't smart enough to tell. */
4382 abort();
ce819861 4383 }
9ee6e8bb
PB
4384 code = 15;
4385 }
dd4ebc2e 4386 *prot = check_ap(env, ap, domain_prot, access_type, is_user);
9ee6e8bb
PB
4387 if (!*prot) {
4388 /* Access permission fault. */
4389 goto do_fault;
4390 }
3ad493fc 4391 *prot |= PAGE_EXEC;
9ee6e8bb
PB
4392 *phys_ptr = phys_addr;
4393 return 0;
4394do_fault:
4395 return code | (domain << 4);
4396}
4397
0ecb72a5 4398static int get_phys_addr_v6(CPUARMState *env, uint32_t address, int access_type,
a8170e5e 4399 int is_user, hwaddr *phys_ptr,
77a71dd1 4400 int *prot, target_ulong *page_size)
9ee6e8bb 4401{
70d74660 4402 CPUState *cs = CPU(arm_env_get_cpu(env));
9ee6e8bb
PB
4403 int code;
4404 uint32_t table;
4405 uint32_t desc;
4406 uint32_t xn;
de9b05b8 4407 uint32_t pxn = 0;
9ee6e8bb
PB
4408 int type;
4409 int ap;
de9b05b8 4410 int domain = 0;
dd4ebc2e 4411 int domain_prot;
a8170e5e 4412 hwaddr phys_addr;
9ee6e8bb
PB
4413
4414 /* Pagetable walk. */
4415 /* Lookup l1 descriptor. */
e389be16
FA
4416 if (!get_level1_table_address(env, &table, address)) {
4417 /* Section translation fault if page walk is disabled by PD0 or PD1 */
4418 code = 5;
4419 goto do_fault;
4420 }
fdfba1a2 4421 desc = ldl_phys(cs->as, table);
9ee6e8bb 4422 type = (desc & 3);
de9b05b8
PM
4423 if (type == 0 || (type == 3 && !arm_feature(env, ARM_FEATURE_PXN))) {
4424 /* Section translation fault, or attempt to use the encoding
4425 * which is Reserved on implementations without PXN.
4426 */
9ee6e8bb 4427 code = 5;
9ee6e8bb 4428 goto do_fault;
de9b05b8
PM
4429 }
4430 if ((type == 1) || !(desc & (1 << 18))) {
4431 /* Page or Section. */
dd4ebc2e 4432 domain = (desc >> 5) & 0x0f;
9ee6e8bb 4433 }
dd4ebc2e
JCD
4434 domain_prot = (env->cp15.c3 >> (domain * 2)) & 3;
4435 if (domain_prot == 0 || domain_prot == 2) {
de9b05b8 4436 if (type != 1) {
9ee6e8bb 4437 code = 9; /* Section domain fault. */
de9b05b8 4438 } else {
9ee6e8bb 4439 code = 11; /* Page domain fault. */
de9b05b8 4440 }
9ee6e8bb
PB
4441 goto do_fault;
4442 }
de9b05b8 4443 if (type != 1) {
9ee6e8bb
PB
4444 if (desc & (1 << 18)) {
4445 /* Supersection. */
4446 phys_addr = (desc & 0xff000000) | (address & 0x00ffffff);
d4c430a8 4447 *page_size = 0x1000000;
b5ff1b31 4448 } else {
9ee6e8bb
PB
4449 /* Section. */
4450 phys_addr = (desc & 0xfff00000) | (address & 0x000fffff);
d4c430a8 4451 *page_size = 0x100000;
b5ff1b31 4452 }
9ee6e8bb
PB
4453 ap = ((desc >> 10) & 3) | ((desc >> 13) & 4);
4454 xn = desc & (1 << 4);
de9b05b8 4455 pxn = desc & 1;
9ee6e8bb
PB
4456 code = 13;
4457 } else {
de9b05b8
PM
4458 if (arm_feature(env, ARM_FEATURE_PXN)) {
4459 pxn = (desc >> 2) & 1;
4460 }
9ee6e8bb
PB
4461 /* Lookup l2 entry. */
4462 table = (desc & 0xfffffc00) | ((address >> 10) & 0x3fc);
fdfba1a2 4463 desc = ldl_phys(cs->as, table);
9ee6e8bb
PB
4464 ap = ((desc >> 4) & 3) | ((desc >> 7) & 4);
4465 switch (desc & 3) {
4466 case 0: /* Page translation fault. */
4467 code = 7;
b5ff1b31 4468 goto do_fault;
9ee6e8bb
PB
4469 case 1: /* 64k page. */
4470 phys_addr = (desc & 0xffff0000) | (address & 0xffff);
4471 xn = desc & (1 << 15);
d4c430a8 4472 *page_size = 0x10000;
9ee6e8bb
PB
4473 break;
4474 case 2: case 3: /* 4k page. */
4475 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
4476 xn = desc & 1;
d4c430a8 4477 *page_size = 0x1000;
9ee6e8bb
PB
4478 break;
4479 default:
4480 /* Never happens, but compiler isn't smart enough to tell. */
4481 abort();
b5ff1b31 4482 }
9ee6e8bb
PB
4483 code = 15;
4484 }
dd4ebc2e 4485 if (domain_prot == 3) {
c0034328
JR
4486 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
4487 } else {
de9b05b8
PM
4488 if (pxn && !is_user) {
4489 xn = 1;
4490 }
c0034328
JR
4491 if (xn && access_type == 2)
4492 goto do_fault;
9ee6e8bb 4493
c0034328 4494 /* The simplified model uses AP[0] as an access control bit. */
76e3e1bc 4495 if ((env->cp15.c1_sys & SCTLR_AFE) && (ap & 1) == 0) {
c0034328
JR
4496 /* Access flag fault. */
4497 code = (code == 15) ? 6 : 3;
4498 goto do_fault;
4499 }
dd4ebc2e 4500 *prot = check_ap(env, ap, domain_prot, access_type, is_user);
c0034328
JR
4501 if (!*prot) {
4502 /* Access permission fault. */
4503 goto do_fault;
4504 }
4505 if (!xn) {
4506 *prot |= PAGE_EXEC;
4507 }
3ad493fc 4508 }
9ee6e8bb 4509 *phys_ptr = phys_addr;
b5ff1b31
FB
4510 return 0;
4511do_fault:
4512 return code | (domain << 4);
4513}
4514
3dde962f
PM
4515/* Fault type for long-descriptor MMU fault reporting; this corresponds
4516 * to bits [5..2] in the STATUS field in long-format DFSR/IFSR.
4517 */
4518typedef enum {
4519 translation_fault = 1,
4520 access_fault = 2,
4521 permission_fault = 3,
4522} MMUFaultType;
4523
2c8dd318 4524static int get_phys_addr_lpae(CPUARMState *env, target_ulong address,
3dde962f 4525 int access_type, int is_user,
a8170e5e 4526 hwaddr *phys_ptr, int *prot,
3dde962f
PM
4527 target_ulong *page_size_ptr)
4528{
70d74660 4529 CPUState *cs = CPU(arm_env_get_cpu(env));
3dde962f
PM
4530 /* Read an LPAE long-descriptor translation table. */
4531 MMUFaultType fault_type = translation_fault;
4532 uint32_t level = 1;
4533 uint32_t epd;
2c8dd318
RH
4534 int32_t tsz;
4535 uint32_t tg;
3dde962f
PM
4536 uint64_t ttbr;
4537 int ttbr_select;
2c8dd318 4538 hwaddr descaddr, descmask;
3dde962f
PM
4539 uint32_t tableattrs;
4540 target_ulong page_size;
4541 uint32_t attrs;
2c8dd318
RH
4542 int32_t granule_sz = 9;
4543 int32_t va_size = 32;
4544 int32_t tbi = 0;
4545
4546 if (arm_el_is_aa64(env, 1)) {
4547 va_size = 64;
4548 if (extract64(address, 55, 1))
4549 tbi = extract64(env->cp15.c2_control, 38, 1);
4550 else
4551 tbi = extract64(env->cp15.c2_control, 37, 1);
4552 tbi *= 8;
4553 }
3dde962f
PM
4554
4555 /* Determine whether this address is in the region controlled by
4556 * TTBR0 or TTBR1 (or if it is in neither region and should fault).
4557 * This is a Non-secure PL0/1 stage 1 translation, so controlled by
4558 * TTBCR/TTBR0/TTBR1 in accordance with ARM ARM DDI0406C table B-32:
4559 */
2c8dd318
RH
4560 uint32_t t0sz = extract32(env->cp15.c2_control, 0, 6);
4561 if (arm_el_is_aa64(env, 1)) {
4562 t0sz = MIN(t0sz, 39);
4563 t0sz = MAX(t0sz, 16);
4564 }
4565 uint32_t t1sz = extract32(env->cp15.c2_control, 16, 6);
4566 if (arm_el_is_aa64(env, 1)) {
4567 t1sz = MIN(t1sz, 39);
4568 t1sz = MAX(t1sz, 16);
4569 }
4570 if (t0sz && !extract64(address, va_size - t0sz, t0sz - tbi)) {
3dde962f
PM
4571 /* there is a ttbr0 region and we are in it (high bits all zero) */
4572 ttbr_select = 0;
2c8dd318 4573 } else if (t1sz && !extract64(~address, va_size - t1sz, t1sz - tbi)) {
3dde962f
PM
4574 /* there is a ttbr1 region and we are in it (high bits all one) */
4575 ttbr_select = 1;
4576 } else if (!t0sz) {
4577 /* ttbr0 region is "everything not in the ttbr1 region" */
4578 ttbr_select = 0;
4579 } else if (!t1sz) {
4580 /* ttbr1 region is "everything not in the ttbr0 region" */
4581 ttbr_select = 1;
4582 } else {
4583 /* in the gap between the two regions, this is a Translation fault */
4584 fault_type = translation_fault;
4585 goto do_fault;
4586 }
4587
4588 /* Note that QEMU ignores shareability and cacheability attributes,
4589 * so we don't need to do anything with the SH, ORGN, IRGN fields
4590 * in the TTBCR. Similarly, TTBCR:A1 selects whether we get the
4591 * ASID from TTBR0 or TTBR1, but QEMU's TLB doesn't currently
4592 * implement any ASID-like capability so we can ignore it (instead
4593 * we will always flush the TLB any time the ASID is changed).
4594 */
4595 if (ttbr_select == 0) {
327ed10f 4596 ttbr = env->cp15.ttbr0_el1;
3dde962f
PM
4597 epd = extract32(env->cp15.c2_control, 7, 1);
4598 tsz = t0sz;
2c8dd318
RH
4599
4600 tg = extract32(env->cp15.c2_control, 14, 2);
4601 if (tg == 1) { /* 64KB pages */
4602 granule_sz = 13;
4603 }
4604 if (tg == 2) { /* 16KB pages */
4605 granule_sz = 11;
4606 }
3dde962f 4607 } else {
327ed10f 4608 ttbr = env->cp15.ttbr1_el1;
3dde962f
PM
4609 epd = extract32(env->cp15.c2_control, 23, 1);
4610 tsz = t1sz;
2c8dd318
RH
4611
4612 tg = extract32(env->cp15.c2_control, 30, 2);
4613 if (tg == 3) { /* 64KB pages */
4614 granule_sz = 13;
4615 }
4616 if (tg == 1) { /* 16KB pages */
4617 granule_sz = 11;
4618 }
3dde962f
PM
4619 }
4620
4621 if (epd) {
4622 /* Translation table walk disabled => Translation fault on TLB miss */
4623 goto do_fault;
4624 }
4625
d6be29e3
PM
4626 /* The starting level depends on the virtual address size (which can be
4627 * up to 48 bits) and the translation granule size. It indicates the number
4628 * of strides (granule_sz bits at a time) needed to consume the bits
4629 * of the input address. In the pseudocode this is:
4630 * level = 4 - RoundUp((inputsize - grainsize) / stride)
4631 * where their 'inputsize' is our 'va_size - tsz', 'grainsize' is
4632 * our 'granule_sz + 3' and 'stride' is our 'granule_sz'.
4633 * Applying the usual "rounded up m/n is (m+n-1)/n" and simplifying:
4634 * = 4 - (va_size - tsz - granule_sz - 3 + granule_sz - 1) / granule_sz
4635 * = 4 - (va_size - tsz - 4) / granule_sz;
3dde962f 4636 */
d6be29e3 4637 level = 4 - (va_size - tsz - 4) / granule_sz;
3dde962f
PM
4638
4639 /* Clear the vaddr bits which aren't part of the within-region address,
4640 * so that we don't have to special case things when calculating the
4641 * first descriptor address.
4642 */
2c8dd318
RH
4643 if (tsz) {
4644 address &= (1ULL << (va_size - tsz)) - 1;
4645 }
4646
4647 descmask = (1ULL << (granule_sz + 3)) - 1;
3dde962f
PM
4648
4649 /* Now we can extract the actual base address from the TTBR */
2c8dd318
RH
4650 descaddr = extract64(ttbr, 0, 48);
4651 descaddr &= ~((1ULL << (va_size - tsz - (granule_sz * (4 - level)))) - 1);
3dde962f
PM
4652
4653 tableattrs = 0;
4654 for (;;) {
4655 uint64_t descriptor;
4656
2c8dd318
RH
4657 descaddr |= (address >> (granule_sz * (4 - level))) & descmask;
4658 descaddr &= ~7ULL;
2c17449b 4659 descriptor = ldq_phys(cs->as, descaddr);
3dde962f
PM
4660 if (!(descriptor & 1) ||
4661 (!(descriptor & 2) && (level == 3))) {
4662 /* Invalid, or the Reserved level 3 encoding */
4663 goto do_fault;
4664 }
4665 descaddr = descriptor & 0xfffffff000ULL;
4666
4667 if ((descriptor & 2) && (level < 3)) {
4668 /* Table entry. The top five bits are attributes which may
4669 * propagate down through lower levels of the table (and
4670 * which are all arranged so that 0 means "no effect", so
4671 * we can gather them up by ORing in the bits at each level).
4672 */
4673 tableattrs |= extract64(descriptor, 59, 5);
4674 level++;
4675 continue;
4676 }
4677 /* Block entry at level 1 or 2, or page entry at level 3.
4678 * These are basically the same thing, although the number
4679 * of bits we pull in from the vaddr varies.
4680 */
5661ae6b 4681 page_size = (1ULL << ((granule_sz * (4 - level)) + 3));
3dde962f
PM
4682 descaddr |= (address & (page_size - 1));
4683 /* Extract attributes from the descriptor and merge with table attrs */
d615efac
IC
4684 attrs = extract64(descriptor, 2, 10)
4685 | (extract64(descriptor, 52, 12) << 10);
3dde962f
PM
4686 attrs |= extract32(tableattrs, 0, 2) << 11; /* XN, PXN */
4687 attrs |= extract32(tableattrs, 3, 1) << 5; /* APTable[1] => AP[2] */
4688 /* The sense of AP[1] vs APTable[0] is reversed, as APTable[0] == 1
4689 * means "force PL1 access only", which means forcing AP[1] to 0.
4690 */
4691 if (extract32(tableattrs, 2, 1)) {
4692 attrs &= ~(1 << 4);
4693 }
4694 /* Since we're always in the Non-secure state, NSTable is ignored. */
4695 break;
4696 }
4697 /* Here descaddr is the final physical address, and attributes
4698 * are all in attrs.
4699 */
4700 fault_type = access_fault;
4701 if ((attrs & (1 << 8)) == 0) {
4702 /* Access flag */
4703 goto do_fault;
4704 }
4705 fault_type = permission_fault;
4706 if (is_user && !(attrs & (1 << 4))) {
4707 /* Unprivileged access not enabled */
4708 goto do_fault;
4709 }
4710 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
d615efac
IC
4711 if ((arm_feature(env, ARM_FEATURE_V8) && is_user && (attrs & (1 << 12))) ||
4712 (!arm_feature(env, ARM_FEATURE_V8) && (attrs & (1 << 12))) ||
4713 (!is_user && (attrs & (1 << 11)))) {
4714 /* XN/UXN or PXN. Since we only implement EL0/EL1 we unconditionally
4715 * treat XN/UXN as UXN for v8.
4716 */
3dde962f
PM
4717 if (access_type == 2) {
4718 goto do_fault;
4719 }
4720 *prot &= ~PAGE_EXEC;
4721 }
4722 if (attrs & (1 << 5)) {
4723 /* Write access forbidden */
4724 if (access_type == 1) {
4725 goto do_fault;
4726 }
4727 *prot &= ~PAGE_WRITE;
4728 }
4729
4730 *phys_ptr = descaddr;
4731 *page_size_ptr = page_size;
4732 return 0;
4733
4734do_fault:
4735 /* Long-descriptor format IFSR/DFSR value */
4736 return (1 << 9) | (fault_type << 2) | level;
4737}
4738
77a71dd1
PM
4739static int get_phys_addr_mpu(CPUARMState *env, uint32_t address,
4740 int access_type, int is_user,
a8170e5e 4741 hwaddr *phys_ptr, int *prot)
9ee6e8bb
PB
4742{
4743 int n;
4744 uint32_t mask;
4745 uint32_t base;
4746
4747 *phys_ptr = address;
4748 for (n = 7; n >= 0; n--) {
4749 base = env->cp15.c6_region[n];
4750 if ((base & 1) == 0)
4751 continue;
4752 mask = 1 << ((base >> 1) & 0x1f);
4753 /* Keep this shift separate from the above to avoid an
4754 (undefined) << 32. */
4755 mask = (mask << 1) - 1;
4756 if (((base ^ address) & ~mask) == 0)
4757 break;
4758 }
4759 if (n < 0)
4760 return 2;
4761
4762 if (access_type == 2) {
7e09797c 4763 mask = env->cp15.pmsav5_insn_ap;
9ee6e8bb 4764 } else {
7e09797c 4765 mask = env->cp15.pmsav5_data_ap;
9ee6e8bb
PB
4766 }
4767 mask = (mask >> (n * 4)) & 0xf;
4768 switch (mask) {
4769 case 0:
4770 return 1;
4771 case 1:
4772 if (is_user)
4773 return 1;
4774 *prot = PAGE_READ | PAGE_WRITE;
4775 break;
4776 case 2:
4777 *prot = PAGE_READ;
4778 if (!is_user)
4779 *prot |= PAGE_WRITE;
4780 break;
4781 case 3:
4782 *prot = PAGE_READ | PAGE_WRITE;
4783 break;
4784 case 5:
4785 if (is_user)
4786 return 1;
4787 *prot = PAGE_READ;
4788 break;
4789 case 6:
4790 *prot = PAGE_READ;
4791 break;
4792 default:
4793 /* Bad permission. */
4794 return 1;
4795 }
3ad493fc 4796 *prot |= PAGE_EXEC;
9ee6e8bb
PB
4797 return 0;
4798}
4799
702a9357
PM
4800/* get_phys_addr - get the physical address for this virtual address
4801 *
4802 * Find the physical address corresponding to the given virtual address,
4803 * by doing a translation table walk on MMU based systems or using the
4804 * MPU state on MPU based systems.
4805 *
4806 * Returns 0 if the translation was successful. Otherwise, phys_ptr,
4807 * prot and page_size are not filled in, and the return value provides
4808 * information on why the translation aborted, in the format of a
4809 * DFSR/IFSR fault register, with the following caveats:
4810 * * we honour the short vs long DFSR format differences.
4811 * * the WnR bit is never set (the caller must do this).
4812 * * for MPU based systems we don't bother to return a full FSR format
4813 * value.
4814 *
4815 * @env: CPUARMState
4816 * @address: virtual address to get physical address for
4817 * @access_type: 0 for read, 1 for write, 2 for execute
4818 * @is_user: 0 for privileged access, 1 for user
4819 * @phys_ptr: set to the physical address corresponding to the virtual address
4820 * @prot: set to the permissions for the page containing phys_ptr
4821 * @page_size: set to the size of the page containing phys_ptr
4822 */
2c8dd318 4823static inline int get_phys_addr(CPUARMState *env, target_ulong address,
9ee6e8bb 4824 int access_type, int is_user,
a8170e5e 4825 hwaddr *phys_ptr, int *prot,
d4c430a8 4826 target_ulong *page_size)
9ee6e8bb
PB
4827{
4828 /* Fast Context Switch Extension. */
4829 if (address < 0x02000000)
4830 address += env->cp15.c13_fcse;
4831
76e3e1bc 4832 if ((env->cp15.c1_sys & SCTLR_M) == 0) {
9ee6e8bb
PB
4833 /* MMU/MPU disabled. */
4834 *phys_ptr = address;
3ad493fc 4835 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
d4c430a8 4836 *page_size = TARGET_PAGE_SIZE;
9ee6e8bb
PB
4837 return 0;
4838 } else if (arm_feature(env, ARM_FEATURE_MPU)) {
d4c430a8 4839 *page_size = TARGET_PAGE_SIZE;
9ee6e8bb
PB
4840 return get_phys_addr_mpu(env, address, access_type, is_user, phys_ptr,
4841 prot);
3dde962f
PM
4842 } else if (extended_addresses_enabled(env)) {
4843 return get_phys_addr_lpae(env, address, access_type, is_user, phys_ptr,
4844 prot, page_size);
76e3e1bc 4845 } else if (env->cp15.c1_sys & SCTLR_XP) {
9ee6e8bb 4846 return get_phys_addr_v6(env, address, access_type, is_user, phys_ptr,
d4c430a8 4847 prot, page_size);
9ee6e8bb
PB
4848 } else {
4849 return get_phys_addr_v5(env, address, access_type, is_user, phys_ptr,
d4c430a8 4850 prot, page_size);
9ee6e8bb
PB
4851 }
4852}
4853
7510454e
AF
4854int arm_cpu_handle_mmu_fault(CPUState *cs, vaddr address,
4855 int access_type, int mmu_idx)
b5ff1b31 4856{
7510454e
AF
4857 ARMCPU *cpu = ARM_CPU(cs);
4858 CPUARMState *env = &cpu->env;
a8170e5e 4859 hwaddr phys_addr;
d4c430a8 4860 target_ulong page_size;
b5ff1b31 4861 int prot;
6ebbf390 4862 int ret, is_user;
00892383 4863 uint32_t syn;
dcbff19b 4864 bool same_el = (arm_current_el(env) != 0);
b5ff1b31 4865
6ebbf390 4866 is_user = mmu_idx == MMU_USER_IDX;
d4c430a8
PB
4867 ret = get_phys_addr(env, address, access_type, is_user, &phys_addr, &prot,
4868 &page_size);
b5ff1b31
FB
4869 if (ret == 0) {
4870 /* Map a single [sub]page. */
dcd82c11
AB
4871 phys_addr &= TARGET_PAGE_MASK;
4872 address &= TARGET_PAGE_MASK;
0c591eb0 4873 tlb_set_page(cs, address, phys_addr, prot, mmu_idx, page_size);
d4c430a8 4874 return 0;
b5ff1b31
FB
4875 }
4876
00892383
RH
4877 /* AArch64 syndrome does not have an LPAE bit */
4878 syn = ret & ~(1 << 9);
4879
4880 /* For insn and data aborts we assume there is no instruction syndrome
4881 * information; this is always true for exceptions reported to EL1.
4882 */
b5ff1b31 4883 if (access_type == 2) {
00892383 4884 syn = syn_insn_abort(same_el, 0, 0, syn);
27103424 4885 cs->exception_index = EXCP_PREFETCH_ABORT;
b5ff1b31 4886 } else {
00892383 4887 syn = syn_data_abort(same_el, 0, 0, 0, access_type == 1, syn);
abf1172f
PM
4888 if (access_type == 1 && arm_feature(env, ARM_FEATURE_V6)) {
4889 ret |= (1 << 11);
4890 }
27103424 4891 cs->exception_index = EXCP_DATA_ABORT;
b5ff1b31 4892 }
00892383
RH
4893
4894 env->exception.syndrome = syn;
abf1172f
PM
4895 env->exception.vaddress = address;
4896 env->exception.fsr = ret;
b5ff1b31
FB
4897 return 1;
4898}
4899
00b941e5 4900hwaddr arm_cpu_get_phys_page_debug(CPUState *cs, vaddr addr)
b5ff1b31 4901{
00b941e5 4902 ARMCPU *cpu = ARM_CPU(cs);
a8170e5e 4903 hwaddr phys_addr;
d4c430a8 4904 target_ulong page_size;
b5ff1b31
FB
4905 int prot;
4906 int ret;
4907
00b941e5 4908 ret = get_phys_addr(&cpu->env, addr, 0, 0, &phys_addr, &prot, &page_size);
b5ff1b31 4909
00b941e5 4910 if (ret != 0) {
b5ff1b31 4911 return -1;
00b941e5 4912 }
b5ff1b31
FB
4913
4914 return phys_addr;
4915}
4916
0ecb72a5 4917void HELPER(set_r13_banked)(CPUARMState *env, uint32_t mode, uint32_t val)
9ee6e8bb 4918{
39ea3d4e
PM
4919 if ((env->uncached_cpsr & CPSR_M) == mode) {
4920 env->regs[13] = val;
4921 } else {
f5206413 4922 env->banked_r13[bank_number(mode)] = val;
39ea3d4e 4923 }
9ee6e8bb
PB
4924}
4925
0ecb72a5 4926uint32_t HELPER(get_r13_banked)(CPUARMState *env, uint32_t mode)
9ee6e8bb 4927{
39ea3d4e
PM
4928 if ((env->uncached_cpsr & CPSR_M) == mode) {
4929 return env->regs[13];
4930 } else {
f5206413 4931 return env->banked_r13[bank_number(mode)];
39ea3d4e 4932 }
9ee6e8bb
PB
4933}
4934
0ecb72a5 4935uint32_t HELPER(v7m_mrs)(CPUARMState *env, uint32_t reg)
9ee6e8bb 4936{
a47dddd7
AF
4937 ARMCPU *cpu = arm_env_get_cpu(env);
4938
9ee6e8bb
PB
4939 switch (reg) {
4940 case 0: /* APSR */
4941 return xpsr_read(env) & 0xf8000000;
4942 case 1: /* IAPSR */
4943 return xpsr_read(env) & 0xf80001ff;
4944 case 2: /* EAPSR */
4945 return xpsr_read(env) & 0xff00fc00;
4946 case 3: /* xPSR */
4947 return xpsr_read(env) & 0xff00fdff;
4948 case 5: /* IPSR */
4949 return xpsr_read(env) & 0x000001ff;
4950 case 6: /* EPSR */
4951 return xpsr_read(env) & 0x0700fc00;
4952 case 7: /* IEPSR */
4953 return xpsr_read(env) & 0x0700edff;
4954 case 8: /* MSP */
4955 return env->v7m.current_sp ? env->v7m.other_sp : env->regs[13];
4956 case 9: /* PSP */
4957 return env->v7m.current_sp ? env->regs[13] : env->v7m.other_sp;
4958 case 16: /* PRIMASK */
4cc35614 4959 return (env->daif & PSTATE_I) != 0;
82845826
SH
4960 case 17: /* BASEPRI */
4961 case 18: /* BASEPRI_MAX */
9ee6e8bb 4962 return env->v7m.basepri;
82845826 4963 case 19: /* FAULTMASK */
4cc35614 4964 return (env->daif & PSTATE_F) != 0;
9ee6e8bb
PB
4965 case 20: /* CONTROL */
4966 return env->v7m.control;
4967 default:
4968 /* ??? For debugging only. */
a47dddd7 4969 cpu_abort(CPU(cpu), "Unimplemented system register read (%d)\n", reg);
9ee6e8bb
PB
4970 return 0;
4971 }
4972}
4973
0ecb72a5 4974void HELPER(v7m_msr)(CPUARMState *env, uint32_t reg, uint32_t val)
9ee6e8bb 4975{
a47dddd7
AF
4976 ARMCPU *cpu = arm_env_get_cpu(env);
4977
9ee6e8bb
PB
4978 switch (reg) {
4979 case 0: /* APSR */
4980 xpsr_write(env, val, 0xf8000000);
4981 break;
4982 case 1: /* IAPSR */
4983 xpsr_write(env, val, 0xf8000000);
4984 break;
4985 case 2: /* EAPSR */
4986 xpsr_write(env, val, 0xfe00fc00);
4987 break;
4988 case 3: /* xPSR */
4989 xpsr_write(env, val, 0xfe00fc00);
4990 break;
4991 case 5: /* IPSR */
4992 /* IPSR bits are readonly. */
4993 break;
4994 case 6: /* EPSR */
4995 xpsr_write(env, val, 0x0600fc00);
4996 break;
4997 case 7: /* IEPSR */
4998 xpsr_write(env, val, 0x0600fc00);
4999 break;
5000 case 8: /* MSP */
5001 if (env->v7m.current_sp)
5002 env->v7m.other_sp = val;
5003 else
5004 env->regs[13] = val;
5005 break;
5006 case 9: /* PSP */
5007 if (env->v7m.current_sp)
5008 env->regs[13] = val;
5009 else
5010 env->v7m.other_sp = val;
5011 break;
5012 case 16: /* PRIMASK */
4cc35614
PM
5013 if (val & 1) {
5014 env->daif |= PSTATE_I;
5015 } else {
5016 env->daif &= ~PSTATE_I;
5017 }
9ee6e8bb 5018 break;
82845826 5019 case 17: /* BASEPRI */
9ee6e8bb
PB
5020 env->v7m.basepri = val & 0xff;
5021 break;
82845826 5022 case 18: /* BASEPRI_MAX */
9ee6e8bb
PB
5023 val &= 0xff;
5024 if (val != 0 && (val < env->v7m.basepri || env->v7m.basepri == 0))
5025 env->v7m.basepri = val;
5026 break;
82845826 5027 case 19: /* FAULTMASK */
4cc35614
PM
5028 if (val & 1) {
5029 env->daif |= PSTATE_F;
5030 } else {
5031 env->daif &= ~PSTATE_F;
5032 }
82845826 5033 break;
9ee6e8bb
PB
5034 case 20: /* CONTROL */
5035 env->v7m.control = val & 3;
5036 switch_v7m_sp(env, (val & 2) != 0);
5037 break;
5038 default:
5039 /* ??? For debugging only. */
a47dddd7 5040 cpu_abort(CPU(cpu), "Unimplemented system register write (%d)\n", reg);
9ee6e8bb
PB
5041 return;
5042 }
5043}
5044
b5ff1b31 5045#endif
6ddbc6e4 5046
aca3f40b
PM
5047void HELPER(dc_zva)(CPUARMState *env, uint64_t vaddr_in)
5048{
5049 /* Implement DC ZVA, which zeroes a fixed-length block of memory.
5050 * Note that we do not implement the (architecturally mandated)
5051 * alignment fault for attempts to use this on Device memory
5052 * (which matches the usual QEMU behaviour of not implementing either
5053 * alignment faults or any memory attribute handling).
5054 */
5055
5056 ARMCPU *cpu = arm_env_get_cpu(env);
5057 uint64_t blocklen = 4 << cpu->dcz_blocksize;
5058 uint64_t vaddr = vaddr_in & ~(blocklen - 1);
5059
5060#ifndef CONFIG_USER_ONLY
5061 {
5062 /* Slightly awkwardly, QEMU's TARGET_PAGE_SIZE may be less than
5063 * the block size so we might have to do more than one TLB lookup.
5064 * We know that in fact for any v8 CPU the page size is at least 4K
5065 * and the block size must be 2K or less, but TARGET_PAGE_SIZE is only
5066 * 1K as an artefact of legacy v5 subpage support being present in the
5067 * same QEMU executable.
5068 */
5069 int maxidx = DIV_ROUND_UP(blocklen, TARGET_PAGE_SIZE);
5070 void *hostaddr[maxidx];
5071 int try, i;
5072
5073 for (try = 0; try < 2; try++) {
5074
5075 for (i = 0; i < maxidx; i++) {
5076 hostaddr[i] = tlb_vaddr_to_host(env,
5077 vaddr + TARGET_PAGE_SIZE * i,
5078 1, cpu_mmu_index(env));
5079 if (!hostaddr[i]) {
5080 break;
5081 }
5082 }
5083 if (i == maxidx) {
5084 /* If it's all in the TLB it's fair game for just writing to;
5085 * we know we don't need to update dirty status, etc.
5086 */
5087 for (i = 0; i < maxidx - 1; i++) {
5088 memset(hostaddr[i], 0, TARGET_PAGE_SIZE);
5089 }
5090 memset(hostaddr[i], 0, blocklen - (i * TARGET_PAGE_SIZE));
5091 return;
5092 }
5093 /* OK, try a store and see if we can populate the tlb. This
5094 * might cause an exception if the memory isn't writable,
5095 * in which case we will longjmp out of here. We must for
5096 * this purpose use the actual register value passed to us
5097 * so that we get the fault address right.
5098 */
5099 helper_ret_stb_mmu(env, vaddr_in, 0, cpu_mmu_index(env), GETRA());
5100 /* Now we can populate the other TLB entries, if any */
5101 for (i = 0; i < maxidx; i++) {
5102 uint64_t va = vaddr + TARGET_PAGE_SIZE * i;
5103 if (va != (vaddr_in & TARGET_PAGE_MASK)) {
5104 helper_ret_stb_mmu(env, va, 0, cpu_mmu_index(env), GETRA());
5105 }
5106 }
5107 }
5108
5109 /* Slow path (probably attempt to do this to an I/O device or
5110 * similar, or clearing of a block of code we have translations
5111 * cached for). Just do a series of byte writes as the architecture
5112 * demands. It's not worth trying to use a cpu_physical_memory_map(),
5113 * memset(), unmap() sequence here because:
5114 * + we'd need to account for the blocksize being larger than a page
5115 * + the direct-RAM access case is almost always going to be dealt
5116 * with in the fastpath code above, so there's no speed benefit
5117 * + we would have to deal with the map returning NULL because the
5118 * bounce buffer was in use
5119 */
5120 for (i = 0; i < blocklen; i++) {
5121 helper_ret_stb_mmu(env, vaddr + i, 0, cpu_mmu_index(env), GETRA());
5122 }
5123 }
5124#else
5125 memset(g2h(vaddr), 0, blocklen);
5126#endif
5127}
5128
6ddbc6e4
PB
5129/* Note that signed overflow is undefined in C. The following routines are
5130 careful to use unsigned types where modulo arithmetic is required.
5131 Failure to do so _will_ break on newer gcc. */
5132
5133/* Signed saturating arithmetic. */
5134
1654b2d6 5135/* Perform 16-bit signed saturating addition. */
6ddbc6e4
PB
5136static inline uint16_t add16_sat(uint16_t a, uint16_t b)
5137{
5138 uint16_t res;
5139
5140 res = a + b;
5141 if (((res ^ a) & 0x8000) && !((a ^ b) & 0x8000)) {
5142 if (a & 0x8000)
5143 res = 0x8000;
5144 else
5145 res = 0x7fff;
5146 }
5147 return res;
5148}
5149
1654b2d6 5150/* Perform 8-bit signed saturating addition. */
6ddbc6e4
PB
5151static inline uint8_t add8_sat(uint8_t a, uint8_t b)
5152{
5153 uint8_t res;
5154
5155 res = a + b;
5156 if (((res ^ a) & 0x80) && !((a ^ b) & 0x80)) {
5157 if (a & 0x80)
5158 res = 0x80;
5159 else
5160 res = 0x7f;
5161 }
5162 return res;
5163}
5164
1654b2d6 5165/* Perform 16-bit signed saturating subtraction. */
6ddbc6e4
PB
5166static inline uint16_t sub16_sat(uint16_t a, uint16_t b)
5167{
5168 uint16_t res;
5169
5170 res = a - b;
5171 if (((res ^ a) & 0x8000) && ((a ^ b) & 0x8000)) {
5172 if (a & 0x8000)
5173 res = 0x8000;
5174 else
5175 res = 0x7fff;
5176 }
5177 return res;
5178}
5179
1654b2d6 5180/* Perform 8-bit signed saturating subtraction. */
6ddbc6e4
PB
5181static inline uint8_t sub8_sat(uint8_t a, uint8_t b)
5182{
5183 uint8_t res;
5184
5185 res = a - b;
5186 if (((res ^ a) & 0x80) && ((a ^ b) & 0x80)) {
5187 if (a & 0x80)
5188 res = 0x80;
5189 else
5190 res = 0x7f;
5191 }
5192 return res;
5193}
5194
5195#define ADD16(a, b, n) RESULT(add16_sat(a, b), n, 16);
5196#define SUB16(a, b, n) RESULT(sub16_sat(a, b), n, 16);
5197#define ADD8(a, b, n) RESULT(add8_sat(a, b), n, 8);
5198#define SUB8(a, b, n) RESULT(sub8_sat(a, b), n, 8);
5199#define PFX q
5200
5201#include "op_addsub.h"
5202
5203/* Unsigned saturating arithmetic. */
460a09c1 5204static inline uint16_t add16_usat(uint16_t a, uint16_t b)
6ddbc6e4
PB
5205{
5206 uint16_t res;
5207 res = a + b;
5208 if (res < a)
5209 res = 0xffff;
5210 return res;
5211}
5212
460a09c1 5213static inline uint16_t sub16_usat(uint16_t a, uint16_t b)
6ddbc6e4 5214{
4c4fd3f8 5215 if (a > b)
6ddbc6e4
PB
5216 return a - b;
5217 else
5218 return 0;
5219}
5220
5221static inline uint8_t add8_usat(uint8_t a, uint8_t b)
5222{
5223 uint8_t res;
5224 res = a + b;
5225 if (res < a)
5226 res = 0xff;
5227 return res;
5228}
5229
5230static inline uint8_t sub8_usat(uint8_t a, uint8_t b)
5231{
4c4fd3f8 5232 if (a > b)
6ddbc6e4
PB
5233 return a - b;
5234 else
5235 return 0;
5236}
5237
5238#define ADD16(a, b, n) RESULT(add16_usat(a, b), n, 16);
5239#define SUB16(a, b, n) RESULT(sub16_usat(a, b), n, 16);
5240#define ADD8(a, b, n) RESULT(add8_usat(a, b), n, 8);
5241#define SUB8(a, b, n) RESULT(sub8_usat(a, b), n, 8);
5242#define PFX uq
5243
5244#include "op_addsub.h"
5245
5246/* Signed modulo arithmetic. */
5247#define SARITH16(a, b, n, op) do { \
5248 int32_t sum; \
db6e2e65 5249 sum = (int32_t)(int16_t)(a) op (int32_t)(int16_t)(b); \
6ddbc6e4
PB
5250 RESULT(sum, n, 16); \
5251 if (sum >= 0) \
5252 ge |= 3 << (n * 2); \
5253 } while(0)
5254
5255#define SARITH8(a, b, n, op) do { \
5256 int32_t sum; \
db6e2e65 5257 sum = (int32_t)(int8_t)(a) op (int32_t)(int8_t)(b); \
6ddbc6e4
PB
5258 RESULT(sum, n, 8); \
5259 if (sum >= 0) \
5260 ge |= 1 << n; \
5261 } while(0)
5262
5263
5264#define ADD16(a, b, n) SARITH16(a, b, n, +)
5265#define SUB16(a, b, n) SARITH16(a, b, n, -)
5266#define ADD8(a, b, n) SARITH8(a, b, n, +)
5267#define SUB8(a, b, n) SARITH8(a, b, n, -)
5268#define PFX s
5269#define ARITH_GE
5270
5271#include "op_addsub.h"
5272
5273/* Unsigned modulo arithmetic. */
5274#define ADD16(a, b, n) do { \
5275 uint32_t sum; \
5276 sum = (uint32_t)(uint16_t)(a) + (uint32_t)(uint16_t)(b); \
5277 RESULT(sum, n, 16); \
a87aa10b 5278 if ((sum >> 16) == 1) \
6ddbc6e4
PB
5279 ge |= 3 << (n * 2); \
5280 } while(0)
5281
5282#define ADD8(a, b, n) do { \
5283 uint32_t sum; \
5284 sum = (uint32_t)(uint8_t)(a) + (uint32_t)(uint8_t)(b); \
5285 RESULT(sum, n, 8); \
a87aa10b
AZ
5286 if ((sum >> 8) == 1) \
5287 ge |= 1 << n; \
6ddbc6e4
PB
5288 } while(0)
5289
5290#define SUB16(a, b, n) do { \
5291 uint32_t sum; \
5292 sum = (uint32_t)(uint16_t)(a) - (uint32_t)(uint16_t)(b); \
5293 RESULT(sum, n, 16); \
5294 if ((sum >> 16) == 0) \
5295 ge |= 3 << (n * 2); \
5296 } while(0)
5297
5298#define SUB8(a, b, n) do { \
5299 uint32_t sum; \
5300 sum = (uint32_t)(uint8_t)(a) - (uint32_t)(uint8_t)(b); \
5301 RESULT(sum, n, 8); \
5302 if ((sum >> 8) == 0) \
a87aa10b 5303 ge |= 1 << n; \
6ddbc6e4
PB
5304 } while(0)
5305
5306#define PFX u
5307#define ARITH_GE
5308
5309#include "op_addsub.h"
5310
5311/* Halved signed arithmetic. */
5312#define ADD16(a, b, n) \
5313 RESULT(((int32_t)(int16_t)(a) + (int32_t)(int16_t)(b)) >> 1, n, 16)
5314#define SUB16(a, b, n) \
5315 RESULT(((int32_t)(int16_t)(a) - (int32_t)(int16_t)(b)) >> 1, n, 16)
5316#define ADD8(a, b, n) \
5317 RESULT(((int32_t)(int8_t)(a) + (int32_t)(int8_t)(b)) >> 1, n, 8)
5318#define SUB8(a, b, n) \
5319 RESULT(((int32_t)(int8_t)(a) - (int32_t)(int8_t)(b)) >> 1, n, 8)
5320#define PFX sh
5321
5322#include "op_addsub.h"
5323
5324/* Halved unsigned arithmetic. */
5325#define ADD16(a, b, n) \
5326 RESULT(((uint32_t)(uint16_t)(a) + (uint32_t)(uint16_t)(b)) >> 1, n, 16)
5327#define SUB16(a, b, n) \
5328 RESULT(((uint32_t)(uint16_t)(a) - (uint32_t)(uint16_t)(b)) >> 1, n, 16)
5329#define ADD8(a, b, n) \
5330 RESULT(((uint32_t)(uint8_t)(a) + (uint32_t)(uint8_t)(b)) >> 1, n, 8)
5331#define SUB8(a, b, n) \
5332 RESULT(((uint32_t)(uint8_t)(a) - (uint32_t)(uint8_t)(b)) >> 1, n, 8)
5333#define PFX uh
5334
5335#include "op_addsub.h"
5336
5337static inline uint8_t do_usad(uint8_t a, uint8_t b)
5338{
5339 if (a > b)
5340 return a - b;
5341 else
5342 return b - a;
5343}
5344
5345/* Unsigned sum of absolute byte differences. */
5346uint32_t HELPER(usad8)(uint32_t a, uint32_t b)
5347{
5348 uint32_t sum;
5349 sum = do_usad(a, b);
5350 sum += do_usad(a >> 8, b >> 8);
5351 sum += do_usad(a >> 16, b >>16);
5352 sum += do_usad(a >> 24, b >> 24);
5353 return sum;
5354}
5355
5356/* For ARMv6 SEL instruction. */
5357uint32_t HELPER(sel_flags)(uint32_t flags, uint32_t a, uint32_t b)
5358{
5359 uint32_t mask;
5360
5361 mask = 0;
5362 if (flags & 1)
5363 mask |= 0xff;
5364 if (flags & 2)
5365 mask |= 0xff00;
5366 if (flags & 4)
5367 mask |= 0xff0000;
5368 if (flags & 8)
5369 mask |= 0xff000000;
5370 return (a & mask) | (b & ~mask);
5371}
5372
b90372ad
PM
5373/* VFP support. We follow the convention used for VFP instructions:
5374 Single precision routines have a "s" suffix, double precision a
4373f3ce
PB
5375 "d" suffix. */
5376
5377/* Convert host exception flags to vfp form. */
5378static inline int vfp_exceptbits_from_host(int host_bits)
5379{
5380 int target_bits = 0;
5381
5382 if (host_bits & float_flag_invalid)
5383 target_bits |= 1;
5384 if (host_bits & float_flag_divbyzero)
5385 target_bits |= 2;
5386 if (host_bits & float_flag_overflow)
5387 target_bits |= 4;
36802b6b 5388 if (host_bits & (float_flag_underflow | float_flag_output_denormal))
4373f3ce
PB
5389 target_bits |= 8;
5390 if (host_bits & float_flag_inexact)
5391 target_bits |= 0x10;
cecd8504
PM
5392 if (host_bits & float_flag_input_denormal)
5393 target_bits |= 0x80;
4373f3ce
PB
5394 return target_bits;
5395}
5396
0ecb72a5 5397uint32_t HELPER(vfp_get_fpscr)(CPUARMState *env)
4373f3ce
PB
5398{
5399 int i;
5400 uint32_t fpscr;
5401
5402 fpscr = (env->vfp.xregs[ARM_VFP_FPSCR] & 0xffc8ffff)
5403 | (env->vfp.vec_len << 16)
5404 | (env->vfp.vec_stride << 20);
5405 i = get_float_exception_flags(&env->vfp.fp_status);
3a492f3a 5406 i |= get_float_exception_flags(&env->vfp.standard_fp_status);
4373f3ce
PB
5407 fpscr |= vfp_exceptbits_from_host(i);
5408 return fpscr;
5409}
5410
0ecb72a5 5411uint32_t vfp_get_fpscr(CPUARMState *env)
01653295
PM
5412{
5413 return HELPER(vfp_get_fpscr)(env);
5414}
5415
4373f3ce
PB
5416/* Convert vfp exception flags to target form. */
5417static inline int vfp_exceptbits_to_host(int target_bits)
5418{
5419 int host_bits = 0;
5420
5421 if (target_bits & 1)
5422 host_bits |= float_flag_invalid;
5423 if (target_bits & 2)
5424 host_bits |= float_flag_divbyzero;
5425 if (target_bits & 4)
5426 host_bits |= float_flag_overflow;
5427 if (target_bits & 8)
5428 host_bits |= float_flag_underflow;
5429 if (target_bits & 0x10)
5430 host_bits |= float_flag_inexact;
cecd8504
PM
5431 if (target_bits & 0x80)
5432 host_bits |= float_flag_input_denormal;
4373f3ce
PB
5433 return host_bits;
5434}
5435
0ecb72a5 5436void HELPER(vfp_set_fpscr)(CPUARMState *env, uint32_t val)
4373f3ce
PB
5437{
5438 int i;
5439 uint32_t changed;
5440
5441 changed = env->vfp.xregs[ARM_VFP_FPSCR];
5442 env->vfp.xregs[ARM_VFP_FPSCR] = (val & 0xffc8ffff);
5443 env->vfp.vec_len = (val >> 16) & 7;
5444 env->vfp.vec_stride = (val >> 20) & 3;
5445
5446 changed ^= val;
5447 if (changed & (3 << 22)) {
5448 i = (val >> 22) & 3;
5449 switch (i) {
4d3da0f3 5450 case FPROUNDING_TIEEVEN:
4373f3ce
PB
5451 i = float_round_nearest_even;
5452 break;
4d3da0f3 5453 case FPROUNDING_POSINF:
4373f3ce
PB
5454 i = float_round_up;
5455 break;
4d3da0f3 5456 case FPROUNDING_NEGINF:
4373f3ce
PB
5457 i = float_round_down;
5458 break;
4d3da0f3 5459 case FPROUNDING_ZERO:
4373f3ce
PB
5460 i = float_round_to_zero;
5461 break;
5462 }
5463 set_float_rounding_mode(i, &env->vfp.fp_status);
5464 }
cecd8504 5465 if (changed & (1 << 24)) {
fe76d976 5466 set_flush_to_zero((val & (1 << 24)) != 0, &env->vfp.fp_status);
cecd8504
PM
5467 set_flush_inputs_to_zero((val & (1 << 24)) != 0, &env->vfp.fp_status);
5468 }
5c7908ed
PB
5469 if (changed & (1 << 25))
5470 set_default_nan_mode((val & (1 << 25)) != 0, &env->vfp.fp_status);
4373f3ce 5471
b12c390b 5472 i = vfp_exceptbits_to_host(val);
4373f3ce 5473 set_float_exception_flags(i, &env->vfp.fp_status);
3a492f3a 5474 set_float_exception_flags(0, &env->vfp.standard_fp_status);
4373f3ce
PB
5475}
5476
0ecb72a5 5477void vfp_set_fpscr(CPUARMState *env, uint32_t val)
01653295
PM
5478{
5479 HELPER(vfp_set_fpscr)(env, val);
5480}
5481
4373f3ce
PB
5482#define VFP_HELPER(name, p) HELPER(glue(glue(vfp_,name),p))
5483
5484#define VFP_BINOP(name) \
ae1857ec 5485float32 VFP_HELPER(name, s)(float32 a, float32 b, void *fpstp) \
4373f3ce 5486{ \
ae1857ec
PM
5487 float_status *fpst = fpstp; \
5488 return float32_ ## name(a, b, fpst); \
4373f3ce 5489} \
ae1857ec 5490float64 VFP_HELPER(name, d)(float64 a, float64 b, void *fpstp) \
4373f3ce 5491{ \
ae1857ec
PM
5492 float_status *fpst = fpstp; \
5493 return float64_ ## name(a, b, fpst); \
4373f3ce
PB
5494}
5495VFP_BINOP(add)
5496VFP_BINOP(sub)
5497VFP_BINOP(mul)
5498VFP_BINOP(div)
f71a2ae5
PM
5499VFP_BINOP(min)
5500VFP_BINOP(max)
5501VFP_BINOP(minnum)
5502VFP_BINOP(maxnum)
4373f3ce
PB
5503#undef VFP_BINOP
5504
5505float32 VFP_HELPER(neg, s)(float32 a)
5506{
5507 return float32_chs(a);
5508}
5509
5510float64 VFP_HELPER(neg, d)(float64 a)
5511{
66230e0d 5512 return float64_chs(a);
4373f3ce
PB
5513}
5514
5515float32 VFP_HELPER(abs, s)(float32 a)
5516{
5517 return float32_abs(a);
5518}
5519
5520float64 VFP_HELPER(abs, d)(float64 a)
5521{
66230e0d 5522 return float64_abs(a);
4373f3ce
PB
5523}
5524
0ecb72a5 5525float32 VFP_HELPER(sqrt, s)(float32 a, CPUARMState *env)
4373f3ce
PB
5526{
5527 return float32_sqrt(a, &env->vfp.fp_status);
5528}
5529
0ecb72a5 5530float64 VFP_HELPER(sqrt, d)(float64 a, CPUARMState *env)
4373f3ce
PB
5531{
5532 return float64_sqrt(a, &env->vfp.fp_status);
5533}
5534
5535/* XXX: check quiet/signaling case */
5536#define DO_VFP_cmp(p, type) \
0ecb72a5 5537void VFP_HELPER(cmp, p)(type a, type b, CPUARMState *env) \
4373f3ce
PB
5538{ \
5539 uint32_t flags; \
5540 switch(type ## _compare_quiet(a, b, &env->vfp.fp_status)) { \
5541 case 0: flags = 0x6; break; \
5542 case -1: flags = 0x8; break; \
5543 case 1: flags = 0x2; break; \
5544 default: case 2: flags = 0x3; break; \
5545 } \
5546 env->vfp.xregs[ARM_VFP_FPSCR] = (flags << 28) \
5547 | (env->vfp.xregs[ARM_VFP_FPSCR] & 0x0fffffff); \
5548} \
0ecb72a5 5549void VFP_HELPER(cmpe, p)(type a, type b, CPUARMState *env) \
4373f3ce
PB
5550{ \
5551 uint32_t flags; \
5552 switch(type ## _compare(a, b, &env->vfp.fp_status)) { \
5553 case 0: flags = 0x6; break; \
5554 case -1: flags = 0x8; break; \
5555 case 1: flags = 0x2; break; \
5556 default: case 2: flags = 0x3; break; \
5557 } \
5558 env->vfp.xregs[ARM_VFP_FPSCR] = (flags << 28) \
5559 | (env->vfp.xregs[ARM_VFP_FPSCR] & 0x0fffffff); \
5560}
5561DO_VFP_cmp(s, float32)
5562DO_VFP_cmp(d, float64)
5563#undef DO_VFP_cmp
5564
5500b06c 5565/* Integer to float and float to integer conversions */
4373f3ce 5566
5500b06c
PM
5567#define CONV_ITOF(name, fsz, sign) \
5568 float##fsz HELPER(name)(uint32_t x, void *fpstp) \
5569{ \
5570 float_status *fpst = fpstp; \
85836979 5571 return sign##int32_to_##float##fsz((sign##int32_t)x, fpst); \
4373f3ce
PB
5572}
5573
5500b06c
PM
5574#define CONV_FTOI(name, fsz, sign, round) \
5575uint32_t HELPER(name)(float##fsz x, void *fpstp) \
5576{ \
5577 float_status *fpst = fpstp; \
5578 if (float##fsz##_is_any_nan(x)) { \
5579 float_raise(float_flag_invalid, fpst); \
5580 return 0; \
5581 } \
5582 return float##fsz##_to_##sign##int32##round(x, fpst); \
4373f3ce
PB
5583}
5584
5500b06c
PM
5585#define FLOAT_CONVS(name, p, fsz, sign) \
5586CONV_ITOF(vfp_##name##to##p, fsz, sign) \
5587CONV_FTOI(vfp_to##name##p, fsz, sign, ) \
5588CONV_FTOI(vfp_to##name##z##p, fsz, sign, _round_to_zero)
4373f3ce 5589
5500b06c
PM
5590FLOAT_CONVS(si, s, 32, )
5591FLOAT_CONVS(si, d, 64, )
5592FLOAT_CONVS(ui, s, 32, u)
5593FLOAT_CONVS(ui, d, 64, u)
4373f3ce 5594
5500b06c
PM
5595#undef CONV_ITOF
5596#undef CONV_FTOI
5597#undef FLOAT_CONVS
4373f3ce
PB
5598
5599/* floating point conversion */
0ecb72a5 5600float64 VFP_HELPER(fcvtd, s)(float32 x, CPUARMState *env)
4373f3ce 5601{
2d627737
PM
5602 float64 r = float32_to_float64(x, &env->vfp.fp_status);
5603 /* ARM requires that S<->D conversion of any kind of NaN generates
5604 * a quiet NaN by forcing the most significant frac bit to 1.
5605 */
5606 return float64_maybe_silence_nan(r);
4373f3ce
PB
5607}
5608
0ecb72a5 5609float32 VFP_HELPER(fcvts, d)(float64 x, CPUARMState *env)
4373f3ce 5610{
2d627737
PM
5611 float32 r = float64_to_float32(x, &env->vfp.fp_status);
5612 /* ARM requires that S<->D conversion of any kind of NaN generates
5613 * a quiet NaN by forcing the most significant frac bit to 1.
5614 */
5615 return float32_maybe_silence_nan(r);
4373f3ce
PB
5616}
5617
5618/* VFP3 fixed point conversion. */
16d5b3ca 5619#define VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
8ed697e8
WN
5620float##fsz HELPER(vfp_##name##to##p)(uint##isz##_t x, uint32_t shift, \
5621 void *fpstp) \
4373f3ce 5622{ \
5500b06c 5623 float_status *fpst = fpstp; \
622465e1 5624 float##fsz tmp; \
8ed697e8 5625 tmp = itype##_to_##float##fsz(x, fpst); \
5500b06c 5626 return float##fsz##_scalbn(tmp, -(int)shift, fpst); \
16d5b3ca
WN
5627}
5628
abe66f70
PM
5629/* Notice that we want only input-denormal exception flags from the
5630 * scalbn operation: the other possible flags (overflow+inexact if
5631 * we overflow to infinity, output-denormal) aren't correct for the
5632 * complete scale-and-convert operation.
5633 */
16d5b3ca
WN
5634#define VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, round) \
5635uint##isz##_t HELPER(vfp_to##name##p##round)(float##fsz x, \
5636 uint32_t shift, \
5637 void *fpstp) \
4373f3ce 5638{ \
5500b06c 5639 float_status *fpst = fpstp; \
abe66f70 5640 int old_exc_flags = get_float_exception_flags(fpst); \
622465e1
PM
5641 float##fsz tmp; \
5642 if (float##fsz##_is_any_nan(x)) { \
5500b06c 5643 float_raise(float_flag_invalid, fpst); \
622465e1 5644 return 0; \
09d9487f 5645 } \
5500b06c 5646 tmp = float##fsz##_scalbn(x, shift, fpst); \
abe66f70
PM
5647 old_exc_flags |= get_float_exception_flags(fpst) \
5648 & float_flag_input_denormal; \
5649 set_float_exception_flags(old_exc_flags, fpst); \
16d5b3ca 5650 return float##fsz##_to_##itype##round(tmp, fpst); \
622465e1
PM
5651}
5652
16d5b3ca
WN
5653#define VFP_CONV_FIX(name, p, fsz, isz, itype) \
5654VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
3c6a074a
WN
5655VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, _round_to_zero) \
5656VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, )
5657
5658#define VFP_CONV_FIX_A64(name, p, fsz, isz, itype) \
5659VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
5660VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, )
16d5b3ca 5661
8ed697e8
WN
5662VFP_CONV_FIX(sh, d, 64, 64, int16)
5663VFP_CONV_FIX(sl, d, 64, 64, int32)
3c6a074a 5664VFP_CONV_FIX_A64(sq, d, 64, 64, int64)
8ed697e8
WN
5665VFP_CONV_FIX(uh, d, 64, 64, uint16)
5666VFP_CONV_FIX(ul, d, 64, 64, uint32)
3c6a074a 5667VFP_CONV_FIX_A64(uq, d, 64, 64, uint64)
8ed697e8
WN
5668VFP_CONV_FIX(sh, s, 32, 32, int16)
5669VFP_CONV_FIX(sl, s, 32, 32, int32)
3c6a074a 5670VFP_CONV_FIX_A64(sq, s, 32, 64, int64)
8ed697e8
WN
5671VFP_CONV_FIX(uh, s, 32, 32, uint16)
5672VFP_CONV_FIX(ul, s, 32, 32, uint32)
3c6a074a 5673VFP_CONV_FIX_A64(uq, s, 32, 64, uint64)
4373f3ce 5674#undef VFP_CONV_FIX
16d5b3ca
WN
5675#undef VFP_CONV_FIX_FLOAT
5676#undef VFP_CONV_FLOAT_FIX_ROUND
4373f3ce 5677
52a1f6a3
AG
5678/* Set the current fp rounding mode and return the old one.
5679 * The argument is a softfloat float_round_ value.
5680 */
5681uint32_t HELPER(set_rmode)(uint32_t rmode, CPUARMState *env)
5682{
5683 float_status *fp_status = &env->vfp.fp_status;
5684
5685 uint32_t prev_rmode = get_float_rounding_mode(fp_status);
5686 set_float_rounding_mode(rmode, fp_status);
5687
5688 return prev_rmode;
5689}
5690
43630e58
WN
5691/* Set the current fp rounding mode in the standard fp status and return
5692 * the old one. This is for NEON instructions that need to change the
5693 * rounding mode but wish to use the standard FPSCR values for everything
5694 * else. Always set the rounding mode back to the correct value after
5695 * modifying it.
5696 * The argument is a softfloat float_round_ value.
5697 */
5698uint32_t HELPER(set_neon_rmode)(uint32_t rmode, CPUARMState *env)
5699{
5700 float_status *fp_status = &env->vfp.standard_fp_status;
5701
5702 uint32_t prev_rmode = get_float_rounding_mode(fp_status);
5703 set_float_rounding_mode(rmode, fp_status);
5704
5705 return prev_rmode;
5706}
5707
60011498 5708/* Half precision conversions. */
0ecb72a5 5709static float32 do_fcvt_f16_to_f32(uint32_t a, CPUARMState *env, float_status *s)
60011498 5710{
60011498 5711 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
fb91678d
PM
5712 float32 r = float16_to_float32(make_float16(a), ieee, s);
5713 if (ieee) {
5714 return float32_maybe_silence_nan(r);
5715 }
5716 return r;
60011498
PB
5717}
5718
0ecb72a5 5719static uint32_t do_fcvt_f32_to_f16(float32 a, CPUARMState *env, float_status *s)
60011498 5720{
60011498 5721 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
fb91678d
PM
5722 float16 r = float32_to_float16(a, ieee, s);
5723 if (ieee) {
5724 r = float16_maybe_silence_nan(r);
5725 }
5726 return float16_val(r);
60011498
PB
5727}
5728
0ecb72a5 5729float32 HELPER(neon_fcvt_f16_to_f32)(uint32_t a, CPUARMState *env)
2d981da7
PM
5730{
5731 return do_fcvt_f16_to_f32(a, env, &env->vfp.standard_fp_status);
5732}
5733
0ecb72a5 5734uint32_t HELPER(neon_fcvt_f32_to_f16)(float32 a, CPUARMState *env)
2d981da7
PM
5735{
5736 return do_fcvt_f32_to_f16(a, env, &env->vfp.standard_fp_status);
5737}
5738
0ecb72a5 5739float32 HELPER(vfp_fcvt_f16_to_f32)(uint32_t a, CPUARMState *env)
2d981da7
PM
5740{
5741 return do_fcvt_f16_to_f32(a, env, &env->vfp.fp_status);
5742}
5743
0ecb72a5 5744uint32_t HELPER(vfp_fcvt_f32_to_f16)(float32 a, CPUARMState *env)
2d981da7
PM
5745{
5746 return do_fcvt_f32_to_f16(a, env, &env->vfp.fp_status);
5747}
5748
8900aad2
PM
5749float64 HELPER(vfp_fcvt_f16_to_f64)(uint32_t a, CPUARMState *env)
5750{
5751 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
5752 float64 r = float16_to_float64(make_float16(a), ieee, &env->vfp.fp_status);
5753 if (ieee) {
5754 return float64_maybe_silence_nan(r);
5755 }
5756 return r;
5757}
5758
5759uint32_t HELPER(vfp_fcvt_f64_to_f16)(float64 a, CPUARMState *env)
5760{
5761 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
5762 float16 r = float64_to_float16(a, ieee, &env->vfp.fp_status);
5763 if (ieee) {
5764 r = float16_maybe_silence_nan(r);
5765 }
5766 return float16_val(r);
5767}
5768
dda3ec49 5769#define float32_two make_float32(0x40000000)
6aae3df1
PM
5770#define float32_three make_float32(0x40400000)
5771#define float32_one_point_five make_float32(0x3fc00000)
dda3ec49 5772
0ecb72a5 5773float32 HELPER(recps_f32)(float32 a, float32 b, CPUARMState *env)
4373f3ce 5774{
dda3ec49
PM
5775 float_status *s = &env->vfp.standard_fp_status;
5776 if ((float32_is_infinity(a) && float32_is_zero_or_denormal(b)) ||
5777 (float32_is_infinity(b) && float32_is_zero_or_denormal(a))) {
43fe9bdb
PM
5778 if (!(float32_is_zero(a) || float32_is_zero(b))) {
5779 float_raise(float_flag_input_denormal, s);
5780 }
dda3ec49
PM
5781 return float32_two;
5782 }
5783 return float32_sub(float32_two, float32_mul(a, b, s), s);
4373f3ce
PB
5784}
5785
0ecb72a5 5786float32 HELPER(rsqrts_f32)(float32 a, float32 b, CPUARMState *env)
4373f3ce 5787{
71826966 5788 float_status *s = &env->vfp.standard_fp_status;
9ea62f57
PM
5789 float32 product;
5790 if ((float32_is_infinity(a) && float32_is_zero_or_denormal(b)) ||
5791 (float32_is_infinity(b) && float32_is_zero_or_denormal(a))) {
43fe9bdb
PM
5792 if (!(float32_is_zero(a) || float32_is_zero(b))) {
5793 float_raise(float_flag_input_denormal, s);
5794 }
6aae3df1 5795 return float32_one_point_five;
9ea62f57 5796 }
6aae3df1
PM
5797 product = float32_mul(a, b, s);
5798 return float32_div(float32_sub(float32_three, product, s), float32_two, s);
4373f3ce
PB
5799}
5800
8f8e3aa4
PB
5801/* NEON helpers. */
5802
56bf4fe2
CL
5803/* Constants 256 and 512 are used in some helpers; we avoid relying on
5804 * int->float conversions at run-time. */
5805#define float64_256 make_float64(0x4070000000000000LL)
5806#define float64_512 make_float64(0x4080000000000000LL)
b6d4443a
AB
5807#define float32_maxnorm make_float32(0x7f7fffff)
5808#define float64_maxnorm make_float64(0x7fefffffffffffffLL)
56bf4fe2 5809
b6d4443a
AB
5810/* Reciprocal functions
5811 *
5812 * The algorithm that must be used to calculate the estimate
5813 * is specified by the ARM ARM, see FPRecipEstimate()
fe0e4872 5814 */
b6d4443a
AB
5815
5816static float64 recip_estimate(float64 a, float_status *real_fp_status)
fe0e4872 5817{
1146a817
PM
5818 /* These calculations mustn't set any fp exception flags,
5819 * so we use a local copy of the fp_status.
5820 */
b6d4443a 5821 float_status dummy_status = *real_fp_status;
1146a817 5822 float_status *s = &dummy_status;
fe0e4872
CL
5823 /* q = (int)(a * 512.0) */
5824 float64 q = float64_mul(float64_512, a, s);
5825 int64_t q_int = float64_to_int64_round_to_zero(q, s);
5826
5827 /* r = 1.0 / (((double)q + 0.5) / 512.0) */
5828 q = int64_to_float64(q_int, s);
5829 q = float64_add(q, float64_half, s);
5830 q = float64_div(q, float64_512, s);
5831 q = float64_div(float64_one, q, s);
5832
5833 /* s = (int)(256.0 * r + 0.5) */
5834 q = float64_mul(q, float64_256, s);
5835 q = float64_add(q, float64_half, s);
5836 q_int = float64_to_int64_round_to_zero(q, s);
5837
5838 /* return (double)s / 256.0 */
5839 return float64_div(int64_to_float64(q_int, s), float64_256, s);
5840}
5841
b6d4443a
AB
5842/* Common wrapper to call recip_estimate */
5843static float64 call_recip_estimate(float64 num, int off, float_status *fpst)
4373f3ce 5844{
b6d4443a
AB
5845 uint64_t val64 = float64_val(num);
5846 uint64_t frac = extract64(val64, 0, 52);
5847 int64_t exp = extract64(val64, 52, 11);
5848 uint64_t sbit;
5849 float64 scaled, estimate;
fe0e4872 5850
b6d4443a
AB
5851 /* Generate the scaled number for the estimate function */
5852 if (exp == 0) {
5853 if (extract64(frac, 51, 1) == 0) {
5854 exp = -1;
5855 frac = extract64(frac, 0, 50) << 2;
5856 } else {
5857 frac = extract64(frac, 0, 51) << 1;
5858 }
5859 }
fe0e4872 5860
b6d4443a
AB
5861 /* scaled = '0' : '01111111110' : fraction<51:44> : Zeros(44); */
5862 scaled = make_float64((0x3feULL << 52)
5863 | extract64(frac, 44, 8) << 44);
5864
5865 estimate = recip_estimate(scaled, fpst);
5866
5867 /* Build new result */
5868 val64 = float64_val(estimate);
5869 sbit = 0x8000000000000000ULL & val64;
5870 exp = off - exp;
5871 frac = extract64(val64, 0, 52);
5872
5873 if (exp == 0) {
5874 frac = 1ULL << 51 | extract64(frac, 1, 51);
5875 } else if (exp == -1) {
5876 frac = 1ULL << 50 | extract64(frac, 2, 50);
5877 exp = 0;
5878 }
5879
5880 return make_float64(sbit | (exp << 52) | frac);
5881}
5882
5883static bool round_to_inf(float_status *fpst, bool sign_bit)
5884{
5885 switch (fpst->float_rounding_mode) {
5886 case float_round_nearest_even: /* Round to Nearest */
5887 return true;
5888 case float_round_up: /* Round to +Inf */
5889 return !sign_bit;
5890 case float_round_down: /* Round to -Inf */
5891 return sign_bit;
5892 case float_round_to_zero: /* Round to Zero */
5893 return false;
5894 }
5895
5896 g_assert_not_reached();
5897}
5898
5899float32 HELPER(recpe_f32)(float32 input, void *fpstp)
5900{
5901 float_status *fpst = fpstp;
5902 float32 f32 = float32_squash_input_denormal(input, fpst);
5903 uint32_t f32_val = float32_val(f32);
5904 uint32_t f32_sbit = 0x80000000ULL & f32_val;
5905 int32_t f32_exp = extract32(f32_val, 23, 8);
5906 uint32_t f32_frac = extract32(f32_val, 0, 23);
5907 float64 f64, r64;
5908 uint64_t r64_val;
5909 int64_t r64_exp;
5910 uint64_t r64_frac;
5911
5912 if (float32_is_any_nan(f32)) {
5913 float32 nan = f32;
5914 if (float32_is_signaling_nan(f32)) {
5915 float_raise(float_flag_invalid, fpst);
5916 nan = float32_maybe_silence_nan(f32);
fe0e4872 5917 }
b6d4443a
AB
5918 if (fpst->default_nan_mode) {
5919 nan = float32_default_nan;
43fe9bdb 5920 }
b6d4443a
AB
5921 return nan;
5922 } else if (float32_is_infinity(f32)) {
5923 return float32_set_sign(float32_zero, float32_is_neg(f32));
5924 } else if (float32_is_zero(f32)) {
5925 float_raise(float_flag_divbyzero, fpst);
5926 return float32_set_sign(float32_infinity, float32_is_neg(f32));
5927 } else if ((f32_val & ~(1ULL << 31)) < (1ULL << 21)) {
5928 /* Abs(value) < 2.0^-128 */
5929 float_raise(float_flag_overflow | float_flag_inexact, fpst);
5930 if (round_to_inf(fpst, f32_sbit)) {
5931 return float32_set_sign(float32_infinity, float32_is_neg(f32));
5932 } else {
5933 return float32_set_sign(float32_maxnorm, float32_is_neg(f32));
5934 }
5935 } else if (f32_exp >= 253 && fpst->flush_to_zero) {
5936 float_raise(float_flag_underflow, fpst);
5937 return float32_set_sign(float32_zero, float32_is_neg(f32));
fe0e4872
CL
5938 }
5939
fe0e4872 5940
b6d4443a
AB
5941 f64 = make_float64(((int64_t)(f32_exp) << 52) | (int64_t)(f32_frac) << 29);
5942 r64 = call_recip_estimate(f64, 253, fpst);
5943 r64_val = float64_val(r64);
5944 r64_exp = extract64(r64_val, 52, 11);
5945 r64_frac = extract64(r64_val, 0, 52);
5946
5947 /* result = sign : result_exp<7:0> : fraction<51:29>; */
5948 return make_float32(f32_sbit |
5949 (r64_exp & 0xff) << 23 |
5950 extract64(r64_frac, 29, 24));
5951}
5952
5953float64 HELPER(recpe_f64)(float64 input, void *fpstp)
5954{
5955 float_status *fpst = fpstp;
5956 float64 f64 = float64_squash_input_denormal(input, fpst);
5957 uint64_t f64_val = float64_val(f64);
5958 uint64_t f64_sbit = 0x8000000000000000ULL & f64_val;
5959 int64_t f64_exp = extract64(f64_val, 52, 11);
5960 float64 r64;
5961 uint64_t r64_val;
5962 int64_t r64_exp;
5963 uint64_t r64_frac;
5964
5965 /* Deal with any special cases */
5966 if (float64_is_any_nan(f64)) {
5967 float64 nan = f64;
5968 if (float64_is_signaling_nan(f64)) {
5969 float_raise(float_flag_invalid, fpst);
5970 nan = float64_maybe_silence_nan(f64);
5971 }
5972 if (fpst->default_nan_mode) {
5973 nan = float64_default_nan;
5974 }
5975 return nan;
5976 } else if (float64_is_infinity(f64)) {
5977 return float64_set_sign(float64_zero, float64_is_neg(f64));
5978 } else if (float64_is_zero(f64)) {
5979 float_raise(float_flag_divbyzero, fpst);
5980 return float64_set_sign(float64_infinity, float64_is_neg(f64));
5981 } else if ((f64_val & ~(1ULL << 63)) < (1ULL << 50)) {
5982 /* Abs(value) < 2.0^-1024 */
5983 float_raise(float_flag_overflow | float_flag_inexact, fpst);
5984 if (round_to_inf(fpst, f64_sbit)) {
5985 return float64_set_sign(float64_infinity, float64_is_neg(f64));
5986 } else {
5987 return float64_set_sign(float64_maxnorm, float64_is_neg(f64));
5988 }
5989 } else if (f64_exp >= 1023 && fpst->flush_to_zero) {
5990 float_raise(float_flag_underflow, fpst);
5991 return float64_set_sign(float64_zero, float64_is_neg(f64));
5992 }
fe0e4872 5993
b6d4443a
AB
5994 r64 = call_recip_estimate(f64, 2045, fpst);
5995 r64_val = float64_val(r64);
5996 r64_exp = extract64(r64_val, 52, 11);
5997 r64_frac = extract64(r64_val, 0, 52);
fe0e4872 5998
b6d4443a
AB
5999 /* result = sign : result_exp<10:0> : fraction<51:0> */
6000 return make_float64(f64_sbit |
6001 ((r64_exp & 0x7ff) << 52) |
6002 r64_frac);
4373f3ce
PB
6003}
6004
e07be5d2
CL
6005/* The algorithm that must be used to calculate the estimate
6006 * is specified by the ARM ARM.
6007 */
c2fb418e 6008static float64 recip_sqrt_estimate(float64 a, float_status *real_fp_status)
e07be5d2 6009{
1146a817
PM
6010 /* These calculations mustn't set any fp exception flags,
6011 * so we use a local copy of the fp_status.
6012 */
c2fb418e 6013 float_status dummy_status = *real_fp_status;
1146a817 6014 float_status *s = &dummy_status;
e07be5d2
CL
6015 float64 q;
6016 int64_t q_int;
6017
6018 if (float64_lt(a, float64_half, s)) {
6019 /* range 0.25 <= a < 0.5 */
6020
6021 /* a in units of 1/512 rounded down */
6022 /* q0 = (int)(a * 512.0); */
6023 q = float64_mul(float64_512, a, s);
6024 q_int = float64_to_int64_round_to_zero(q, s);
6025
6026 /* reciprocal root r */
6027 /* r = 1.0 / sqrt(((double)q0 + 0.5) / 512.0); */
6028 q = int64_to_float64(q_int, s);
6029 q = float64_add(q, float64_half, s);
6030 q = float64_div(q, float64_512, s);
6031 q = float64_sqrt(q, s);
6032 q = float64_div(float64_one, q, s);
6033 } else {
6034 /* range 0.5 <= a < 1.0 */
6035
6036 /* a in units of 1/256 rounded down */
6037 /* q1 = (int)(a * 256.0); */
6038 q = float64_mul(float64_256, a, s);
6039 int64_t q_int = float64_to_int64_round_to_zero(q, s);
6040
6041 /* reciprocal root r */
6042 /* r = 1.0 /sqrt(((double)q1 + 0.5) / 256); */
6043 q = int64_to_float64(q_int, s);
6044 q = float64_add(q, float64_half, s);
6045 q = float64_div(q, float64_256, s);
6046 q = float64_sqrt(q, s);
6047 q = float64_div(float64_one, q, s);
6048 }
6049 /* r in units of 1/256 rounded to nearest */
6050 /* s = (int)(256.0 * r + 0.5); */
6051
6052 q = float64_mul(q, float64_256,s );
6053 q = float64_add(q, float64_half, s);
6054 q_int = float64_to_int64_round_to_zero(q, s);
6055
6056 /* return (double)s / 256.0;*/
6057 return float64_div(int64_to_float64(q_int, s), float64_256, s);
6058}
6059
c2fb418e 6060float32 HELPER(rsqrte_f32)(float32 input, void *fpstp)
4373f3ce 6061{
c2fb418e
AB
6062 float_status *s = fpstp;
6063 float32 f32 = float32_squash_input_denormal(input, s);
6064 uint32_t val = float32_val(f32);
6065 uint32_t f32_sbit = 0x80000000 & val;
6066 int32_t f32_exp = extract32(val, 23, 8);
6067 uint32_t f32_frac = extract32(val, 0, 23);
6068 uint64_t f64_frac;
6069 uint64_t val64;
e07be5d2
CL
6070 int result_exp;
6071 float64 f64;
e07be5d2 6072
c2fb418e
AB
6073 if (float32_is_any_nan(f32)) {
6074 float32 nan = f32;
6075 if (float32_is_signaling_nan(f32)) {
e07be5d2 6076 float_raise(float_flag_invalid, s);
c2fb418e 6077 nan = float32_maybe_silence_nan(f32);
e07be5d2 6078 }
c2fb418e
AB
6079 if (s->default_nan_mode) {
6080 nan = float32_default_nan;
43fe9bdb 6081 }
c2fb418e
AB
6082 return nan;
6083 } else if (float32_is_zero(f32)) {
e07be5d2 6084 float_raise(float_flag_divbyzero, s);
c2fb418e
AB
6085 return float32_set_sign(float32_infinity, float32_is_neg(f32));
6086 } else if (float32_is_neg(f32)) {
e07be5d2
CL
6087 float_raise(float_flag_invalid, s);
6088 return float32_default_nan;
c2fb418e 6089 } else if (float32_is_infinity(f32)) {
e07be5d2
CL
6090 return float32_zero;
6091 }
6092
c2fb418e 6093 /* Scale and normalize to a double-precision value between 0.25 and 1.0,
e07be5d2 6094 * preserving the parity of the exponent. */
c2fb418e
AB
6095
6096 f64_frac = ((uint64_t) f32_frac) << 29;
6097 if (f32_exp == 0) {
6098 while (extract64(f64_frac, 51, 1) == 0) {
6099 f64_frac = f64_frac << 1;
6100 f32_exp = f32_exp-1;
6101 }
6102 f64_frac = extract64(f64_frac, 0, 51) << 1;
6103 }
6104
6105 if (extract64(f32_exp, 0, 1) == 0) {
6106 f64 = make_float64(((uint64_t) f32_sbit) << 32
e07be5d2 6107 | (0x3feULL << 52)
c2fb418e 6108 | f64_frac);
e07be5d2 6109 } else {
c2fb418e 6110 f64 = make_float64(((uint64_t) f32_sbit) << 32
e07be5d2 6111 | (0x3fdULL << 52)
c2fb418e 6112 | f64_frac);
e07be5d2
CL
6113 }
6114
c2fb418e 6115 result_exp = (380 - f32_exp) / 2;
e07be5d2 6116
c2fb418e 6117 f64 = recip_sqrt_estimate(f64, s);
e07be5d2
CL
6118
6119 val64 = float64_val(f64);
6120
26cc6abf 6121 val = ((result_exp & 0xff) << 23)
e07be5d2
CL
6122 | ((val64 >> 29) & 0x7fffff);
6123 return make_float32(val);
4373f3ce
PB
6124}
6125
c2fb418e
AB
6126float64 HELPER(rsqrte_f64)(float64 input, void *fpstp)
6127{
6128 float_status *s = fpstp;
6129 float64 f64 = float64_squash_input_denormal(input, s);
6130 uint64_t val = float64_val(f64);
6131 uint64_t f64_sbit = 0x8000000000000000ULL & val;
6132 int64_t f64_exp = extract64(val, 52, 11);
6133 uint64_t f64_frac = extract64(val, 0, 52);
6134 int64_t result_exp;
6135 uint64_t result_frac;
6136
6137 if (float64_is_any_nan(f64)) {
6138 float64 nan = f64;
6139 if (float64_is_signaling_nan(f64)) {
6140 float_raise(float_flag_invalid, s);
6141 nan = float64_maybe_silence_nan(f64);
6142 }
6143 if (s->default_nan_mode) {
6144 nan = float64_default_nan;
6145 }
6146 return nan;
6147 } else if (float64_is_zero(f64)) {
6148 float_raise(float_flag_divbyzero, s);
6149 return float64_set_sign(float64_infinity, float64_is_neg(f64));
6150 } else if (float64_is_neg(f64)) {
6151 float_raise(float_flag_invalid, s);
6152 return float64_default_nan;
6153 } else if (float64_is_infinity(f64)) {
6154 return float64_zero;
6155 }
6156
6157 /* Scale and normalize to a double-precision value between 0.25 and 1.0,
6158 * preserving the parity of the exponent. */
6159
6160 if (f64_exp == 0) {
6161 while (extract64(f64_frac, 51, 1) == 0) {
6162 f64_frac = f64_frac << 1;
6163 f64_exp = f64_exp - 1;
6164 }
6165 f64_frac = extract64(f64_frac, 0, 51) << 1;
6166 }
6167
6168 if (extract64(f64_exp, 0, 1) == 0) {
6169 f64 = make_float64(f64_sbit
6170 | (0x3feULL << 52)
6171 | f64_frac);
6172 } else {
6173 f64 = make_float64(f64_sbit
6174 | (0x3fdULL << 52)
6175 | f64_frac);
6176 }
6177
6178 result_exp = (3068 - f64_exp) / 2;
6179
6180 f64 = recip_sqrt_estimate(f64, s);
6181
6182 result_frac = extract64(float64_val(f64), 0, 52);
6183
6184 return make_float64(f64_sbit |
6185 ((result_exp & 0x7ff) << 52) |
6186 result_frac);
6187}
6188
b6d4443a 6189uint32_t HELPER(recpe_u32)(uint32_t a, void *fpstp)
4373f3ce 6190{
b6d4443a 6191 float_status *s = fpstp;
fe0e4872
CL
6192 float64 f64;
6193
6194 if ((a & 0x80000000) == 0) {
6195 return 0xffffffff;
6196 }
6197
6198 f64 = make_float64((0x3feULL << 52)
6199 | ((int64_t)(a & 0x7fffffff) << 21));
6200
b6d4443a 6201 f64 = recip_estimate(f64, s);
fe0e4872
CL
6202
6203 return 0x80000000 | ((float64_val(f64) >> 21) & 0x7fffffff);
4373f3ce
PB
6204}
6205
c2fb418e 6206uint32_t HELPER(rsqrte_u32)(uint32_t a, void *fpstp)
4373f3ce 6207{
c2fb418e 6208 float_status *fpst = fpstp;
e07be5d2
CL
6209 float64 f64;
6210
6211 if ((a & 0xc0000000) == 0) {
6212 return 0xffffffff;
6213 }
6214
6215 if (a & 0x80000000) {
6216 f64 = make_float64((0x3feULL << 52)
6217 | ((uint64_t)(a & 0x7fffffff) << 21));
6218 } else { /* bits 31-30 == '01' */
6219 f64 = make_float64((0x3fdULL << 52)
6220 | ((uint64_t)(a & 0x3fffffff) << 22));
6221 }
6222
c2fb418e 6223 f64 = recip_sqrt_estimate(f64, fpst);
e07be5d2
CL
6224
6225 return 0x80000000 | ((float64_val(f64) >> 21) & 0x7fffffff);
4373f3ce 6226}
fe1479c3 6227
da97f52c
PM
6228/* VFPv4 fused multiply-accumulate */
6229float32 VFP_HELPER(muladd, s)(float32 a, float32 b, float32 c, void *fpstp)
6230{
6231 float_status *fpst = fpstp;
6232 return float32_muladd(a, b, c, 0, fpst);
6233}
6234
6235float64 VFP_HELPER(muladd, d)(float64 a, float64 b, float64 c, void *fpstp)
6236{
6237 float_status *fpst = fpstp;
6238 return float64_muladd(a, b, c, 0, fpst);
6239}
d9b0848d
PM
6240
6241/* ARMv8 round to integral */
6242float32 HELPER(rints_exact)(float32 x, void *fp_status)
6243{
6244 return float32_round_to_int(x, fp_status);
6245}
6246
6247float64 HELPER(rintd_exact)(float64 x, void *fp_status)
6248{
6249 return float64_round_to_int(x, fp_status);
6250}
6251
6252float32 HELPER(rints)(float32 x, void *fp_status)
6253{
6254 int old_flags = get_float_exception_flags(fp_status), new_flags;
6255 float32 ret;
6256
6257 ret = float32_round_to_int(x, fp_status);
6258
6259 /* Suppress any inexact exceptions the conversion produced */
6260 if (!(old_flags & float_flag_inexact)) {
6261 new_flags = get_float_exception_flags(fp_status);
6262 set_float_exception_flags(new_flags & ~float_flag_inexact, fp_status);
6263 }
6264
6265 return ret;
6266}
6267
6268float64 HELPER(rintd)(float64 x, void *fp_status)
6269{
6270 int old_flags = get_float_exception_flags(fp_status), new_flags;
6271 float64 ret;
6272
6273 ret = float64_round_to_int(x, fp_status);
6274
6275 new_flags = get_float_exception_flags(fp_status);
6276
6277 /* Suppress any inexact exceptions the conversion produced */
6278 if (!(old_flags & float_flag_inexact)) {
6279 new_flags = get_float_exception_flags(fp_status);
6280 set_float_exception_flags(new_flags & ~float_flag_inexact, fp_status);
6281 }
6282
6283 return ret;
6284}
9972da66
WN
6285
6286/* Convert ARM rounding mode to softfloat */
6287int arm_rmode_to_sf(int rmode)
6288{
6289 switch (rmode) {
6290 case FPROUNDING_TIEAWAY:
6291 rmode = float_round_ties_away;
6292 break;
6293 case FPROUNDING_ODD:
6294 /* FIXME: add support for TIEAWAY and ODD */
6295 qemu_log_mask(LOG_UNIMP, "arm: unimplemented rounding mode: %d\n",
6296 rmode);
6297 case FPROUNDING_TIEEVEN:
6298 default:
6299 rmode = float_round_nearest_even;
6300 break;
6301 case FPROUNDING_POSINF:
6302 rmode = float_round_up;
6303 break;
6304 case FPROUNDING_NEGINF:
6305 rmode = float_round_down;
6306 break;
6307 case FPROUNDING_ZERO:
6308 rmode = float_round_to_zero;
6309 break;
6310 }
6311 return rmode;
6312}
eb0ecd5a 6313
aa633469
PM
6314/* CRC helpers.
6315 * The upper bytes of val (above the number specified by 'bytes') must have
6316 * been zeroed out by the caller.
6317 */
eb0ecd5a
WN
6318uint32_t HELPER(crc32)(uint32_t acc, uint32_t val, uint32_t bytes)
6319{
6320 uint8_t buf[4];
6321
aa633469 6322 stl_le_p(buf, val);
eb0ecd5a
WN
6323
6324 /* zlib crc32 converts the accumulator and output to one's complement. */
6325 return crc32(acc ^ 0xffffffff, buf, bytes) ^ 0xffffffff;
6326}
6327
6328uint32_t HELPER(crc32c)(uint32_t acc, uint32_t val, uint32_t bytes)
6329{
6330 uint8_t buf[4];
6331
aa633469 6332 stl_le_p(buf, val);
eb0ecd5a
WN
6333
6334 /* Linux crc32c converts the output to one's complement. */
6335 return crc32c(acc, buf, bytes) ^ 0xffffffff;
6336}