]> git.proxmox.com Git - mirror_ubuntu-artful-kernel.git/blob - drivers/net/bnx2x/bnx2x_reg.h
1cefe489a9553b62ff6b8b2618efebf9b440ba4e
[mirror_ubuntu-artful-kernel.git] / drivers / net / bnx2x / bnx2x_reg.h
1 /* bnx2x_reg.h: Broadcom Everest network driver.
2 *
3 * Copyright (c) 2007-2010 Broadcom Corporation
4 *
5 * This program is free software; you can redistribute it and/or modify
6 * it under the terms of the GNU General Public License as published by
7 * the Free Software Foundation.
8 *
9 * The registers description starts with the register Access type followed
10 * by size in bits. For example [RW 32]. The access types are:
11 * R - Read only
12 * RC - Clear on read
13 * RW - Read/Write
14 * ST - Statistics register (clear on read)
15 * W - Write only
16 * WB - Wide bus register - the size is over 32 bits and it should be
17 * read/write in consecutive 32 bits accesses
18 * WR - Write Clear (write 1 to clear the bit)
19 *
20 */
21
22 #define ATC_ATC_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
23 #define ATC_ATC_INT_STS_REG_ATC_GPA_MULTIPLE_HITS (0x1<<2)
24 #define ATC_ATC_INT_STS_REG_ATC_IREQ_LESS_THAN_STU (0x1<<5)
25 #define ATC_ATC_INT_STS_REG_ATC_RCPL_TO_EMPTY_CNT (0x1<<3)
26 #define ATC_ATC_INT_STS_REG_ATC_TCPL_ERROR (0x1<<4)
27 #define ATC_ATC_INT_STS_REG_ATC_TCPL_TO_NOT_PEND (0x1<<1)
28 /* [RW 1] Initiate the ATC array - reset all the valid bits */
29 #define ATC_REG_ATC_INIT_ARRAY 0x1100b8
30 /* [R 1] ATC initalization done */
31 #define ATC_REG_ATC_INIT_DONE 0x1100bc
32 /* [RC 6] Interrupt register #0 read clear */
33 #define ATC_REG_ATC_INT_STS_CLR 0x1101c0
34 /* [RW 19] Interrupt mask register #0 read/write */
35 #define BRB1_REG_BRB1_INT_MASK 0x60128
36 /* [R 19] Interrupt register #0 read */
37 #define BRB1_REG_BRB1_INT_STS 0x6011c
38 /* [RW 4] Parity mask register #0 read/write */
39 #define BRB1_REG_BRB1_PRTY_MASK 0x60138
40 /* [R 4] Parity register #0 read */
41 #define BRB1_REG_BRB1_PRTY_STS 0x6012c
42 /* [RW 10] At address BRB1_IND_FREE_LIST_PRS_CRDT initialize free head. At
43 * address BRB1_IND_FREE_LIST_PRS_CRDT+1 initialize free tail. At address
44 * BRB1_IND_FREE_LIST_PRS_CRDT+2 initialize parser initial credit. Warning -
45 * following reset the first rbc access to this reg must be write; there can
46 * be no more rbc writes after the first one; there can be any number of rbc
47 * read following the first write; rbc access not following these rules will
48 * result in hang condition. */
49 #define BRB1_REG_FREE_LIST_PRS_CRDT 0x60200
50 /* [RW 10] The number of free blocks below which the full signal to class 0
51 * is asserted */
52 #define BRB1_REG_FULL_0_XOFF_THRESHOLD_0 0x601d0
53 /* [RW 10] The number of free blocks above which the full signal to class 0
54 * is de-asserted */
55 #define BRB1_REG_FULL_0_XON_THRESHOLD_0 0x601d4
56 /* [RW 10] The number of free blocks below which the full signal to class 1
57 * is asserted */
58 #define BRB1_REG_FULL_1_XOFF_THRESHOLD_0 0x601d8
59 /* [RW 10] The number of free blocks above which the full signal to class 1
60 * is de-asserted */
61 #define BRB1_REG_FULL_1_XON_THRESHOLD_0 0x601dc
62 /* [RW 10] The number of free blocks below which the full signal to the LB
63 * port is asserted */
64 #define BRB1_REG_FULL_LB_XOFF_THRESHOLD 0x601e0
65 /* [RW 10] The number of free blocks above which the full signal to the LB
66 * port is de-asserted */
67 #define BRB1_REG_FULL_LB_XON_THRESHOLD 0x601e4
68 /* [RW 10] The number of free blocks above which the High_llfc signal to
69 interface #n is de-asserted. */
70 #define BRB1_REG_HIGH_LLFC_HIGH_THRESHOLD_0 0x6014c
71 /* [RW 10] The number of free blocks below which the High_llfc signal to
72 interface #n is asserted. */
73 #define BRB1_REG_HIGH_LLFC_LOW_THRESHOLD_0 0x6013c
74 /* [RW 23] LL RAM data. */
75 #define BRB1_REG_LL_RAM 0x61000
76 /* [RW 10] The number of free blocks above which the Low_llfc signal to
77 interface #n is de-asserted. */
78 #define BRB1_REG_LOW_LLFC_HIGH_THRESHOLD_0 0x6016c
79 /* [RW 10] The number of free blocks below which the Low_llfc signal to
80 interface #n is asserted. */
81 #define BRB1_REG_LOW_LLFC_LOW_THRESHOLD_0 0x6015c
82 /* [RW 10] The number of blocks guarantied for the MAC port */
83 #define BRB1_REG_MAC_GUARANTIED_0 0x601e8
84 #define BRB1_REG_MAC_GUARANTIED_1 0x60240
85 /* [R 24] The number of full blocks. */
86 #define BRB1_REG_NUM_OF_FULL_BLOCKS 0x60090
87 /* [ST 32] The number of cycles that the write_full signal towards MAC #0
88 was asserted. */
89 #define BRB1_REG_NUM_OF_FULL_CYCLES_0 0x600c8
90 #define BRB1_REG_NUM_OF_FULL_CYCLES_1 0x600cc
91 #define BRB1_REG_NUM_OF_FULL_CYCLES_4 0x600d8
92 /* [ST 32] The number of cycles that the pause signal towards MAC #0 was
93 asserted. */
94 #define BRB1_REG_NUM_OF_PAUSE_CYCLES_0 0x600b8
95 #define BRB1_REG_NUM_OF_PAUSE_CYCLES_1 0x600bc
96 /* [RW 10] The number of free blocks below which the pause signal to class 0
97 * is asserted */
98 #define BRB1_REG_PAUSE_0_XOFF_THRESHOLD_0 0x601c0
99 /* [RW 10] The number of free blocks above which the pause signal to class 0
100 * is de-asserted */
101 #define BRB1_REG_PAUSE_0_XON_THRESHOLD_0 0x601c4
102 /* [RW 10] The number of free blocks below which the pause signal to class 1
103 * is asserted */
104 #define BRB1_REG_PAUSE_1_XOFF_THRESHOLD_0 0x601c8
105 /* [RW 10] The number of free blocks above which the pause signal to class 1
106 * is de-asserted */
107 #define BRB1_REG_PAUSE_1_XON_THRESHOLD_0 0x601cc
108 /* [RW 10] Write client 0: De-assert pause threshold. Not Functional */
109 #define BRB1_REG_PAUSE_HIGH_THRESHOLD_0 0x60078
110 #define BRB1_REG_PAUSE_HIGH_THRESHOLD_1 0x6007c
111 /* [RW 10] Write client 0: Assert pause threshold. */
112 #define BRB1_REG_PAUSE_LOW_THRESHOLD_0 0x60068
113 #define BRB1_REG_PAUSE_LOW_THRESHOLD_1 0x6006c
114 /* [R 24] The number of full blocks occupied by port. */
115 #define BRB1_REG_PORT_NUM_OCC_BLOCKS_0 0x60094
116 /* [RW 1] Reset the design by software. */
117 #define BRB1_REG_SOFT_RESET 0x600dc
118 /* [R 5] Used to read the value of the XX protection CAM occupancy counter. */
119 #define CCM_REG_CAM_OCCUP 0xd0188
120 /* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
121 acknowledge output is deasserted; all other signals are treated as usual;
122 if 1 - normal activity. */
123 #define CCM_REG_CCM_CFC_IFEN 0xd003c
124 /* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
125 disregarded; valid is deasserted; all other signals are treated as usual;
126 if 1 - normal activity. */
127 #define CCM_REG_CCM_CQM_IFEN 0xd000c
128 /* [RW 1] If set the Q index; received from the QM is inserted to event ID.
129 Otherwise 0 is inserted. */
130 #define CCM_REG_CCM_CQM_USE_Q 0xd00c0
131 /* [RW 11] Interrupt mask register #0 read/write */
132 #define CCM_REG_CCM_INT_MASK 0xd01e4
133 /* [R 11] Interrupt register #0 read */
134 #define CCM_REG_CCM_INT_STS 0xd01d8
135 /* [R 27] Parity register #0 read */
136 #define CCM_REG_CCM_PRTY_STS 0xd01e8
137 /* [RW 3] The size of AG context region 0 in REG-pairs. Designates the MS
138 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
139 Is used to determine the number of the AG context REG-pairs written back;
140 when the input message Reg1WbFlg isn't set. */
141 #define CCM_REG_CCM_REG0_SZ 0xd00c4
142 /* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
143 disregarded; valid is deasserted; all other signals are treated as usual;
144 if 1 - normal activity. */
145 #define CCM_REG_CCM_STORM0_IFEN 0xd0004
146 /* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
147 disregarded; valid is deasserted; all other signals are treated as usual;
148 if 1 - normal activity. */
149 #define CCM_REG_CCM_STORM1_IFEN 0xd0008
150 /* [RW 1] CDU AG read Interface enable. If 0 - the request input is
151 disregarded; valid output is deasserted; all other signals are treated as
152 usual; if 1 - normal activity. */
153 #define CCM_REG_CDU_AG_RD_IFEN 0xd0030
154 /* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
155 are disregarded; all other signals are treated as usual; if 1 - normal
156 activity. */
157 #define CCM_REG_CDU_AG_WR_IFEN 0xd002c
158 /* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
159 disregarded; valid output is deasserted; all other signals are treated as
160 usual; if 1 - normal activity. */
161 #define CCM_REG_CDU_SM_RD_IFEN 0xd0038
162 /* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
163 input is disregarded; all other signals are treated as usual; if 1 -
164 normal activity. */
165 #define CCM_REG_CDU_SM_WR_IFEN 0xd0034
166 /* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
167 the initial credit value; read returns the current value of the credit
168 counter. Must be initialized to 1 at start-up. */
169 #define CCM_REG_CFC_INIT_CRD 0xd0204
170 /* [RW 2] Auxillary counter flag Q number 1. */
171 #define CCM_REG_CNT_AUX1_Q 0xd00c8
172 /* [RW 2] Auxillary counter flag Q number 2. */
173 #define CCM_REG_CNT_AUX2_Q 0xd00cc
174 /* [RW 28] The CM header value for QM request (primary). */
175 #define CCM_REG_CQM_CCM_HDR_P 0xd008c
176 /* [RW 28] The CM header value for QM request (secondary). */
177 #define CCM_REG_CQM_CCM_HDR_S 0xd0090
178 /* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
179 acknowledge output is deasserted; all other signals are treated as usual;
180 if 1 - normal activity. */
181 #define CCM_REG_CQM_CCM_IFEN 0xd0014
182 /* [RW 6] QM output initial credit. Max credit available - 32. Write writes
183 the initial credit value; read returns the current value of the credit
184 counter. Must be initialized to 32 at start-up. */
185 #define CCM_REG_CQM_INIT_CRD 0xd020c
186 /* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
187 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
188 prioritised); 2 stands for weight 2; tc. */
189 #define CCM_REG_CQM_P_WEIGHT 0xd00b8
190 /* [RW 3] The weight of the QM (secondary) input in the WRR mechanism. 0
191 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
192 prioritised); 2 stands for weight 2; tc. */
193 #define CCM_REG_CQM_S_WEIGHT 0xd00bc
194 /* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
195 acknowledge output is deasserted; all other signals are treated as usual;
196 if 1 - normal activity. */
197 #define CCM_REG_CSDM_IFEN 0xd0018
198 /* [RC 1] Set when the message length mismatch (relative to last indication)
199 at the SDM interface is detected. */
200 #define CCM_REG_CSDM_LENGTH_MIS 0xd0170
201 /* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
202 weight 8 (the most prioritised); 1 stands for weight 1(least
203 prioritised); 2 stands for weight 2; tc. */
204 #define CCM_REG_CSDM_WEIGHT 0xd00b4
205 /* [RW 28] The CM header for QM formatting in case of an error in the QM
206 inputs. */
207 #define CCM_REG_ERR_CCM_HDR 0xd0094
208 /* [RW 8] The Event ID in case the input message ErrorFlg is set. */
209 #define CCM_REG_ERR_EVNT_ID 0xd0098
210 /* [RW 8] FIC0 output initial credit. Max credit available - 255. Write
211 writes the initial credit value; read returns the current value of the
212 credit counter. Must be initialized to 64 at start-up. */
213 #define CCM_REG_FIC0_INIT_CRD 0xd0210
214 /* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
215 writes the initial credit value; read returns the current value of the
216 credit counter. Must be initialized to 64 at start-up. */
217 #define CCM_REG_FIC1_INIT_CRD 0xd0214
218 /* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
219 - strict priority defined by ~ccm_registers_gr_ag_pr.gr_ag_pr;
220 ~ccm_registers_gr_ld0_pr.gr_ld0_pr and
221 ~ccm_registers_gr_ld1_pr.gr_ld1_pr. Groups are according to channels and
222 outputs to STORM: aggregation; load FIC0; load FIC1 and store. */
223 #define CCM_REG_GR_ARB_TYPE 0xd015c
224 /* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
225 highest priority is 3. It is supposed; that the Store channel priority is
226 the compliment to 4 of the rest priorities - Aggregation channel; Load
227 (FIC0) channel and Load (FIC1). */
228 #define CCM_REG_GR_LD0_PR 0xd0164
229 /* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
230 highest priority is 3. It is supposed; that the Store channel priority is
231 the compliment to 4 of the rest priorities - Aggregation channel; Load
232 (FIC0) channel and Load (FIC1). */
233 #define CCM_REG_GR_LD1_PR 0xd0168
234 /* [RW 2] General flags index. */
235 #define CCM_REG_INV_DONE_Q 0xd0108
236 /* [RW 4] The number of double REG-pairs(128 bits); loaded from the STORM
237 context and sent to STORM; for a specific connection type. The double
238 REG-pairs are used in order to align to STORM context row size of 128
239 bits. The offset of these data in the STORM context is always 0. Index
240 _(0..15) stands for the connection type (one of 16). */
241 #define CCM_REG_N_SM_CTX_LD_0 0xd004c
242 #define CCM_REG_N_SM_CTX_LD_1 0xd0050
243 #define CCM_REG_N_SM_CTX_LD_2 0xd0054
244 #define CCM_REG_N_SM_CTX_LD_3 0xd0058
245 #define CCM_REG_N_SM_CTX_LD_4 0xd005c
246 /* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
247 acknowledge output is deasserted; all other signals are treated as usual;
248 if 1 - normal activity. */
249 #define CCM_REG_PBF_IFEN 0xd0028
250 /* [RC 1] Set when the message length mismatch (relative to last indication)
251 at the pbf interface is detected. */
252 #define CCM_REG_PBF_LENGTH_MIS 0xd0180
253 /* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
254 weight 8 (the most prioritised); 1 stands for weight 1(least
255 prioritised); 2 stands for weight 2; tc. */
256 #define CCM_REG_PBF_WEIGHT 0xd00ac
257 #define CCM_REG_PHYS_QNUM1_0 0xd0134
258 #define CCM_REG_PHYS_QNUM1_1 0xd0138
259 #define CCM_REG_PHYS_QNUM2_0 0xd013c
260 #define CCM_REG_PHYS_QNUM2_1 0xd0140
261 #define CCM_REG_PHYS_QNUM3_0 0xd0144
262 #define CCM_REG_PHYS_QNUM3_1 0xd0148
263 #define CCM_REG_QOS_PHYS_QNUM0_0 0xd0114
264 #define CCM_REG_QOS_PHYS_QNUM0_1 0xd0118
265 #define CCM_REG_QOS_PHYS_QNUM1_0 0xd011c
266 #define CCM_REG_QOS_PHYS_QNUM1_1 0xd0120
267 #define CCM_REG_QOS_PHYS_QNUM2_0 0xd0124
268 #define CCM_REG_QOS_PHYS_QNUM2_1 0xd0128
269 #define CCM_REG_QOS_PHYS_QNUM3_0 0xd012c
270 #define CCM_REG_QOS_PHYS_QNUM3_1 0xd0130
271 /* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
272 disregarded; acknowledge output is deasserted; all other signals are
273 treated as usual; if 1 - normal activity. */
274 #define CCM_REG_STORM_CCM_IFEN 0xd0010
275 /* [RC 1] Set when the message length mismatch (relative to last indication)
276 at the STORM interface is detected. */
277 #define CCM_REG_STORM_LENGTH_MIS 0xd016c
278 /* [RW 3] The weight of the STORM input in the WRR (Weighted Round robin)
279 mechanism. 0 stands for weight 8 (the most prioritised); 1 stands for
280 weight 1(least prioritised); 2 stands for weight 2 (more prioritised);
281 tc. */
282 #define CCM_REG_STORM_WEIGHT 0xd009c
283 /* [RW 1] Input tsem Interface enable. If 0 - the valid input is
284 disregarded; acknowledge output is deasserted; all other signals are
285 treated as usual; if 1 - normal activity. */
286 #define CCM_REG_TSEM_IFEN 0xd001c
287 /* [RC 1] Set when the message length mismatch (relative to last indication)
288 at the tsem interface is detected. */
289 #define CCM_REG_TSEM_LENGTH_MIS 0xd0174
290 /* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
291 weight 8 (the most prioritised); 1 stands for weight 1(least
292 prioritised); 2 stands for weight 2; tc. */
293 #define CCM_REG_TSEM_WEIGHT 0xd00a0
294 /* [RW 1] Input usem Interface enable. If 0 - the valid input is
295 disregarded; acknowledge output is deasserted; all other signals are
296 treated as usual; if 1 - normal activity. */
297 #define CCM_REG_USEM_IFEN 0xd0024
298 /* [RC 1] Set when message length mismatch (relative to last indication) at
299 the usem interface is detected. */
300 #define CCM_REG_USEM_LENGTH_MIS 0xd017c
301 /* [RW 3] The weight of the input usem in the WRR mechanism. 0 stands for
302 weight 8 (the most prioritised); 1 stands for weight 1(least
303 prioritised); 2 stands for weight 2; tc. */
304 #define CCM_REG_USEM_WEIGHT 0xd00a8
305 /* [RW 1] Input xsem Interface enable. If 0 - the valid input is
306 disregarded; acknowledge output is deasserted; all other signals are
307 treated as usual; if 1 - normal activity. */
308 #define CCM_REG_XSEM_IFEN 0xd0020
309 /* [RC 1] Set when the message length mismatch (relative to last indication)
310 at the xsem interface is detected. */
311 #define CCM_REG_XSEM_LENGTH_MIS 0xd0178
312 /* [RW 3] The weight of the input xsem in the WRR mechanism. 0 stands for
313 weight 8 (the most prioritised); 1 stands for weight 1(least
314 prioritised); 2 stands for weight 2; tc. */
315 #define CCM_REG_XSEM_WEIGHT 0xd00a4
316 /* [RW 19] Indirect access to the descriptor table of the XX protection
317 mechanism. The fields are: [5:0] - message length; [12:6] - message
318 pointer; 18:13] - next pointer. */
319 #define CCM_REG_XX_DESCR_TABLE 0xd0300
320 #define CCM_REG_XX_DESCR_TABLE_SIZE 36
321 /* [R 7] Used to read the value of XX protection Free counter. */
322 #define CCM_REG_XX_FREE 0xd0184
323 /* [RW 6] Initial value for the credit counter; responsible for fulfilling
324 of the Input Stage XX protection buffer by the XX protection pending
325 messages. Max credit available - 127. Write writes the initial credit
326 value; read returns the current value of the credit counter. Must be
327 initialized to maximum XX protected message size - 2 at start-up. */
328 #define CCM_REG_XX_INIT_CRD 0xd0220
329 /* [RW 7] The maximum number of pending messages; which may be stored in XX
330 protection. At read the ~ccm_registers_xx_free.xx_free counter is read.
331 At write comprises the start value of the ~ccm_registers_xx_free.xx_free
332 counter. */
333 #define CCM_REG_XX_MSG_NUM 0xd0224
334 /* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
335 #define CCM_REG_XX_OVFL_EVNT_ID 0xd0044
336 /* [RW 18] Indirect access to the XX table of the XX protection mechanism.
337 The fields are: [5:0] - tail pointer; 11:6] - Link List size; 17:12] -
338 header pointer. */
339 #define CCM_REG_XX_TABLE 0xd0280
340 #define CDU_REG_CDU_CHK_MASK0 0x101000
341 #define CDU_REG_CDU_CHK_MASK1 0x101004
342 #define CDU_REG_CDU_CONTROL0 0x101008
343 #define CDU_REG_CDU_DEBUG 0x101010
344 #define CDU_REG_CDU_GLOBAL_PARAMS 0x101020
345 /* [RW 7] Interrupt mask register #0 read/write */
346 #define CDU_REG_CDU_INT_MASK 0x10103c
347 /* [R 7] Interrupt register #0 read */
348 #define CDU_REG_CDU_INT_STS 0x101030
349 /* [RW 5] Parity mask register #0 read/write */
350 #define CDU_REG_CDU_PRTY_MASK 0x10104c
351 /* [R 5] Parity register #0 read */
352 #define CDU_REG_CDU_PRTY_STS 0x101040
353 /* [RC 32] logging of error data in case of a CDU load error:
354 {expected_cid[15:0]; xpected_type[2:0]; xpected_region[2:0]; ctive_error;
355 ype_error; ctual_active; ctual_compressed_context}; */
356 #define CDU_REG_ERROR_DATA 0x101014
357 /* [WB 216] L1TT ram access. each entry has the following format :
358 {mrege_regions[7:0]; ffset12[5:0]...offset0[5:0];
359 ength12[5:0]...length0[5:0]; d12[3:0]...id0[3:0]} */
360 #define CDU_REG_L1TT 0x101800
361 /* [WB 24] MATT ram access. each entry has the following
362 format:{RegionLength[11:0]; egionOffset[11:0]} */
363 #define CDU_REG_MATT 0x101100
364 /* [RW 1] when this bit is set the CDU operates in e1hmf mode */
365 #define CDU_REG_MF_MODE 0x101050
366 /* [R 1] indication the initializing the activity counter by the hardware
367 was done. */
368 #define CFC_REG_AC_INIT_DONE 0x104078
369 /* [RW 13] activity counter ram access */
370 #define CFC_REG_ACTIVITY_COUNTER 0x104400
371 #define CFC_REG_ACTIVITY_COUNTER_SIZE 256
372 /* [R 1] indication the initializing the cams by the hardware was done. */
373 #define CFC_REG_CAM_INIT_DONE 0x10407c
374 /* [RW 2] Interrupt mask register #0 read/write */
375 #define CFC_REG_CFC_INT_MASK 0x104108
376 /* [R 2] Interrupt register #0 read */
377 #define CFC_REG_CFC_INT_STS 0x1040fc
378 /* [RC 2] Interrupt register #0 read clear */
379 #define CFC_REG_CFC_INT_STS_CLR 0x104100
380 /* [RW 4] Parity mask register #0 read/write */
381 #define CFC_REG_CFC_PRTY_MASK 0x104118
382 /* [R 4] Parity register #0 read */
383 #define CFC_REG_CFC_PRTY_STS 0x10410c
384 /* [RW 21] CID cam access (21:1 - Data; alid - 0) */
385 #define CFC_REG_CID_CAM 0x104800
386 #define CFC_REG_CONTROL0 0x104028
387 #define CFC_REG_DEBUG0 0x104050
388 /* [RW 14] indicates per error (in #cfc_registers_cfc_error_vector.cfc_error
389 vector) whether the cfc should be disabled upon it */
390 #define CFC_REG_DISABLE_ON_ERROR 0x104044
391 /* [RC 14] CFC error vector. when the CFC detects an internal error it will
392 set one of these bits. the bit description can be found in CFC
393 specifications */
394 #define CFC_REG_ERROR_VECTOR 0x10403c
395 /* [WB 93] LCID info ram access */
396 #define CFC_REG_INFO_RAM 0x105000
397 #define CFC_REG_INFO_RAM_SIZE 1024
398 #define CFC_REG_INIT_REG 0x10404c
399 #define CFC_REG_INTERFACES 0x104058
400 /* [RW 24] {weight_load_client7[2:0] to weight_load_client0[2:0]}. this
401 field allows changing the priorities of the weighted-round-robin arbiter
402 which selects which CFC load client should be served next */
403 #define CFC_REG_LCREQ_WEIGHTS 0x104084
404 /* [RW 16] Link List ram access; data = {prev_lcid; ext_lcid} */
405 #define CFC_REG_LINK_LIST 0x104c00
406 #define CFC_REG_LINK_LIST_SIZE 256
407 /* [R 1] indication the initializing the link list by the hardware was done. */
408 #define CFC_REG_LL_INIT_DONE 0x104074
409 /* [R 9] Number of allocated LCIDs which are at empty state */
410 #define CFC_REG_NUM_LCIDS_ALLOC 0x104020
411 /* [R 9] Number of Arriving LCIDs in Link List Block */
412 #define CFC_REG_NUM_LCIDS_ARRIVING 0x104004
413 /* [R 9] Number of Leaving LCIDs in Link List Block */
414 #define CFC_REG_NUM_LCIDS_LEAVING 0x104018
415 #define CFC_REG_WEAK_ENABLE_PF 0x104124
416 /* [RW 8] The event id for aggregated interrupt 0 */
417 #define CSDM_REG_AGG_INT_EVENT_0 0xc2038
418 #define CSDM_REG_AGG_INT_EVENT_10 0xc2060
419 #define CSDM_REG_AGG_INT_EVENT_11 0xc2064
420 #define CSDM_REG_AGG_INT_EVENT_12 0xc2068
421 #define CSDM_REG_AGG_INT_EVENT_13 0xc206c
422 #define CSDM_REG_AGG_INT_EVENT_14 0xc2070
423 #define CSDM_REG_AGG_INT_EVENT_15 0xc2074
424 #define CSDM_REG_AGG_INT_EVENT_16 0xc2078
425 #define CSDM_REG_AGG_INT_EVENT_2 0xc2040
426 #define CSDM_REG_AGG_INT_EVENT_3 0xc2044
427 #define CSDM_REG_AGG_INT_EVENT_4 0xc2048
428 #define CSDM_REG_AGG_INT_EVENT_5 0xc204c
429 #define CSDM_REG_AGG_INT_EVENT_6 0xc2050
430 #define CSDM_REG_AGG_INT_EVENT_7 0xc2054
431 #define CSDM_REG_AGG_INT_EVENT_8 0xc2058
432 #define CSDM_REG_AGG_INT_EVENT_9 0xc205c
433 /* [RW 1] For each aggregated interrupt index whether the mode is normal (0)
434 or auto-mask-mode (1) */
435 #define CSDM_REG_AGG_INT_MODE_10 0xc21e0
436 #define CSDM_REG_AGG_INT_MODE_11 0xc21e4
437 #define CSDM_REG_AGG_INT_MODE_12 0xc21e8
438 #define CSDM_REG_AGG_INT_MODE_13 0xc21ec
439 #define CSDM_REG_AGG_INT_MODE_14 0xc21f0
440 #define CSDM_REG_AGG_INT_MODE_15 0xc21f4
441 #define CSDM_REG_AGG_INT_MODE_16 0xc21f8
442 #define CSDM_REG_AGG_INT_MODE_6 0xc21d0
443 #define CSDM_REG_AGG_INT_MODE_7 0xc21d4
444 #define CSDM_REG_AGG_INT_MODE_8 0xc21d8
445 #define CSDM_REG_AGG_INT_MODE_9 0xc21dc
446 /* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
447 #define CSDM_REG_CFC_RSP_START_ADDR 0xc2008
448 /* [RW 16] The maximum value of the competion counter #0 */
449 #define CSDM_REG_CMP_COUNTER_MAX0 0xc201c
450 /* [RW 16] The maximum value of the competion counter #1 */
451 #define CSDM_REG_CMP_COUNTER_MAX1 0xc2020
452 /* [RW 16] The maximum value of the competion counter #2 */
453 #define CSDM_REG_CMP_COUNTER_MAX2 0xc2024
454 /* [RW 16] The maximum value of the competion counter #3 */
455 #define CSDM_REG_CMP_COUNTER_MAX3 0xc2028
456 /* [RW 13] The start address in the internal RAM for the completion
457 counters. */
458 #define CSDM_REG_CMP_COUNTER_START_ADDR 0xc200c
459 /* [RW 32] Interrupt mask register #0 read/write */
460 #define CSDM_REG_CSDM_INT_MASK_0 0xc229c
461 #define CSDM_REG_CSDM_INT_MASK_1 0xc22ac
462 /* [R 32] Interrupt register #0 read */
463 #define CSDM_REG_CSDM_INT_STS_0 0xc2290
464 #define CSDM_REG_CSDM_INT_STS_1 0xc22a0
465 /* [RW 11] Parity mask register #0 read/write */
466 #define CSDM_REG_CSDM_PRTY_MASK 0xc22bc
467 /* [R 11] Parity register #0 read */
468 #define CSDM_REG_CSDM_PRTY_STS 0xc22b0
469 #define CSDM_REG_ENABLE_IN1 0xc2238
470 #define CSDM_REG_ENABLE_IN2 0xc223c
471 #define CSDM_REG_ENABLE_OUT1 0xc2240
472 #define CSDM_REG_ENABLE_OUT2 0xc2244
473 /* [RW 4] The initial number of messages that can be sent to the pxp control
474 interface without receiving any ACK. */
475 #define CSDM_REG_INIT_CREDIT_PXP_CTRL 0xc24bc
476 /* [ST 32] The number of ACK after placement messages received */
477 #define CSDM_REG_NUM_OF_ACK_AFTER_PLACE 0xc227c
478 /* [ST 32] The number of packet end messages received from the parser */
479 #define CSDM_REG_NUM_OF_PKT_END_MSG 0xc2274
480 /* [ST 32] The number of requests received from the pxp async if */
481 #define CSDM_REG_NUM_OF_PXP_ASYNC_REQ 0xc2278
482 /* [ST 32] The number of commands received in queue 0 */
483 #define CSDM_REG_NUM_OF_Q0_CMD 0xc2248
484 /* [ST 32] The number of commands received in queue 10 */
485 #define CSDM_REG_NUM_OF_Q10_CMD 0xc226c
486 /* [ST 32] The number of commands received in queue 11 */
487 #define CSDM_REG_NUM_OF_Q11_CMD 0xc2270
488 /* [ST 32] The number of commands received in queue 1 */
489 #define CSDM_REG_NUM_OF_Q1_CMD 0xc224c
490 /* [ST 32] The number of commands received in queue 3 */
491 #define CSDM_REG_NUM_OF_Q3_CMD 0xc2250
492 /* [ST 32] The number of commands received in queue 4 */
493 #define CSDM_REG_NUM_OF_Q4_CMD 0xc2254
494 /* [ST 32] The number of commands received in queue 5 */
495 #define CSDM_REG_NUM_OF_Q5_CMD 0xc2258
496 /* [ST 32] The number of commands received in queue 6 */
497 #define CSDM_REG_NUM_OF_Q6_CMD 0xc225c
498 /* [ST 32] The number of commands received in queue 7 */
499 #define CSDM_REG_NUM_OF_Q7_CMD 0xc2260
500 /* [ST 32] The number of commands received in queue 8 */
501 #define CSDM_REG_NUM_OF_Q8_CMD 0xc2264
502 /* [ST 32] The number of commands received in queue 9 */
503 #define CSDM_REG_NUM_OF_Q9_CMD 0xc2268
504 /* [RW 13] The start address in the internal RAM for queue counters */
505 #define CSDM_REG_Q_COUNTER_START_ADDR 0xc2010
506 /* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
507 #define CSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0xc2548
508 /* [R 1] parser fifo empty in sdm_sync block */
509 #define CSDM_REG_SYNC_PARSER_EMPTY 0xc2550
510 /* [R 1] parser serial fifo empty in sdm_sync block */
511 #define CSDM_REG_SYNC_SYNC_EMPTY 0xc2558
512 /* [RW 32] Tick for timer counter. Applicable only when
513 ~csdm_registers_timer_tick_enable.timer_tick_enable =1 */
514 #define CSDM_REG_TIMER_TICK 0xc2000
515 /* [RW 5] The number of time_slots in the arbitration cycle */
516 #define CSEM_REG_ARB_CYCLE_SIZE 0x200034
517 /* [RW 3] The source that is associated with arbitration element 0. Source
518 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
519 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
520 #define CSEM_REG_ARB_ELEMENT0 0x200020
521 /* [RW 3] The source that is associated with arbitration element 1. Source
522 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
523 sleeping thread with priority 1; 4- sleeping thread with priority 2.
524 Could not be equal to register ~csem_registers_arb_element0.arb_element0 */
525 #define CSEM_REG_ARB_ELEMENT1 0x200024
526 /* [RW 3] The source that is associated with arbitration element 2. Source
527 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
528 sleeping thread with priority 1; 4- sleeping thread with priority 2.
529 Could not be equal to register ~csem_registers_arb_element0.arb_element0
530 and ~csem_registers_arb_element1.arb_element1 */
531 #define CSEM_REG_ARB_ELEMENT2 0x200028
532 /* [RW 3] The source that is associated with arbitration element 3. Source
533 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
534 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
535 not be equal to register ~csem_registers_arb_element0.arb_element0 and
536 ~csem_registers_arb_element1.arb_element1 and
537 ~csem_registers_arb_element2.arb_element2 */
538 #define CSEM_REG_ARB_ELEMENT3 0x20002c
539 /* [RW 3] The source that is associated with arbitration element 4. Source
540 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
541 sleeping thread with priority 1; 4- sleeping thread with priority 2.
542 Could not be equal to register ~csem_registers_arb_element0.arb_element0
543 and ~csem_registers_arb_element1.arb_element1 and
544 ~csem_registers_arb_element2.arb_element2 and
545 ~csem_registers_arb_element3.arb_element3 */
546 #define CSEM_REG_ARB_ELEMENT4 0x200030
547 /* [RW 32] Interrupt mask register #0 read/write */
548 #define CSEM_REG_CSEM_INT_MASK_0 0x200110
549 #define CSEM_REG_CSEM_INT_MASK_1 0x200120
550 /* [R 32] Interrupt register #0 read */
551 #define CSEM_REG_CSEM_INT_STS_0 0x200104
552 #define CSEM_REG_CSEM_INT_STS_1 0x200114
553 /* [RW 32] Parity mask register #0 read/write */
554 #define CSEM_REG_CSEM_PRTY_MASK_0 0x200130
555 #define CSEM_REG_CSEM_PRTY_MASK_1 0x200140
556 /* [R 32] Parity register #0 read */
557 #define CSEM_REG_CSEM_PRTY_STS_0 0x200124
558 #define CSEM_REG_CSEM_PRTY_STS_1 0x200134
559 #define CSEM_REG_ENABLE_IN 0x2000a4
560 #define CSEM_REG_ENABLE_OUT 0x2000a8
561 /* [RW 32] This address space contains all registers and memories that are
562 placed in SEM_FAST block. The SEM_FAST registers are described in
563 appendix B. In order to access the sem_fast registers the base address
564 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
565 #define CSEM_REG_FAST_MEMORY 0x220000
566 /* [RW 1] Disables input messages from FIC0 May be updated during run_time
567 by the microcode */
568 #define CSEM_REG_FIC0_DISABLE 0x200224
569 /* [RW 1] Disables input messages from FIC1 May be updated during run_time
570 by the microcode */
571 #define CSEM_REG_FIC1_DISABLE 0x200234
572 /* [RW 15] Interrupt table Read and write access to it is not possible in
573 the middle of the work */
574 #define CSEM_REG_INT_TABLE 0x200400
575 /* [ST 24] Statistics register. The number of messages that entered through
576 FIC0 */
577 #define CSEM_REG_MSG_NUM_FIC0 0x200000
578 /* [ST 24] Statistics register. The number of messages that entered through
579 FIC1 */
580 #define CSEM_REG_MSG_NUM_FIC1 0x200004
581 /* [ST 24] Statistics register. The number of messages that were sent to
582 FOC0 */
583 #define CSEM_REG_MSG_NUM_FOC0 0x200008
584 /* [ST 24] Statistics register. The number of messages that were sent to
585 FOC1 */
586 #define CSEM_REG_MSG_NUM_FOC1 0x20000c
587 /* [ST 24] Statistics register. The number of messages that were sent to
588 FOC2 */
589 #define CSEM_REG_MSG_NUM_FOC2 0x200010
590 /* [ST 24] Statistics register. The number of messages that were sent to
591 FOC3 */
592 #define CSEM_REG_MSG_NUM_FOC3 0x200014
593 /* [RW 1] Disables input messages from the passive buffer May be updated
594 during run_time by the microcode */
595 #define CSEM_REG_PAS_DISABLE 0x20024c
596 /* [WB 128] Debug only. Passive buffer memory */
597 #define CSEM_REG_PASSIVE_BUFFER 0x202000
598 /* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
599 #define CSEM_REG_PRAM 0x240000
600 /* [R 16] Valid sleeping threads indication have bit per thread */
601 #define CSEM_REG_SLEEP_THREADS_VALID 0x20026c
602 /* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
603 #define CSEM_REG_SLOW_EXT_STORE_EMPTY 0x2002a0
604 /* [RW 16] List of free threads . There is a bit per thread. */
605 #define CSEM_REG_THREADS_LIST 0x2002e4
606 /* [RW 3] The arbitration scheme of time_slot 0 */
607 #define CSEM_REG_TS_0_AS 0x200038
608 /* [RW 3] The arbitration scheme of time_slot 10 */
609 #define CSEM_REG_TS_10_AS 0x200060
610 /* [RW 3] The arbitration scheme of time_slot 11 */
611 #define CSEM_REG_TS_11_AS 0x200064
612 /* [RW 3] The arbitration scheme of time_slot 12 */
613 #define CSEM_REG_TS_12_AS 0x200068
614 /* [RW 3] The arbitration scheme of time_slot 13 */
615 #define CSEM_REG_TS_13_AS 0x20006c
616 /* [RW 3] The arbitration scheme of time_slot 14 */
617 #define CSEM_REG_TS_14_AS 0x200070
618 /* [RW 3] The arbitration scheme of time_slot 15 */
619 #define CSEM_REG_TS_15_AS 0x200074
620 /* [RW 3] The arbitration scheme of time_slot 16 */
621 #define CSEM_REG_TS_16_AS 0x200078
622 /* [RW 3] The arbitration scheme of time_slot 17 */
623 #define CSEM_REG_TS_17_AS 0x20007c
624 /* [RW 3] The arbitration scheme of time_slot 18 */
625 #define CSEM_REG_TS_18_AS 0x200080
626 /* [RW 3] The arbitration scheme of time_slot 1 */
627 #define CSEM_REG_TS_1_AS 0x20003c
628 /* [RW 3] The arbitration scheme of time_slot 2 */
629 #define CSEM_REG_TS_2_AS 0x200040
630 /* [RW 3] The arbitration scheme of time_slot 3 */
631 #define CSEM_REG_TS_3_AS 0x200044
632 /* [RW 3] The arbitration scheme of time_slot 4 */
633 #define CSEM_REG_TS_4_AS 0x200048
634 /* [RW 3] The arbitration scheme of time_slot 5 */
635 #define CSEM_REG_TS_5_AS 0x20004c
636 /* [RW 3] The arbitration scheme of time_slot 6 */
637 #define CSEM_REG_TS_6_AS 0x200050
638 /* [RW 3] The arbitration scheme of time_slot 7 */
639 #define CSEM_REG_TS_7_AS 0x200054
640 /* [RW 3] The arbitration scheme of time_slot 8 */
641 #define CSEM_REG_TS_8_AS 0x200058
642 /* [RW 3] The arbitration scheme of time_slot 9 */
643 #define CSEM_REG_TS_9_AS 0x20005c
644 /* [W 7] VF or PF ID for reset error bit. Values 0-63 reset error bit for 64
645 * VF; values 64-67 reset error for 4 PF; values 68-127 are not valid. */
646 #define CSEM_REG_VFPF_ERR_NUM 0x200380
647 /* [RW 1] Parity mask register #0 read/write */
648 #define DBG_REG_DBG_PRTY_MASK 0xc0a8
649 /* [R 1] Parity register #0 read */
650 #define DBG_REG_DBG_PRTY_STS 0xc09c
651 /* [RW 1] When set the DMAE will process the commands as in E1.5. 1.The
652 * function that is used is always SRC-PCI; 2.VF_Valid = 0; 3.VFID=0;
653 * 4.Completion function=0; 5.Error handling=0 */
654 #define DMAE_REG_BACKWARD_COMP_EN 0x10207c
655 /* [RW 32] Commands memory. The address to command X; row Y is to calculated
656 as 14*X+Y. */
657 #define DMAE_REG_CMD_MEM 0x102400
658 #define DMAE_REG_CMD_MEM_SIZE 224
659 /* [RW 1] If 0 - the CRC-16c initial value is all zeroes; if 1 - the CRC-16c
660 initial value is all ones. */
661 #define DMAE_REG_CRC16C_INIT 0x10201c
662 /* [RW 1] If 0 - the CRC-16 T10 initial value is all zeroes; if 1 - the
663 CRC-16 T10 initial value is all ones. */
664 #define DMAE_REG_CRC16T10_INIT 0x102020
665 /* [RW 2] Interrupt mask register #0 read/write */
666 #define DMAE_REG_DMAE_INT_MASK 0x102054
667 /* [RW 4] Parity mask register #0 read/write */
668 #define DMAE_REG_DMAE_PRTY_MASK 0x102064
669 /* [R 4] Parity register #0 read */
670 #define DMAE_REG_DMAE_PRTY_STS 0x102058
671 /* [RW 1] Command 0 go. */
672 #define DMAE_REG_GO_C0 0x102080
673 /* [RW 1] Command 1 go. */
674 #define DMAE_REG_GO_C1 0x102084
675 /* [RW 1] Command 10 go. */
676 #define DMAE_REG_GO_C10 0x102088
677 /* [RW 1] Command 11 go. */
678 #define DMAE_REG_GO_C11 0x10208c
679 /* [RW 1] Command 12 go. */
680 #define DMAE_REG_GO_C12 0x102090
681 /* [RW 1] Command 13 go. */
682 #define DMAE_REG_GO_C13 0x102094
683 /* [RW 1] Command 14 go. */
684 #define DMAE_REG_GO_C14 0x102098
685 /* [RW 1] Command 15 go. */
686 #define DMAE_REG_GO_C15 0x10209c
687 /* [RW 1] Command 2 go. */
688 #define DMAE_REG_GO_C2 0x1020a0
689 /* [RW 1] Command 3 go. */
690 #define DMAE_REG_GO_C3 0x1020a4
691 /* [RW 1] Command 4 go. */
692 #define DMAE_REG_GO_C4 0x1020a8
693 /* [RW 1] Command 5 go. */
694 #define DMAE_REG_GO_C5 0x1020ac
695 /* [RW 1] Command 6 go. */
696 #define DMAE_REG_GO_C6 0x1020b0
697 /* [RW 1] Command 7 go. */
698 #define DMAE_REG_GO_C7 0x1020b4
699 /* [RW 1] Command 8 go. */
700 #define DMAE_REG_GO_C8 0x1020b8
701 /* [RW 1] Command 9 go. */
702 #define DMAE_REG_GO_C9 0x1020bc
703 /* [RW 1] DMAE GRC Interface (Target; aster) enable. If 0 - the acknowledge
704 input is disregarded; valid is deasserted; all other signals are treated
705 as usual; if 1 - normal activity. */
706 #define DMAE_REG_GRC_IFEN 0x102008
707 /* [RW 1] DMAE PCI Interface (Request; ead; rite) enable. If 0 - the
708 acknowledge input is disregarded; valid is deasserted; full is asserted;
709 all other signals are treated as usual; if 1 - normal activity. */
710 #define DMAE_REG_PCI_IFEN 0x102004
711 /* [RW 4] DMAE- PCI Request Interface initial credit. Write writes the
712 initial value to the credit counter; related to the address. Read returns
713 the current value of the counter. */
714 #define DMAE_REG_PXP_REQ_INIT_CRD 0x1020c0
715 /* [RW 8] Aggregation command. */
716 #define DORQ_REG_AGG_CMD0 0x170060
717 /* [RW 8] Aggregation command. */
718 #define DORQ_REG_AGG_CMD1 0x170064
719 /* [RW 8] Aggregation command. */
720 #define DORQ_REG_AGG_CMD2 0x170068
721 /* [RW 8] Aggregation command. */
722 #define DORQ_REG_AGG_CMD3 0x17006c
723 /* [RW 28] UCM Header. */
724 #define DORQ_REG_CMHEAD_RX 0x170050
725 /* [RW 32] Doorbell address for RBC doorbells (function 0). */
726 #define DORQ_REG_DB_ADDR0 0x17008c
727 /* [RW 5] Interrupt mask register #0 read/write */
728 #define DORQ_REG_DORQ_INT_MASK 0x170180
729 /* [R 5] Interrupt register #0 read */
730 #define DORQ_REG_DORQ_INT_STS 0x170174
731 /* [RC 5] Interrupt register #0 read clear */
732 #define DORQ_REG_DORQ_INT_STS_CLR 0x170178
733 /* [RW 2] Parity mask register #0 read/write */
734 #define DORQ_REG_DORQ_PRTY_MASK 0x170190
735 /* [R 2] Parity register #0 read */
736 #define DORQ_REG_DORQ_PRTY_STS 0x170184
737 /* [RW 8] The address to write the DPM CID to STORM. */
738 #define DORQ_REG_DPM_CID_ADDR 0x170044
739 /* [RW 5] The DPM mode CID extraction offset. */
740 #define DORQ_REG_DPM_CID_OFST 0x170030
741 /* [RW 12] The threshold of the DQ FIFO to send the almost full interrupt. */
742 #define DORQ_REG_DQ_FIFO_AFULL_TH 0x17007c
743 /* [RW 12] The threshold of the DQ FIFO to send the full interrupt. */
744 #define DORQ_REG_DQ_FIFO_FULL_TH 0x170078
745 /* [R 13] Current value of the DQ FIFO fill level according to following
746 pointer. The range is 0 - 256 FIFO rows; where each row stands for the
747 doorbell. */
748 #define DORQ_REG_DQ_FILL_LVLF 0x1700a4
749 /* [R 1] DQ FIFO full status. Is set; when FIFO filling level is more or
750 equal to full threshold; reset on full clear. */
751 #define DORQ_REG_DQ_FULL_ST 0x1700c0
752 /* [RW 28] The value sent to CM header in the case of CFC load error. */
753 #define DORQ_REG_ERR_CMHEAD 0x170058
754 #define DORQ_REG_IF_EN 0x170004
755 #define DORQ_REG_MODE_ACT 0x170008
756 /* [RW 5] The normal mode CID extraction offset. */
757 #define DORQ_REG_NORM_CID_OFST 0x17002c
758 /* [RW 28] TCM Header when only TCP context is loaded. */
759 #define DORQ_REG_NORM_CMHEAD_TX 0x17004c
760 /* [RW 3] The number of simultaneous outstanding requests to Context Fetch
761 Interface. */
762 #define DORQ_REG_OUTST_REQ 0x17003c
763 #define DORQ_REG_REGN 0x170038
764 /* [R 4] Current value of response A counter credit. Initial credit is
765 configured through write to ~dorq_registers_rsp_init_crd.rsp_init_crd
766 register. */
767 #define DORQ_REG_RSPA_CRD_CNT 0x1700ac
768 /* [R 4] Current value of response B counter credit. Initial credit is
769 configured through write to ~dorq_registers_rsp_init_crd.rsp_init_crd
770 register. */
771 #define DORQ_REG_RSPB_CRD_CNT 0x1700b0
772 /* [RW 4] The initial credit at the Doorbell Response Interface. The write
773 writes the same initial credit to the rspa_crd_cnt and rspb_crd_cnt. The
774 read reads this written value. */
775 #define DORQ_REG_RSP_INIT_CRD 0x170048
776 /* [RW 4] Initial activity counter value on the load request; when the
777 shortcut is done. */
778 #define DORQ_REG_SHRT_ACT_CNT 0x170070
779 /* [RW 28] TCM Header when both ULP and TCP context is loaded. */
780 #define DORQ_REG_SHRT_CMHEAD 0x170054
781 #define HC_CONFIG_0_REG_ATTN_BIT_EN_0 (0x1<<4)
782 #define HC_CONFIG_0_REG_INT_LINE_EN_0 (0x1<<3)
783 #define HC_CONFIG_0_REG_MSI_ATTN_EN_0 (0x1<<7)
784 #define HC_CONFIG_0_REG_MSI_MSIX_INT_EN_0 (0x1<<2)
785 #define HC_CONFIG_0_REG_SINGLE_ISR_EN_0 (0x1<<1)
786 #define HC_REG_AGG_INT_0 0x108050
787 #define HC_REG_AGG_INT_1 0x108054
788 #define HC_REG_ATTN_BIT 0x108120
789 #define HC_REG_ATTN_IDX 0x108100
790 #define HC_REG_ATTN_MSG0_ADDR_L 0x108018
791 #define HC_REG_ATTN_MSG1_ADDR_L 0x108020
792 #define HC_REG_ATTN_NUM_P0 0x108038
793 #define HC_REG_ATTN_NUM_P1 0x10803c
794 #define HC_REG_COMMAND_REG 0x108180
795 #define HC_REG_CONFIG_0 0x108000
796 #define HC_REG_CONFIG_1 0x108004
797 #define HC_REG_FUNC_NUM_P0 0x1080ac
798 #define HC_REG_FUNC_NUM_P1 0x1080b0
799 /* [RW 3] Parity mask register #0 read/write */
800 #define HC_REG_HC_PRTY_MASK 0x1080a0
801 /* [R 3] Parity register #0 read */
802 #define HC_REG_HC_PRTY_STS 0x108094
803 /* [RC 3] Parity register #0 read clear */
804 #define HC_REG_HC_PRTY_STS_CLR 0x108098
805 #define HC_REG_INT_MASK 0x108108
806 #define HC_REG_LEADING_EDGE_0 0x108040
807 #define HC_REG_LEADING_EDGE_1 0x108048
808 #define HC_REG_MAIN_MEMORY 0x108800
809 #define HC_REG_MAIN_MEMORY_SIZE 152
810 #define HC_REG_P0_PROD_CONS 0x108200
811 #define HC_REG_P1_PROD_CONS 0x108400
812 #define HC_REG_PBA_COMMAND 0x108140
813 #define HC_REG_PCI_CONFIG_0 0x108010
814 #define HC_REG_PCI_CONFIG_1 0x108014
815 #define HC_REG_STATISTIC_COUNTERS 0x109000
816 #define HC_REG_TRAILING_EDGE_0 0x108044
817 #define HC_REG_TRAILING_EDGE_1 0x10804c
818 #define HC_REG_UC_RAM_ADDR_0 0x108028
819 #define HC_REG_UC_RAM_ADDR_1 0x108030
820 #define HC_REG_USTORM_ADDR_FOR_COALESCE 0x108068
821 #define HC_REG_VQID_0 0x108008
822 #define HC_REG_VQID_1 0x10800c
823 #define IGU_BLOCK_CONFIGURATION_REG_BACKWARD_COMP_EN (0x1<<1)
824 #define IGU_REG_ATTENTION_ACK_BITS 0x130108
825 /* [R 4] Debug: attn_fsm */
826 #define IGU_REG_ATTN_FSM 0x130054
827 #define IGU_REG_ATTN_MSG_ADDR_H 0x13011c
828 #define IGU_REG_ATTN_MSG_ADDR_L 0x130120
829 /* [R 4] Debug: [3] - attention write done message is pending (0-no pending;
830 * 1-pending). [2:0] = PFID. Pending means attention message was sent; but
831 * write done didnt receive. */
832 #define IGU_REG_ATTN_WRITE_DONE_PENDING 0x130030
833 #define IGU_REG_BLOCK_CONFIGURATION 0x130000
834 #define IGU_REG_COMMAND_REG_32LSB_DATA 0x130124
835 #define IGU_REG_COMMAND_REG_CTRL 0x13012c
836 /* [WB_R 32] Cleanup bit status per SB. 1 = cleanup is set. 0 = cleanup bit
837 * is clear. The bits in this registers are set and clear via the producer
838 * command. Data valid only in addresses 0-4. all the rest are zero. */
839 #define IGU_REG_CSTORM_TYPE_0_SB_CLEANUP 0x130200
840 /* [R 5] Debug: ctrl_fsm */
841 #define IGU_REG_CTRL_FSM 0x130064
842 /* [R 1] data availble for error memory. If this bit is clear do not red
843 * from error_handling_memory. */
844 #define IGU_REG_ERROR_HANDLING_DATA_VALID 0x130130
845 /* [R 11] Parity register #0 read */
846 #define IGU_REG_IGU_PRTY_STS 0x13009c
847 /* [R 4] Debug: int_handle_fsm */
848 #define IGU_REG_INT_HANDLE_FSM 0x130050
849 #define IGU_REG_LEADING_EDGE_LATCH 0x130134
850 /* [RW 14] mapping CAM; relevant for E2 operating mode only. [0] - valid.
851 * [6:1] - vector number; [13:7] - FID (if VF - [13] = 0; [12:7] = VF
852 * number; if PF - [13] = 1; [12:10] = 0; [9:7] = PF number); */
853 #define IGU_REG_MAPPING_MEMORY 0x131000
854 #define IGU_REG_MAPPING_MEMORY_SIZE 136
855 #define IGU_REG_PBA_STATUS_LSB 0x130138
856 #define IGU_REG_PBA_STATUS_MSB 0x13013c
857 #define IGU_REG_PCI_PF_MSI_EN 0x130140
858 #define IGU_REG_PCI_PF_MSIX_EN 0x130144
859 #define IGU_REG_PCI_PF_MSIX_FUNC_MASK 0x130148
860 /* [WB_R 32] Each bit represent the pending bits status for that SB. 0 = no
861 * pending; 1 = pending. Pendings means interrupt was asserted; and write
862 * done was not received. Data valid only in addresses 0-4. all the rest are
863 * zero. */
864 #define IGU_REG_PENDING_BITS_STATUS 0x130300
865 #define IGU_REG_PF_CONFIGURATION 0x130154
866 /* [RW 20] producers only. E2 mode: address 0-135 match to the mapping
867 * memory; 136 - PF0 default prod; 137 PF1 default prod; 138 - PF2 default
868 * prod; 139 PF3 default prod; 140 - PF0 - ATTN prod; 141 - PF1 - ATTN prod;
869 * 142 - PF2 - ATTN prod; 143 - PF3 - ATTN prod; 144-147 reserved. E1.5 mode
870 * - In backward compatible mode; for non default SB; each even line in the
871 * memory holds the U producer and each odd line hold the C producer. The
872 * first 128 producer are for NDSB (PF0 - 0-31; PF1 - 32-63 and so on). The
873 * last 20 producers are for the DSB for each PF. each PF has five segments
874 * (the order inside each segment is PF0; PF1; PF2; PF3) - 128-131 U prods;
875 * 132-135 C prods; 136-139 X prods; 140-143 T prods; 144-147 ATTN prods; */
876 #define IGU_REG_PROD_CONS_MEMORY 0x132000
877 /* [R 3] Debug: pxp_arb_fsm */
878 #define IGU_REG_PXP_ARB_FSM 0x130068
879 /* [RW 6] Write one for each bit will reset the appropriate memory. When the
880 * memory reset finished the appropriate bit will be clear. Bit 0 - mapping
881 * memory; Bit 1 - SB memory; Bit 2 - SB interrupt and mask register; Bit 3
882 * - MSIX memory; Bit 4 - PBA memory; Bit 5 - statistics; */
883 #define IGU_REG_RESET_MEMORIES 0x130158
884 /* [R 4] Debug: sb_ctrl_fsm */
885 #define IGU_REG_SB_CTRL_FSM 0x13004c
886 #define IGU_REG_SB_INT_BEFORE_MASK_LSB 0x13015c
887 #define IGU_REG_SB_INT_BEFORE_MASK_MSB 0x130160
888 #define IGU_REG_SB_MASK_LSB 0x130164
889 #define IGU_REG_SB_MASK_MSB 0x130168
890 /* [RW 16] Number of command that were dropped without causing an interrupt
891 * due to: read access for WO BAR address; or write access for RO BAR
892 * address or any access for reserved address or PCI function error is set
893 * and address is not MSIX; PBA or cleanup */
894 #define IGU_REG_SILENT_DROP 0x13016c
895 /* [RW 10] Number of MSI/MSIX/ATTN messages sent for the function: 0-63 -
896 * number of MSIX messages per VF; 64-67 - number of MSI/MSIX messages per
897 * PF; 68-71 number of ATTN messages per PF */
898 #define IGU_REG_STATISTIC_NUM_MESSAGE_SENT 0x130800
899 /* [RW 32] Number of cycles the timer mask masking the IGU interrupt when a
900 * timer mask command arrives. Value must be bigger than 100. */
901 #define IGU_REG_TIMER_MASKING_VALUE 0x13003c
902 #define IGU_REG_TRAILING_EDGE_LATCH 0x130104
903 #define IGU_REG_VF_CONFIGURATION 0x130170
904 /* [WB_R 32] Each bit represent write done pending bits status for that SB
905 * (MSI/MSIX message was sent and write done was not received yet). 0 =
906 * clear; 1 = set. Data valid only in addresses 0-4. all the rest are zero. */
907 #define IGU_REG_WRITE_DONE_PENDING 0x130480
908 #define MCP_A_REG_MCPR_SCRATCH 0x3a0000
909 #define MCP_REG_MCPR_NVM_ACCESS_ENABLE 0x86424
910 #define MCP_REG_MCPR_NVM_ADDR 0x8640c
911 #define MCP_REG_MCPR_NVM_CFG4 0x8642c
912 #define MCP_REG_MCPR_NVM_COMMAND 0x86400
913 #define MCP_REG_MCPR_NVM_READ 0x86410
914 #define MCP_REG_MCPR_NVM_SW_ARB 0x86420
915 #define MCP_REG_MCPR_NVM_WRITE 0x86408
916 #define MCP_REG_MCPR_SCRATCH 0xa0000
917 #define MISC_AEU_GENERAL_MASK_REG_AEU_NIG_CLOSE_MASK (0x1<<1)
918 #define MISC_AEU_GENERAL_MASK_REG_AEU_PXP_CLOSE_MASK (0x1<<0)
919 /* [R 32] read first 32 bit after inversion of function 0. mapped as
920 follows: [0] NIG attention for function0; [1] NIG attention for
921 function1; [2] GPIO1 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp;
922 [6] GPIO1 function 1; [7] GPIO2 function 1; [8] GPIO3 function 1; [9]
923 GPIO4 function 1; [10] PCIE glue/PXP VPD event function0; [11] PCIE
924 glue/PXP VPD event function1; [12] PCIE glue/PXP Expansion ROM event0;
925 [13] PCIE glue/PXP Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16]
926 MSI/X indication for mcp; [17] MSI/X indication for function 1; [18] BRB
927 Parity error; [19] BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw
928 interrupt; [22] SRC Parity error; [23] SRC Hw interrupt; [24] TSDM Parity
929 error; [25] TSDM Hw interrupt; [26] TCM Parity error; [27] TCM Hw
930 interrupt; [28] TSEMI Parity error; [29] TSEMI Hw interrupt; [30] PBF
931 Parity error; [31] PBF Hw interrupt; */
932 #define MISC_REG_AEU_AFTER_INVERT_1_FUNC_0 0xa42c
933 #define MISC_REG_AEU_AFTER_INVERT_1_FUNC_1 0xa430
934 /* [R 32] read first 32 bit after inversion of mcp. mapped as follows: [0]
935 NIG attention for function0; [1] NIG attention for function1; [2] GPIO1
936 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1;
937 [7] GPIO2 function 1; [8] GPIO3 function 1; [9] GPIO4 function 1; [10]
938 PCIE glue/PXP VPD event function0; [11] PCIE glue/PXP VPD event
939 function1; [12] PCIE glue/PXP Expansion ROM event0; [13] PCIE glue/PXP
940 Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16] MSI/X indication for
941 mcp; [17] MSI/X indication for function 1; [18] BRB Parity error; [19]
942 BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC
943 Parity error; [23] SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw
944 interrupt; [26] TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI
945 Parity error; [29] TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw
946 interrupt; */
947 #define MISC_REG_AEU_AFTER_INVERT_1_MCP 0xa434
948 /* [R 32] read second 32 bit after inversion of function 0. mapped as
949 follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
950 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
951 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
952 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
953 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
954 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
955 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
956 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
957 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
958 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
959 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
960 interrupt; */
961 #define MISC_REG_AEU_AFTER_INVERT_2_FUNC_0 0xa438
962 #define MISC_REG_AEU_AFTER_INVERT_2_FUNC_1 0xa43c
963 /* [R 32] read second 32 bit after inversion of mcp. mapped as follows: [0]
964 PBClient Parity error; [1] PBClient Hw interrupt; [2] QM Parity error;
965 [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw interrupt;
966 [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity error; [9]
967 XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw interrupt; [12]
968 DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14] NIG Parity
969 error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error; [17] Vaux
970 PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw interrupt;
971 [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM Parity error;
972 [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI Hw interrupt;
973 [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM Parity error;
974 [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw interrupt; */
975 #define MISC_REG_AEU_AFTER_INVERT_2_MCP 0xa440
976 /* [R 32] read third 32 bit after inversion of function 0. mapped as
977 follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP Parity
978 error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error; [5]
979 PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
980 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
981 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
982 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
983 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
984 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
985 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
986 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
987 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
988 attn1; */
989 #define MISC_REG_AEU_AFTER_INVERT_3_FUNC_0 0xa444
990 #define MISC_REG_AEU_AFTER_INVERT_3_FUNC_1 0xa448
991 /* [R 32] read third 32 bit after inversion of mcp. mapped as follows: [0]
992 CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP Parity error; [3] PXP
993 Hw interrupt; [4] PXPpciClockClient Parity error; [5] PXPpciClockClient
994 Hw interrupt; [6] CFC Parity error; [7] CFC Hw interrupt; [8] CDU Parity
995 error; [9] CDU Hw interrupt; [10] DMAE Parity error; [11] DMAE Hw
996 interrupt; [12] IGU (HC) Parity error; [13] IGU (HC) Hw interrupt; [14]
997 MISC Parity error; [15] MISC Hw interrupt; [16] pxp_misc_mps_attn; [17]
998 Flash event; [18] SMB event; [19] MCP attn0; [20] MCP attn1; [21] SW
999 timers attn_1 func0; [22] SW timers attn_2 func0; [23] SW timers attn_3
1000 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW timers attn_1
1001 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3 func1; [29] SW
1002 timers attn_4 func1; [30] General attn0; [31] General attn1; */
1003 #define MISC_REG_AEU_AFTER_INVERT_3_MCP 0xa44c
1004 /* [R 32] read fourth 32 bit after inversion of function 0. mapped as
1005 follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1006 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1007 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1008 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1009 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1010 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1011 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1012 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1013 Latched timeout attention; [27] GRC Latched reserved access attention;
1014 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1015 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1016 #define MISC_REG_AEU_AFTER_INVERT_4_FUNC_0 0xa450
1017 #define MISC_REG_AEU_AFTER_INVERT_4_FUNC_1 0xa454
1018 /* [R 32] read fourth 32 bit after inversion of mcp. mapped as follows: [0]
1019 General attn2; [1] General attn3; [2] General attn4; [3] General attn5;
1020 [4] General attn6; [5] General attn7; [6] General attn8; [7] General
1021 attn9; [8] General attn10; [9] General attn11; [10] General attn12; [11]
1022 General attn13; [12] General attn14; [13] General attn15; [14] General
1023 attn16; [15] General attn17; [16] General attn18; [17] General attn19;
1024 [18] General attn20; [19] General attn21; [20] Main power interrupt; [21]
1025 RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN Latched attn; [24]
1026 RBCU Latched attn; [25] RBCP Latched attn; [26] GRC Latched timeout
1027 attention; [27] GRC Latched reserved access attention; [28] MCP Latched
1028 rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP Latched
1029 ump_tx_parity; [31] MCP Latched scpad_parity; */
1030 #define MISC_REG_AEU_AFTER_INVERT_4_MCP 0xa458
1031 /* [R 32] Read fifth 32 bit after inversion of function 0. Mapped as
1032 * follows: [0] PGLUE config_space; [1] PGLUE misc_flr; [2] PGLUE B RBC
1033 * attention [3] PGLUE B RBC parity; [4] ATC attention; [5] ATC parity; [6]
1034 * CNIG attention (reserved); [7] CNIG parity (reserved); [31-8] Reserved; */
1035 #define MISC_REG_AEU_AFTER_INVERT_5_FUNC_0 0xa700
1036 /* [W 14] write to this register results with the clear of the latched
1037 signals; one in d0 clears RBCR latch; one in d1 clears RBCT latch; one in
1038 d2 clears RBCN latch; one in d3 clears RBCU latch; one in d4 clears RBCP
1039 latch; one in d5 clears GRC Latched timeout attention; one in d6 clears
1040 GRC Latched reserved access attention; one in d7 clears Latched
1041 rom_parity; one in d8 clears Latched ump_rx_parity; one in d9 clears
1042 Latched ump_tx_parity; one in d10 clears Latched scpad_parity (both
1043 ports); one in d11 clears pxpv_misc_mps_attn; one in d12 clears
1044 pxp_misc_exp_rom_attn0; one in d13 clears pxp_misc_exp_rom_attn1; read
1045 from this register return zero */
1046 #define MISC_REG_AEU_CLR_LATCH_SIGNAL 0xa45c
1047 /* [RW 32] first 32b for enabling the output for function 0 output0. mapped
1048 as follows: [0] NIG attention for function0; [1] NIG attention for
1049 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
1050 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
1051 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1052 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1053 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1054 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
1055 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
1056 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
1057 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
1058 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
1059 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1060 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_0 0xa06c
1061 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_1 0xa07c
1062 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_2 0xa08c
1063 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_3 0xa09c
1064 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_5 0xa0bc
1065 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_6 0xa0cc
1066 #define MISC_REG_AEU_ENABLE1_FUNC_0_OUT_7 0xa0dc
1067 /* [RW 32] first 32b for enabling the output for function 1 output0. mapped
1068 as follows: [0] NIG attention for function0; [1] NIG attention for
1069 function1; [2] GPIO1 function 1; [3] GPIO2 function 1; [4] GPIO3 function
1070 1; [5] GPIO4 function 1; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
1071 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1072 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1073 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1074 SPIO4; [15] SPIO5; [16] MSI/X indication for function 1; [17] MSI/X
1075 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
1076 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
1077 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
1078 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
1079 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1080 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_0 0xa10c
1081 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_1 0xa11c
1082 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_2 0xa12c
1083 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_3 0xa13c
1084 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_5 0xa15c
1085 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_6 0xa16c
1086 #define MISC_REG_AEU_ENABLE1_FUNC_1_OUT_7 0xa17c
1087 /* [RW 32] first 32b for enabling the output for close the gate nig. mapped
1088 as follows: [0] NIG attention for function0; [1] NIG attention for
1089 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
1090 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
1091 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1092 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1093 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1094 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
1095 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
1096 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
1097 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
1098 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
1099 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1100 #define MISC_REG_AEU_ENABLE1_NIG_0 0xa0ec
1101 #define MISC_REG_AEU_ENABLE1_NIG_1 0xa18c
1102 /* [RW 32] first 32b for enabling the output for close the gate pxp. mapped
1103 as follows: [0] NIG attention for function0; [1] NIG attention for
1104 function1; [2] GPIO1 function 0; [3] GPIO2 function 0; [4] GPIO3 function
1105 0; [5] GPIO4 function 0; [6] GPIO1 function 1; [7] GPIO2 function 1; [8]
1106 GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1107 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1108 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1109 SPIO4; [15] SPIO5; [16] MSI/X indication for function 0; [17] MSI/X
1110 indication for function 1; [18] BRB Parity error; [19] BRB Hw interrupt;
1111 [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23]
1112 SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26]
1113 TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29]
1114 TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1115 #define MISC_REG_AEU_ENABLE1_PXP_0 0xa0fc
1116 #define MISC_REG_AEU_ENABLE1_PXP_1 0xa19c
1117 /* [RW 32] second 32b for enabling the output for function 0 output0. mapped
1118 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1119 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1120 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1121 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1122 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1123 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1124 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1125 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1126 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1127 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1128 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1129 interrupt; */
1130 #define MISC_REG_AEU_ENABLE2_FUNC_0_OUT_0 0xa070
1131 #define MISC_REG_AEU_ENABLE2_FUNC_0_OUT_1 0xa080
1132 /* [RW 32] second 32b for enabling the output for function 1 output0. mapped
1133 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1134 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1135 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1136 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1137 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1138 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1139 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1140 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1141 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1142 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1143 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1144 interrupt; */
1145 #define MISC_REG_AEU_ENABLE2_FUNC_1_OUT_0 0xa110
1146 #define MISC_REG_AEU_ENABLE2_FUNC_1_OUT_1 0xa120
1147 /* [RW 32] second 32b for enabling the output for close the gate nig. mapped
1148 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1149 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1150 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1151 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1152 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1153 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1154 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1155 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1156 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1157 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1158 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1159 interrupt; */
1160 #define MISC_REG_AEU_ENABLE2_NIG_0 0xa0f0
1161 #define MISC_REG_AEU_ENABLE2_NIG_1 0xa190
1162 /* [RW 32] second 32b for enabling the output for close the gate pxp. mapped
1163 as follows: [0] PBClient Parity error; [1] PBClient Hw interrupt; [2] QM
1164 Parity error; [3] QM Hw interrupt; [4] Timers Parity error; [5] Timers Hw
1165 interrupt; [6] XSDM Parity error; [7] XSDM Hw interrupt; [8] XCM Parity
1166 error; [9] XCM Hw interrupt; [10] XSEMI Parity error; [11] XSEMI Hw
1167 interrupt; [12] DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14]
1168 NIG Parity error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error;
1169 [17] Vaux PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw
1170 interrupt; [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM
1171 Parity error; [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI
1172 Hw interrupt; [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM
1173 Parity error; [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw
1174 interrupt; */
1175 #define MISC_REG_AEU_ENABLE2_PXP_0 0xa100
1176 #define MISC_REG_AEU_ENABLE2_PXP_1 0xa1a0
1177 /* [RW 32] third 32b for enabling the output for function 0 output0. mapped
1178 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1179 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1180 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1181 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1182 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1183 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1184 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1185 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1186 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1187 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1188 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1189 attn1; */
1190 #define MISC_REG_AEU_ENABLE3_FUNC_0_OUT_0 0xa074
1191 #define MISC_REG_AEU_ENABLE3_FUNC_0_OUT_1 0xa084
1192 /* [RW 32] third 32b for enabling the output for function 1 output0. mapped
1193 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1194 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1195 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1196 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1197 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1198 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1199 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1200 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1201 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1202 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1203 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1204 attn1; */
1205 #define MISC_REG_AEU_ENABLE3_FUNC_1_OUT_0 0xa114
1206 #define MISC_REG_AEU_ENABLE3_FUNC_1_OUT_1 0xa124
1207 /* [RW 32] third 32b for enabling the output for close the gate nig. mapped
1208 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1209 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1210 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1211 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1212 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1213 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1214 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1215 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1216 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1217 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1218 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1219 attn1; */
1220 #define MISC_REG_AEU_ENABLE3_NIG_0 0xa0f4
1221 #define MISC_REG_AEU_ENABLE3_NIG_1 0xa194
1222 /* [RW 32] third 32b for enabling the output for close the gate pxp. mapped
1223 as follows: [0] CSEMI Parity error; [1] CSEMI Hw interrupt; [2] PXP
1224 Parity error; [3] PXP Hw interrupt; [4] PXPpciClockClient Parity error;
1225 [5] PXPpciClockClient Hw interrupt; [6] CFC Parity error; [7] CFC Hw
1226 interrupt; [8] CDU Parity error; [9] CDU Hw interrupt; [10] DMAE Parity
1227 error; [11] DMAE Hw interrupt; [12] IGU (HC) Parity error; [13] IGU (HC)
1228 Hw interrupt; [14] MISC Parity error; [15] MISC Hw interrupt; [16]
1229 pxp_misc_mps_attn; [17] Flash event; [18] SMB event; [19] MCP attn0; [20]
1230 MCP attn1; [21] SW timers attn_1 func0; [22] SW timers attn_2 func0; [23]
1231 SW timers attn_3 func0; [24] SW timers attn_4 func0; [25] PERST; [26] SW
1232 timers attn_1 func1; [27] SW timers attn_2 func1; [28] SW timers attn_3
1233 func1; [29] SW timers attn_4 func1; [30] General attn0; [31] General
1234 attn1; */
1235 #define MISC_REG_AEU_ENABLE3_PXP_0 0xa104
1236 #define MISC_REG_AEU_ENABLE3_PXP_1 0xa1a4
1237 /* [RW 32] fourth 32b for enabling the output for function 0 output0.mapped
1238 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1239 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1240 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1241 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1242 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1243 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1244 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1245 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1246 Latched timeout attention; [27] GRC Latched reserved access attention;
1247 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1248 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1249 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_0 0xa078
1250 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_2 0xa098
1251 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_4 0xa0b8
1252 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_5 0xa0c8
1253 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_6 0xa0d8
1254 #define MISC_REG_AEU_ENABLE4_FUNC_0_OUT_7 0xa0e8
1255 /* [RW 32] fourth 32b for enabling the output for function 1 output0.mapped
1256 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1257 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1258 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1259 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1260 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1261 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1262 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1263 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1264 Latched timeout attention; [27] GRC Latched reserved access attention;
1265 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1266 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1267 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_0 0xa118
1268 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_2 0xa138
1269 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_4 0xa158
1270 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_5 0xa168
1271 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_6 0xa178
1272 #define MISC_REG_AEU_ENABLE4_FUNC_1_OUT_7 0xa188
1273 /* [RW 32] fourth 32b for enabling the output for close the gate nig.mapped
1274 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1275 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1276 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1277 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1278 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1279 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1280 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1281 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1282 Latched timeout attention; [27] GRC Latched reserved access attention;
1283 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1284 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1285 #define MISC_REG_AEU_ENABLE4_NIG_0 0xa0f8
1286 #define MISC_REG_AEU_ENABLE4_NIG_1 0xa198
1287 /* [RW 32] fourth 32b for enabling the output for close the gate pxp.mapped
1288 as follows: [0] General attn2; [1] General attn3; [2] General attn4; [3]
1289 General attn5; [4] General attn6; [5] General attn7; [6] General attn8;
1290 [7] General attn9; [8] General attn10; [9] General attn11; [10] General
1291 attn12; [11] General attn13; [12] General attn14; [13] General attn15;
1292 [14] General attn16; [15] General attn17; [16] General attn18; [17]
1293 General attn19; [18] General attn20; [19] General attn21; [20] Main power
1294 interrupt; [21] RBCR Latched attn; [22] RBCT Latched attn; [23] RBCN
1295 Latched attn; [24] RBCU Latched attn; [25] RBCP Latched attn; [26] GRC
1296 Latched timeout attention; [27] GRC Latched reserved access attention;
1297 [28] MCP Latched rom_parity; [29] MCP Latched ump_rx_parity; [30] MCP
1298 Latched ump_tx_parity; [31] MCP Latched scpad_parity; */
1299 #define MISC_REG_AEU_ENABLE4_PXP_0 0xa108
1300 #define MISC_REG_AEU_ENABLE4_PXP_1 0xa1a8
1301 /* [RW 1] set/clr general attention 0; this will set/clr bit 94 in the aeu
1302 128 bit vector */
1303 #define MISC_REG_AEU_GENERAL_ATTN_0 0xa000
1304 #define MISC_REG_AEU_GENERAL_ATTN_1 0xa004
1305 #define MISC_REG_AEU_GENERAL_ATTN_10 0xa028
1306 #define MISC_REG_AEU_GENERAL_ATTN_11 0xa02c
1307 #define MISC_REG_AEU_GENERAL_ATTN_12 0xa030
1308 #define MISC_REG_AEU_GENERAL_ATTN_2 0xa008
1309 #define MISC_REG_AEU_GENERAL_ATTN_3 0xa00c
1310 #define MISC_REG_AEU_GENERAL_ATTN_4 0xa010
1311 #define MISC_REG_AEU_GENERAL_ATTN_5 0xa014
1312 #define MISC_REG_AEU_GENERAL_ATTN_6 0xa018
1313 #define MISC_REG_AEU_GENERAL_ATTN_7 0xa01c
1314 #define MISC_REG_AEU_GENERAL_ATTN_8 0xa020
1315 #define MISC_REG_AEU_GENERAL_ATTN_9 0xa024
1316 #define MISC_REG_AEU_GENERAL_MASK 0xa61c
1317 /* [RW 32] first 32b for inverting the input for function 0; for each bit:
1318 0= do not invert; 1= invert; mapped as follows: [0] NIG attention for
1319 function0; [1] NIG attention for function1; [2] GPIO1 mcp; [3] GPIO2 mcp;
1320 [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1; [7] GPIO2 function 1;
1321 [8] GPIO3 function 1; [9] GPIO4 function 1; [10] PCIE glue/PXP VPD event
1322 function0; [11] PCIE glue/PXP VPD event function1; [12] PCIE glue/PXP
1323 Expansion ROM event0; [13] PCIE glue/PXP Expansion ROM event1; [14]
1324 SPIO4; [15] SPIO5; [16] MSI/X indication for mcp; [17] MSI/X indication
1325 for function 1; [18] BRB Parity error; [19] BRB Hw interrupt; [20] PRS
1326 Parity error; [21] PRS Hw interrupt; [22] SRC Parity error; [23] SRC Hw
1327 interrupt; [24] TSDM Parity error; [25] TSDM Hw interrupt; [26] TCM
1328 Parity error; [27] TCM Hw interrupt; [28] TSEMI Parity error; [29] TSEMI
1329 Hw interrupt; [30] PBF Parity error; [31] PBF Hw interrupt; */
1330 #define MISC_REG_AEU_INVERTER_1_FUNC_0 0xa22c
1331 #define MISC_REG_AEU_INVERTER_1_FUNC_1 0xa23c
1332 /* [RW 32] second 32b for inverting the input for function 0; for each bit:
1333 0= do not invert; 1= invert. mapped as follows: [0] PBClient Parity
1334 error; [1] PBClient Hw interrupt; [2] QM Parity error; [3] QM Hw
1335 interrupt; [4] Timers Parity error; [5] Timers Hw interrupt; [6] XSDM
1336 Parity error; [7] XSDM Hw interrupt; [8] XCM Parity error; [9] XCM Hw
1337 interrupt; [10] XSEMI Parity error; [11] XSEMI Hw interrupt; [12]
1338 DoorbellQ Parity error; [13] DoorbellQ Hw interrupt; [14] NIG Parity
1339 error; [15] NIG Hw interrupt; [16] Vaux PCI core Parity error; [17] Vaux
1340 PCI core Hw interrupt; [18] Debug Parity error; [19] Debug Hw interrupt;
1341 [20] USDM Parity error; [21] USDM Hw interrupt; [22] UCM Parity error;
1342 [23] UCM Hw interrupt; [24] USEMI Parity error; [25] USEMI Hw interrupt;
1343 [26] UPB Parity error; [27] UPB Hw interrupt; [28] CSDM Parity error;
1344 [29] CSDM Hw interrupt; [30] CCM Parity error; [31] CCM Hw interrupt; */
1345 #define MISC_REG_AEU_INVERTER_2_FUNC_0 0xa230
1346 #define MISC_REG_AEU_INVERTER_2_FUNC_1 0xa240
1347 /* [RW 10] [7:0] = mask 8 attention output signals toward IGU function0;
1348 [9:8] = raserved. Zero = mask; one = unmask */
1349 #define MISC_REG_AEU_MASK_ATTN_FUNC_0 0xa060
1350 #define MISC_REG_AEU_MASK_ATTN_FUNC_1 0xa064
1351 /* [RW 1] If set a system kill occurred */
1352 #define MISC_REG_AEU_SYS_KILL_OCCURRED 0xa610
1353 /* [RW 32] Represent the status of the input vector to the AEU when a system
1354 kill occurred. The register is reset in por reset. Mapped as follows: [0]
1355 NIG attention for function0; [1] NIG attention for function1; [2] GPIO1
1356 mcp; [3] GPIO2 mcp; [4] GPIO3 mcp; [5] GPIO4 mcp; [6] GPIO1 function 1;
1357 [7] GPIO2 function 1; [8] GPIO3 function 1; [9] GPIO4 function 1; [10]
1358 PCIE glue/PXP VPD event function0; [11] PCIE glue/PXP VPD event
1359 function1; [12] PCIE glue/PXP Expansion ROM event0; [13] PCIE glue/PXP
1360 Expansion ROM event1; [14] SPIO4; [15] SPIO5; [16] MSI/X indication for
1361 mcp; [17] MSI/X indication for function 1; [18] BRB Parity error; [19]
1362 BRB Hw interrupt; [20] PRS Parity error; [21] PRS Hw interrupt; [22] SRC
1363 Parity error; [23] SRC Hw interrupt; [24] TSDM Parity error; [25] TSDM Hw
1364 interrupt; [26] TCM Parity error; [27] TCM Hw interrupt; [28] TSEMI
1365 Parity error; [29] TSEMI Hw interrupt; [30] PBF Parity error; [31] PBF Hw
1366 interrupt; */
1367 #define MISC_REG_AEU_SYS_KILL_STATUS_0 0xa600
1368 #define MISC_REG_AEU_SYS_KILL_STATUS_1 0xa604
1369 #define MISC_REG_AEU_SYS_KILL_STATUS_2 0xa608
1370 #define MISC_REG_AEU_SYS_KILL_STATUS_3 0xa60c
1371 /* [R 4] This field indicates the type of the device. '0' - 2 Ports; '1' - 1
1372 Port. */
1373 #define MISC_REG_BOND_ID 0xa400
1374 /* [R 8] These bits indicate the metal revision of the chip. This value
1375 starts at 0x00 for each all-layer tape-out and increments by one for each
1376 tape-out. */
1377 #define MISC_REG_CHIP_METAL 0xa404
1378 /* [R 16] These bits indicate the part number for the chip. */
1379 #define MISC_REG_CHIP_NUM 0xa408
1380 /* [R 4] These bits indicate the base revision of the chip. This value
1381 starts at 0x0 for the A0 tape-out and increments by one for each
1382 all-layer tape-out. */
1383 #define MISC_REG_CHIP_REV 0xa40c
1384 /* [RW 32] The following driver registers(1...16) represent 16 drivers and
1385 32 clients. Each client can be controlled by one driver only. One in each
1386 bit represent that this driver control the appropriate client (Ex: bit 5
1387 is set means this driver control client number 5). addr1 = set; addr0 =
1388 clear; read from both addresses will give the same result = status. write
1389 to address 1 will set a request to control all the clients that their
1390 appropriate bit (in the write command) is set. if the client is free (the
1391 appropriate bit in all the other drivers is clear) one will be written to
1392 that driver register; if the client isn't free the bit will remain zero.
1393 if the appropriate bit is set (the driver request to gain control on a
1394 client it already controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW
1395 interrupt will be asserted). write to address 0 will set a request to
1396 free all the clients that their appropriate bit (in the write command) is
1397 set. if the appropriate bit is clear (the driver request to free a client
1398 it doesn't controls the ~MISC_REGISTERS_INT_STS.GENERIC_SW interrupt will
1399 be asserted). */
1400 #define MISC_REG_DRIVER_CONTROL_1 0xa510
1401 #define MISC_REG_DRIVER_CONTROL_7 0xa3c8
1402 /* [RW 1] e1hmf for WOL. If clr WOL signal o the PXP will be send on bit 0
1403 only. */
1404 #define MISC_REG_E1HMF_MODE 0xa5f8
1405 /* [RW 32] Debug only: spare RW register reset by core reset */
1406 #define MISC_REG_GENERIC_CR_0 0xa460
1407 #define MISC_REG_GENERIC_CR_1 0xa464
1408 /* [RW 32] Debug only: spare RW register reset by por reset */
1409 #define MISC_REG_GENERIC_POR_1 0xa474
1410 /* [RW 32] GPIO. [31-28] FLOAT port 0; [27-24] FLOAT port 0; When any of
1411 these bits is written as a '1'; the corresponding SPIO bit will turn off
1412 it's drivers and become an input. This is the reset state of all GPIO
1413 pins. The read value of these bits will be a '1' if that last command
1414 (#SET; #CLR; or #FLOAT) for this bit was a #FLOAT. (reset value 0xff).
1415 [23-20] CLR port 1; 19-16] CLR port 0; When any of these bits is written
1416 as a '1'; the corresponding GPIO bit will drive low. The read value of
1417 these bits will be a '1' if that last command (#SET; #CLR; or #FLOAT) for
1418 this bit was a #CLR. (reset value 0). [15-12] SET port 1; 11-8] port 0;
1419 SET When any of these bits is written as a '1'; the corresponding GPIO
1420 bit will drive high (if it has that capability). The read value of these
1421 bits will be a '1' if that last command (#SET; #CLR; or #FLOAT) for this
1422 bit was a #SET. (reset value 0). [7-4] VALUE port 1; [3-0] VALUE port 0;
1423 RO; These bits indicate the read value of each of the eight GPIO pins.
1424 This is the result value of the pin; not the drive value. Writing these
1425 bits will have not effect. */
1426 #define MISC_REG_GPIO 0xa490
1427 /* [RW 8] These bits enable the GPIO_INTs to signals event to the
1428 IGU/MCP.according to the following map: [0] p0_gpio_0; [1] p0_gpio_1; [2]
1429 p0_gpio_2; [3] p0_gpio_3; [4] p1_gpio_0; [5] p1_gpio_1; [6] p1_gpio_2;
1430 [7] p1_gpio_3; */
1431 #define MISC_REG_GPIO_EVENT_EN 0xa2bc
1432 /* [RW 32] GPIO INT. [31-28] OLD_CLR port1; [27-24] OLD_CLR port0; Writing a
1433 '1' to these bit clears the corresponding bit in the #OLD_VALUE register.
1434 This will acknowledge an interrupt on the falling edge of corresponding
1435 GPIO input (reset value 0). [23-16] OLD_SET [23-16] port1; OLD_SET port0;
1436 Writing a '1' to these bit sets the corresponding bit in the #OLD_VALUE
1437 register. This will acknowledge an interrupt on the rising edge of
1438 corresponding SPIO input (reset value 0). [15-12] OLD_VALUE [11-8] port1;
1439 OLD_VALUE port0; RO; These bits indicate the old value of the GPIO input
1440 value. When the ~INT_STATE bit is set; this bit indicates the OLD value
1441 of the pin such that if ~INT_STATE is set and this bit is '0'; then the
1442 interrupt is due to a low to high edge. If ~INT_STATE is set and this bit
1443 is '1'; then the interrupt is due to a high to low edge (reset value 0).
1444 [7-4] INT_STATE port1; [3-0] INT_STATE RO port0; These bits indicate the
1445 current GPIO interrupt state for each GPIO pin. This bit is cleared when
1446 the appropriate #OLD_SET or #OLD_CLR command bit is written. This bit is
1447 set when the GPIO input does not match the current value in #OLD_VALUE
1448 (reset value 0). */
1449 #define MISC_REG_GPIO_INT 0xa494
1450 /* [R 28] this field hold the last information that caused reserved
1451 attention. bits [19:0] - address; [22:20] function; [23] reserved;
1452 [27:24] the master that caused the attention - according to the following
1453 encodeing:1 = pxp; 2 = mcp; 3 = usdm; 4 = tsdm; 5 = xsdm; 6 = csdm; 7 =
1454 dbu; 8 = dmae */
1455 #define MISC_REG_GRC_RSV_ATTN 0xa3c0
1456 /* [R 28] this field hold the last information that caused timeout
1457 attention. bits [19:0] - address; [22:20] function; [23] reserved;
1458 [27:24] the master that caused the attention - according to the following
1459 encodeing:1 = pxp; 2 = mcp; 3 = usdm; 4 = tsdm; 5 = xsdm; 6 = csdm; 7 =
1460 dbu; 8 = dmae */
1461 #define MISC_REG_GRC_TIMEOUT_ATTN 0xa3c4
1462 /* [RW 1] Setting this bit enables a timer in the GRC block to timeout any
1463 access that does not finish within
1464 ~misc_registers_grc_timout_val.grc_timeout_val cycles. When this bit is
1465 cleared; this timeout is disabled. If this timeout occurs; the GRC shall
1466 assert it attention output. */
1467 #define MISC_REG_GRC_TIMEOUT_EN 0xa280
1468 /* [RW 28] 28 LSB of LCPLL first register; reset val = 521. inside order of
1469 the bits is: [2:0] OAC reset value 001) CML output buffer bias control;
1470 111 for +40%; 011 for +20%; 001 for 0%; 000 for -20%. [5:3] Icp_ctrl
1471 (reset value 001) Charge pump current control; 111 for 720u; 011 for
1472 600u; 001 for 480u and 000 for 360u. [7:6] Bias_ctrl (reset value 00)
1473 Global bias control; When bit 7 is high bias current will be 10 0gh; When
1474 bit 6 is high bias will be 100w; Valid values are 00; 10; 01. [10:8]
1475 Pll_observe (reset value 010) Bits to control observability. bit 10 is
1476 for test bias; bit 9 is for test CK; bit 8 is test Vc. [12:11] Vth_ctrl
1477 (reset value 00) Comparator threshold control. 00 for 0.6V; 01 for 0.54V
1478 and 10 for 0.66V. [13] pllSeqStart (reset value 0) Enables VCO tuning
1479 sequencer: 1= sequencer disabled; 0= sequencer enabled (inverted
1480 internally). [14] reserved (reset value 0) Reset for VCO sequencer is
1481 connected to RESET input directly. [15] capRetry_en (reset value 0)
1482 enable retry on cap search failure (inverted). [16] freqMonitor_e (reset
1483 value 0) bit to continuously monitor vco freq (inverted). [17]
1484 freqDetRestart_en (reset value 0) bit to enable restart when not freq
1485 locked (inverted). [18] freqDetRetry_en (reset value 0) bit to enable
1486 retry on freq det failure(inverted). [19] pllForceFdone_en (reset value
1487 0) bit to enable pllForceFdone & pllForceFpass into pllSeq. [20]
1488 pllForceFdone (reset value 0) bit to force freqDone. [21] pllForceFpass
1489 (reset value 0) bit to force freqPass. [22] pllForceDone_en (reset value
1490 0) bit to enable pllForceCapDone. [23] pllForceCapDone (reset value 0)
1491 bit to force capDone. [24] pllForceCapPass_en (reset value 0) bit to
1492 enable pllForceCapPass. [25] pllForceCapPass (reset value 0) bit to force
1493 capPass. [26] capRestart (reset value 0) bit to force cap sequencer to
1494 restart. [27] capSelectM_en (reset value 0) bit to enable cap select
1495 register bits. */
1496 #define MISC_REG_LCPLL_CTRL_1 0xa2a4
1497 #define MISC_REG_LCPLL_CTRL_REG_2 0xa2a8
1498 /* [RW 4] Interrupt mask register #0 read/write */
1499 #define MISC_REG_MISC_INT_MASK 0xa388
1500 /* [RW 1] Parity mask register #0 read/write */
1501 #define MISC_REG_MISC_PRTY_MASK 0xa398
1502 /* [R 1] Parity register #0 read */
1503 #define MISC_REG_MISC_PRTY_STS 0xa38c
1504 #define MISC_REG_NIG_WOL_P0 0xa270
1505 #define MISC_REG_NIG_WOL_P1 0xa274
1506 /* [R 1] If set indicate that the pcie_rst_b was asserted without perst
1507 assertion */
1508 #define MISC_REG_PCIE_HOT_RESET 0xa618
1509 /* [RW 32] 32 LSB of storm PLL first register; reset val = 0x 071d2911.
1510 inside order of the bits is: [0] P1 divider[0] (reset value 1); [1] P1
1511 divider[1] (reset value 0); [2] P1 divider[2] (reset value 0); [3] P1
1512 divider[3] (reset value 0); [4] P2 divider[0] (reset value 1); [5] P2
1513 divider[1] (reset value 0); [6] P2 divider[2] (reset value 0); [7] P2
1514 divider[3] (reset value 0); [8] ph_det_dis (reset value 1); [9]
1515 freq_det_dis (reset value 0); [10] Icpx[0] (reset value 0); [11] Icpx[1]
1516 (reset value 1); [12] Icpx[2] (reset value 0); [13] Icpx[3] (reset value
1517 1); [14] Icpx[4] (reset value 0); [15] Icpx[5] (reset value 0); [16]
1518 Rx[0] (reset value 1); [17] Rx[1] (reset value 0); [18] vc_en (reset
1519 value 1); [19] vco_rng[0] (reset value 1); [20] vco_rng[1] (reset value
1520 1); [21] Kvco_xf[0] (reset value 0); [22] Kvco_xf[1] (reset value 0);
1521 [23] Kvco_xf[2] (reset value 0); [24] Kvco_xs[0] (reset value 1); [25]
1522 Kvco_xs[1] (reset value 1); [26] Kvco_xs[2] (reset value 1); [27]
1523 testd_en (reset value 0); [28] testd_sel[0] (reset value 0); [29]
1524 testd_sel[1] (reset value 0); [30] testd_sel[2] (reset value 0); [31]
1525 testa_en (reset value 0); */
1526 #define MISC_REG_PLL_STORM_CTRL_1 0xa294
1527 #define MISC_REG_PLL_STORM_CTRL_2 0xa298
1528 #define MISC_REG_PLL_STORM_CTRL_3 0xa29c
1529 #define MISC_REG_PLL_STORM_CTRL_4 0xa2a0
1530 /* [R 1] Status of 4 port mode enable input pin. */
1531 #define MISC_REG_PORT4MODE_EN 0xa750
1532 /* [RW 2] 4 port mode enable overwrite.[0] - Overwrite control; if it is 0 -
1533 * the port4mode_en output is equal to 4 port mode input pin; if it is 1 -
1534 * the port4mode_en output is equal to bit[1] of this register; [1] -
1535 * Overwrite value. If bit[0] of this register is 1 this is the value that
1536 * receives the port4mode_en output . */
1537 #define MISC_REG_PORT4MODE_EN_OVWR 0xa720
1538 /* [RW 32] reset reg#2; rite/read one = the specific block is out of reset;
1539 write/read zero = the specific block is in reset; addr 0-wr- the write
1540 value will be written to the register; addr 1-set - one will be written
1541 to all the bits that have the value of one in the data written (bits that
1542 have the value of zero will not be change) ; addr 2-clear - zero will be
1543 written to all the bits that have the value of one in the data written
1544 (bits that have the value of zero will not be change); addr 3-ignore;
1545 read ignore from all addr except addr 00; inside order of the bits is:
1546 [0] rst_bmac0; [1] rst_bmac1; [2] rst_emac0; [3] rst_emac1; [4] rst_grc;
1547 [5] rst_mcp_n_reset_reg_hard_core; [6] rst_ mcp_n_hard_core_rst_b; [7]
1548 rst_ mcp_n_reset_cmn_cpu; [8] rst_ mcp_n_reset_cmn_core; [9] rst_rbcn;
1549 [10] rst_dbg; [11] rst_misc_core; [12] rst_dbue (UART); [13]
1550 Pci_resetmdio_n; [14] rst_emac0_hard_core; [15] rst_emac1_hard_core; 16]
1551 rst_pxp_rq_rd_wr; 31:17] reserved */
1552 #define MISC_REG_RESET_REG_2 0xa590
1553 /* [RW 20] 20 bit GRC address where the scratch-pad of the MCP that is
1554 shared with the driver resides */
1555 #define MISC_REG_SHARED_MEM_ADDR 0xa2b4
1556 /* [RW 32] SPIO. [31-24] FLOAT When any of these bits is written as a '1';
1557 the corresponding SPIO bit will turn off it's drivers and become an
1558 input. This is the reset state of all SPIO pins. The read value of these
1559 bits will be a '1' if that last command (#SET; #CL; or #FLOAT) for this
1560 bit was a #FLOAT. (reset value 0xff). [23-16] CLR When any of these bits
1561 is written as a '1'; the corresponding SPIO bit will drive low. The read
1562 value of these bits will be a '1' if that last command (#SET; #CLR; or
1563 #FLOAT) for this bit was a #CLR. (reset value 0). [15-8] SET When any of
1564 these bits is written as a '1'; the corresponding SPIO bit will drive
1565 high (if it has that capability). The read value of these bits will be a
1566 '1' if that last command (#SET; #CLR; or #FLOAT) for this bit was a #SET.
1567 (reset value 0). [7-0] VALUE RO; These bits indicate the read value of
1568 each of the eight SPIO pins. This is the result value of the pin; not the
1569 drive value. Writing these bits will have not effect. Each 8 bits field
1570 is divided as follows: [0] VAUX Enable; when pulsed low; enables supply
1571 from VAUX. (This is an output pin only; the FLOAT field is not applicable
1572 for this pin); [1] VAUX Disable; when pulsed low; disables supply form
1573 VAUX. (This is an output pin only; FLOAT field is not applicable for this
1574 pin); [2] SEL_VAUX_B - Control to power switching logic. Drive low to
1575 select VAUX supply. (This is an output pin only; it is not controlled by
1576 the SET and CLR fields; it is controlled by the Main Power SM; the FLOAT
1577 field is not applicable for this pin; only the VALUE fields is relevant -
1578 it reflects the output value); [3] port swap [4] spio_4; [5] spio_5; [6]
1579 Bit 0 of UMP device ID select; read by UMP firmware; [7] Bit 1 of UMP
1580 device ID select; read by UMP firmware. */
1581 #define MISC_REG_SPIO 0xa4fc
1582 /* [RW 8] These bits enable the SPIO_INTs to signals event to the IGU/MC.
1583 according to the following map: [3:0] reserved; [4] spio_4 [5] spio_5;
1584 [7:0] reserved */
1585 #define MISC_REG_SPIO_EVENT_EN 0xa2b8
1586 /* [RW 32] SPIO INT. [31-24] OLD_CLR Writing a '1' to these bit clears the
1587 corresponding bit in the #OLD_VALUE register. This will acknowledge an
1588 interrupt on the falling edge of corresponding SPIO input (reset value
1589 0). [23-16] OLD_SET Writing a '1' to these bit sets the corresponding bit
1590 in the #OLD_VALUE register. This will acknowledge an interrupt on the
1591 rising edge of corresponding SPIO input (reset value 0). [15-8] OLD_VALUE
1592 RO; These bits indicate the old value of the SPIO input value. When the
1593 ~INT_STATE bit is set; this bit indicates the OLD value of the pin such
1594 that if ~INT_STATE is set and this bit is '0'; then the interrupt is due
1595 to a low to high edge. If ~INT_STATE is set and this bit is '1'; then the
1596 interrupt is due to a high to low edge (reset value 0). [7-0] INT_STATE
1597 RO; These bits indicate the current SPIO interrupt state for each SPIO
1598 pin. This bit is cleared when the appropriate #OLD_SET or #OLD_CLR
1599 command bit is written. This bit is set when the SPIO input does not
1600 match the current value in #OLD_VALUE (reset value 0). */
1601 #define MISC_REG_SPIO_INT 0xa500
1602 /* [RW 32] reload value for counter 4 if reload; the value will be reload if
1603 the counter reached zero and the reload bit
1604 (~misc_registers_sw_timer_cfg_4.sw_timer_cfg_4[1] ) is set */
1605 #define MISC_REG_SW_TIMER_RELOAD_VAL_4 0xa2fc
1606 /* [RW 32] the value of the counter for sw timers1-8. there are 8 addresses
1607 in this register. addres 0 - timer 1; address 1 - timer 2, ... address 7 -
1608 timer 8 */
1609 #define MISC_REG_SW_TIMER_VAL 0xa5c0
1610 /* [RW 1] Set by the MCP to remember if one or more of the drivers is/are
1611 loaded; 0-prepare; -unprepare */
1612 #define MISC_REG_UNPREPARED 0xa424
1613 #define NIG_LLH0_BRB1_DRV_MASK_REG_LLH0_BRB1_DRV_MASK_BRCST (0x1<<0)
1614 #define NIG_LLH0_BRB1_DRV_MASK_REG_LLH0_BRB1_DRV_MASK_MLCST (0x1<<1)
1615 #define NIG_LLH0_BRB1_DRV_MASK_REG_LLH0_BRB1_DRV_MASK_NO_VLAN (0x1<<4)
1616 #define NIG_LLH0_BRB1_DRV_MASK_REG_LLH0_BRB1_DRV_MASK_UNCST (0x1<<2)
1617 #define NIG_LLH0_BRB1_DRV_MASK_REG_LLH0_BRB1_DRV_MASK_VLAN (0x1<<3)
1618 #define NIG_MASK_INTERRUPT_PORT0_REG_MASK_EMAC0_MISC_MI_INT (0x1<<0)
1619 #define NIG_MASK_INTERRUPT_PORT0_REG_MASK_SERDES0_LINK_STATUS (0x1<<9)
1620 #define NIG_MASK_INTERRUPT_PORT0_REG_MASK_XGXS0_LINK10G (0x1<<15)
1621 #define NIG_MASK_INTERRUPT_PORT0_REG_MASK_XGXS0_LINK_STATUS (0xf<<18)
1622 /* [RW 1] Input enable for RX_BMAC0 IF */
1623 #define NIG_REG_BMAC0_IN_EN 0x100ac
1624 /* [RW 1] output enable for TX_BMAC0 IF */
1625 #define NIG_REG_BMAC0_OUT_EN 0x100e0
1626 /* [RW 1] output enable for TX BMAC pause port 0 IF */
1627 #define NIG_REG_BMAC0_PAUSE_OUT_EN 0x10110
1628 /* [RW 1] output enable for RX_BMAC0_REGS IF */
1629 #define NIG_REG_BMAC0_REGS_OUT_EN 0x100e8
1630 /* [RW 1] output enable for RX BRB1 port0 IF */
1631 #define NIG_REG_BRB0_OUT_EN 0x100f8
1632 /* [RW 1] Input enable for TX BRB1 pause port 0 IF */
1633 #define NIG_REG_BRB0_PAUSE_IN_EN 0x100c4
1634 /* [RW 1] output enable for RX BRB1 port1 IF */
1635 #define NIG_REG_BRB1_OUT_EN 0x100fc
1636 /* [RW 1] Input enable for TX BRB1 pause port 1 IF */
1637 #define NIG_REG_BRB1_PAUSE_IN_EN 0x100c8
1638 /* [RW 1] output enable for RX BRB1 LP IF */
1639 #define NIG_REG_BRB_LB_OUT_EN 0x10100
1640 /* [WB_W 82] Debug packet to LP from RBC; Data spelling:[63:0] data; 64]
1641 error; [67:65]eop_bvalid; [68]eop; [69]sop; [70]port_id; 71]flush;
1642 72:73]-vnic_num; 81:74]-sideband_info */
1643 #define NIG_REG_DEBUG_PACKET_LB 0x10800
1644 /* [RW 1] Input enable for TX Debug packet */
1645 #define NIG_REG_EGRESS_DEBUG_IN_EN 0x100dc
1646 /* [RW 1] If 1 - egress drain mode for port0 is active. In this mode all
1647 packets from PBFare not forwarded to the MAC and just deleted from FIFO.
1648 First packet may be deleted from the middle. And last packet will be
1649 always deleted till the end. */
1650 #define NIG_REG_EGRESS_DRAIN0_MODE 0x10060
1651 /* [RW 1] Output enable to EMAC0 */
1652 #define NIG_REG_EGRESS_EMAC0_OUT_EN 0x10120
1653 /* [RW 1] MAC configuration for packets of port0. If 1 - all packet outputs
1654 to emac for port0; other way to bmac for port0 */
1655 #define NIG_REG_EGRESS_EMAC0_PORT 0x10058
1656 /* [RW 1] Input enable for TX PBF user packet port0 IF */
1657 #define NIG_REG_EGRESS_PBF0_IN_EN 0x100cc
1658 /* [RW 1] Input enable for TX PBF user packet port1 IF */
1659 #define NIG_REG_EGRESS_PBF1_IN_EN 0x100d0
1660 /* [RW 1] Input enable for TX UMP management packet port0 IF */
1661 #define NIG_REG_EGRESS_UMP0_IN_EN 0x100d4
1662 /* [RW 1] Input enable for RX_EMAC0 IF */
1663 #define NIG_REG_EMAC0_IN_EN 0x100a4
1664 /* [RW 1] output enable for TX EMAC pause port 0 IF */
1665 #define NIG_REG_EMAC0_PAUSE_OUT_EN 0x10118
1666 /* [R 1] status from emac0. This bit is set when MDINT from either the
1667 EXT_MDINT pin or from the Copper PHY is driven low. This condition must
1668 be cleared in the attached PHY device that is driving the MINT pin. */
1669 #define NIG_REG_EMAC0_STATUS_MISC_MI_INT 0x10494
1670 /* [WB 48] This address space contains BMAC0 registers. The BMAC registers
1671 are described in appendix A. In order to access the BMAC0 registers; the
1672 base address; NIG_REGISTERS_INGRESS_BMAC0_MEM; Offset: 0x10c00; should be
1673 added to each BMAC register offset */
1674 #define NIG_REG_INGRESS_BMAC0_MEM 0x10c00
1675 /* [WB 48] This address space contains BMAC1 registers. The BMAC registers
1676 are described in appendix A. In order to access the BMAC0 registers; the
1677 base address; NIG_REGISTERS_INGRESS_BMAC1_MEM; Offset: 0x11000; should be
1678 added to each BMAC register offset */
1679 #define NIG_REG_INGRESS_BMAC1_MEM 0x11000
1680 /* [R 1] FIFO empty in EOP descriptor FIFO of LP in NIG_RX_EOP */
1681 #define NIG_REG_INGRESS_EOP_LB_EMPTY 0x104e0
1682 /* [RW 17] Debug only. RX_EOP_DSCR_lb_FIFO in NIG_RX_EOP. Data
1683 packet_length[13:0]; mac_error[14]; trunc_error[15]; parity[16] */
1684 #define NIG_REG_INGRESS_EOP_LB_FIFO 0x104e4
1685 /* [RW 27] 0 - must be active for Everest A0; 1- for Everest B0 when latch
1686 logic for interrupts must be used. Enable per bit of interrupt of
1687 ~latch_status.latch_status */
1688 #define NIG_REG_LATCH_BC_0 0x16210
1689 /* [RW 27] Latch for each interrupt from Unicore.b[0]
1690 status_emac0_misc_mi_int; b[1] status_emac0_misc_mi_complete;
1691 b[2]status_emac0_misc_cfg_change; b[3]status_emac0_misc_link_status;
1692 b[4]status_emac0_misc_link_change; b[5]status_emac0_misc_attn;
1693 b[6]status_serdes0_mac_crs; b[7]status_serdes0_autoneg_complete;
1694 b[8]status_serdes0_fiber_rxact; b[9]status_serdes0_link_status;
1695 b[10]status_serdes0_mr_page_rx; b[11]status_serdes0_cl73_an_complete;
1696 b[12]status_serdes0_cl73_mr_page_rx; b[13]status_serdes0_rx_sigdet;
1697 b[14]status_xgxs0_remotemdioreq; b[15]status_xgxs0_link10g;
1698 b[16]status_xgxs0_autoneg_complete; b[17]status_xgxs0_fiber_rxact;
1699 b[21:18]status_xgxs0_link_status; b[22]status_xgxs0_mr_page_rx;
1700 b[23]status_xgxs0_cl73_an_complete; b[24]status_xgxs0_cl73_mr_page_rx;
1701 b[25]status_xgxs0_rx_sigdet; b[26]status_xgxs0_mac_crs */
1702 #define NIG_REG_LATCH_STATUS_0 0x18000
1703 /* [RW 1] led 10g for port 0 */
1704 #define NIG_REG_LED_10G_P0 0x10320
1705 /* [RW 1] led 10g for port 1 */
1706 #define NIG_REG_LED_10G_P1 0x10324
1707 /* [RW 1] Port0: This bit is set to enable the use of the
1708 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 field
1709 defined below. If this bit is cleared; then the blink rate will be about
1710 8Hz. */
1711 #define NIG_REG_LED_CONTROL_BLINK_RATE_ENA_P0 0x10318
1712 /* [RW 12] Port0: Specifies the period of each blink cycle (on + off) for
1713 Traffic LED in milliseconds. Must be a non-zero value. This 12-bit field
1714 is reset to 0x080; giving a default blink period of approximately 8Hz. */
1715 #define NIG_REG_LED_CONTROL_BLINK_RATE_P0 0x10310
1716 /* [RW 1] Port0: If set along with the
1717 ~nig_registers_led_control_override_traffic_p0.led_control_override_traffic_p0
1718 bit and ~nig_registers_led_control_traffic_p0.led_control_traffic_p0 LED
1719 bit; the Traffic LED will blink with the blink rate specified in
1720 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 and
1721 ~nig_registers_led_control_blink_rate_ena_p0.led_control_blink_rate_ena_p0
1722 fields. */
1723 #define NIG_REG_LED_CONTROL_BLINK_TRAFFIC_P0 0x10308
1724 /* [RW 1] Port0: If set overrides hardware control of the Traffic LED. The
1725 Traffic LED will then be controlled via bit ~nig_registers_
1726 led_control_traffic_p0.led_control_traffic_p0 and bit
1727 ~nig_registers_led_control_blink_traffic_p0.led_control_blink_traffic_p0 */
1728 #define NIG_REG_LED_CONTROL_OVERRIDE_TRAFFIC_P0 0x102f8
1729 /* [RW 1] Port0: If set along with the led_control_override_trafic_p0 bit;
1730 turns on the Traffic LED. If the led_control_blink_traffic_p0 bit is also
1731 set; the LED will blink with blink rate specified in
1732 ~nig_registers_led_control_blink_rate_p0.led_control_blink_rate_p0 and
1733 ~nig_regsters_led_control_blink_rate_ena_p0.led_control_blink_rate_ena_p0
1734 fields. */
1735 #define NIG_REG_LED_CONTROL_TRAFFIC_P0 0x10300
1736 /* [RW 4] led mode for port0: 0 MAC; 1-3 PHY1; 4 MAC2; 5-7 PHY4; 8-MAC3;
1737 9-11PHY7; 12 MAC4; 13-15 PHY10; */
1738 #define NIG_REG_LED_MODE_P0 0x102f0
1739 /* [RW 3] for port0 enable for llfc ppp and pause. b0 - brb1 enable; b1-
1740 tsdm enable; b2- usdm enable */
1741 #define NIG_REG_LLFC_EGRESS_SRC_ENABLE_0 0x16070
1742 #define NIG_REG_LLFC_EGRESS_SRC_ENABLE_1 0x16074
1743 /* [RW 1] SAFC enable for port0. This register may get 1 only when
1744 ~ppp_enable.ppp_enable = 0 and pause_enable.pause_enable =0 for the same
1745 port */
1746 #define NIG_REG_LLFC_ENABLE_0 0x16208
1747 /* [RW 16] classes are high-priority for port0 */
1748 #define NIG_REG_LLFC_HIGH_PRIORITY_CLASSES_0 0x16058
1749 /* [RW 16] classes are low-priority for port0 */
1750 #define NIG_REG_LLFC_LOW_PRIORITY_CLASSES_0 0x16060
1751 /* [RW 1] Output enable of message to LLFC BMAC IF for port0 */
1752 #define NIG_REG_LLFC_OUT_EN_0 0x160c8
1753 #define NIG_REG_LLH0_ACPI_PAT_0_CRC 0x1015c
1754 #define NIG_REG_LLH0_ACPI_PAT_6_LEN 0x10154
1755 #define NIG_REG_LLH0_BRB1_DRV_MASK 0x10244
1756 #define NIG_REG_LLH0_BRB1_DRV_MASK_MF 0x16048
1757 /* [RW 1] send to BRB1 if no match on any of RMP rules. */
1758 #define NIG_REG_LLH0_BRB1_NOT_MCP 0x1025c
1759 /* [RW 2] Determine the classification participants. 0: no classification.1:
1760 classification upon VLAN id. 2: classification upon MAC address. 3:
1761 classification upon both VLAN id & MAC addr. */
1762 #define NIG_REG_LLH0_CLS_TYPE 0x16080
1763 /* [RW 32] cm header for llh0 */
1764 #define NIG_REG_LLH0_CM_HEADER 0x1007c
1765 #define NIG_REG_LLH0_DEST_IP_0_1 0x101dc
1766 #define NIG_REG_LLH0_DEST_MAC_0_0 0x101c0
1767 /* [RW 16] destination TCP address 1. The LLH will look for this address in
1768 all incoming packets. */
1769 #define NIG_REG_LLH0_DEST_TCP_0 0x10220
1770 /* [RW 16] destination UDP address 1 The LLH will look for this address in
1771 all incoming packets. */
1772 #define NIG_REG_LLH0_DEST_UDP_0 0x10214
1773 #define NIG_REG_LLH0_ERROR_MASK 0x1008c
1774 /* [RW 8] event id for llh0 */
1775 #define NIG_REG_LLH0_EVENT_ID 0x10084
1776 #define NIG_REG_LLH0_FUNC_EN 0x160fc
1777 #define NIG_REG_LLH0_FUNC_VLAN_ID 0x16100
1778 /* [RW 1] Determine the IP version to look for in
1779 ~nig_registers_llh0_dest_ip_0.llh0_dest_ip_0. 0 - IPv6; 1-IPv4 */
1780 #define NIG_REG_LLH0_IPV4_IPV6_0 0x10208
1781 /* [RW 1] t bit for llh0 */
1782 #define NIG_REG_LLH0_T_BIT 0x10074
1783 /* [RW 12] VLAN ID 1. In case of VLAN packet the LLH will look for this ID. */
1784 #define NIG_REG_LLH0_VLAN_ID_0 0x1022c
1785 /* [RW 8] init credit counter for port0 in LLH */
1786 #define NIG_REG_LLH0_XCM_INIT_CREDIT 0x10554
1787 #define NIG_REG_LLH0_XCM_MASK 0x10130
1788 #define NIG_REG_LLH1_BRB1_DRV_MASK 0x10248
1789 /* [RW 1] send to BRB1 if no match on any of RMP rules. */
1790 #define NIG_REG_LLH1_BRB1_NOT_MCP 0x102dc
1791 /* [RW 2] Determine the classification participants. 0: no classification.1:
1792 classification upon VLAN id. 2: classification upon MAC address. 3:
1793 classification upon both VLAN id & MAC addr. */
1794 #define NIG_REG_LLH1_CLS_TYPE 0x16084
1795 /* [RW 32] cm header for llh1 */
1796 #define NIG_REG_LLH1_CM_HEADER 0x10080
1797 #define NIG_REG_LLH1_ERROR_MASK 0x10090
1798 /* [RW 8] event id for llh1 */
1799 #define NIG_REG_LLH1_EVENT_ID 0x10088
1800 /* [RW 8] init credit counter for port1 in LLH */
1801 #define NIG_REG_LLH1_XCM_INIT_CREDIT 0x10564
1802 #define NIG_REG_LLH1_XCM_MASK 0x10134
1803 /* [RW 1] When this bit is set; the LLH will expect all packets to be with
1804 e1hov */
1805 #define NIG_REG_LLH_E1HOV_MODE 0x160d8
1806 /* [RW 1] When this bit is set; the LLH will classify the packet before
1807 sending it to the BRB or calculating WoL on it. */
1808 #define NIG_REG_LLH_MF_MODE 0x16024
1809 #define NIG_REG_MASK_INTERRUPT_PORT0 0x10330
1810 #define NIG_REG_MASK_INTERRUPT_PORT1 0x10334
1811 /* [RW 1] Output signal from NIG to EMAC0. When set enables the EMAC0 block. */
1812 #define NIG_REG_NIG_EMAC0_EN 0x1003c
1813 /* [RW 1] Output signal from NIG to EMAC1. When set enables the EMAC1 block. */
1814 #define NIG_REG_NIG_EMAC1_EN 0x10040
1815 /* [RW 1] Output signal from NIG to TX_EMAC0. When set indicates to the
1816 EMAC0 to strip the CRC from the ingress packets. */
1817 #define NIG_REG_NIG_INGRESS_EMAC0_NO_CRC 0x10044
1818 /* [R 32] Interrupt register #0 read */
1819 #define NIG_REG_NIG_INT_STS_0 0x103b0
1820 #define NIG_REG_NIG_INT_STS_1 0x103c0
1821 /* [R 32] Legacy E1 and E1H location for parity error status register. */
1822 #define NIG_REG_NIG_PRTY_STS 0x103d0
1823 /* [R 32] Parity register #0 read */
1824 #define NIG_REG_NIG_PRTY_STS_0 0x183bc
1825 #define NIG_REG_NIG_PRTY_STS_1 0x183cc
1826 /* [RW 6] Bit-map indicating which L2 hdrs may appear after the basic
1827 * Ethernet header. */
1828 #define NIG_REG_P0_HDRS_AFTER_BASIC 0x18038
1829 /* [RW 1] HW PFC enable bit. Set this bit to enable the PFC functionality in
1830 * the NIG. Other flow control modes such as PAUSE and SAFC/LLFC should be
1831 * disabled when this bit is set. */
1832 #define NIG_REG_P0_HWPFC_ENABLE 0x18078
1833 #define NIG_REG_P0_LLH_FUNC_MEM2 0x18480
1834 #define NIG_REG_P0_LLH_FUNC_MEM2_ENABLE 0x18440
1835 /* [RW 32] Eight 4-bit configurations for specifying which COS (0-15 for
1836 * future expansion) each priorty is to be mapped to. Bits 3:0 specify the
1837 * COS for priority 0. Bits 31:28 specify the COS for priority 7. The 3-bit
1838 * priority field is extracted from the outer-most VLAN in receive packet.
1839 * Only COS 0 and COS 1 are supported in E2. */
1840 #define NIG_REG_P0_PKT_PRIORITY_TO_COS 0x18054
1841 /* [RW 16] Bit-map indicating which SAFC/PFC priorities to map to COS 0. A
1842 * priority is mapped to COS 0 when the corresponding mask bit is 1. More
1843 * than one bit may be set; allowing multiple priorities to be mapped to one
1844 * COS. */
1845 #define NIG_REG_P0_RX_COS0_PRIORITY_MASK 0x18058
1846 /* [RW 16] Bit-map indicating which SAFC/PFC priorities to map to COS 1. A
1847 * priority is mapped to COS 1 when the corresponding mask bit is 1. More
1848 * than one bit may be set; allowing multiple priorities to be mapped to one
1849 * COS. */
1850 #define NIG_REG_P0_RX_COS1_PRIORITY_MASK 0x1805c
1851 /* [RW 15] Specify which of the credit registers the client is to be mapped
1852 * to. Bits[2:0] are for client 0; bits [14:12] are for client 4. For
1853 * clients that are not subject to WFQ credit blocking - their
1854 * specifications here are not used. */
1855 #define NIG_REG_P0_TX_ARB_CLIENT_CREDIT_MAP 0x180f0
1856 /* [RW 5] Specify whether the client competes directly in the strict
1857 * priority arbiter. The bits are mapped according to client ID (client IDs
1858 * are defined in tx_arb_priority_client). Default value is set to enable
1859 * strict priorities for clients 0-2 -- management and debug traffic. */
1860 #define NIG_REG_P0_TX_ARB_CLIENT_IS_STRICT 0x180e8
1861 /* [RW 5] Specify whether the client is subject to WFQ credit blocking. The
1862 * bits are mapped according to client ID (client IDs are defined in
1863 * tx_arb_priority_client). Default value is 0 for not using WFQ credit
1864 * blocking. */
1865 #define NIG_REG_P0_TX_ARB_CLIENT_IS_SUBJECT2WFQ 0x180ec
1866 /* [RW 32] Specify the upper bound that credit register 0 is allowed to
1867 * reach. */
1868 #define NIG_REG_P0_TX_ARB_CREDIT_UPPER_BOUND_0 0x1810c
1869 #define NIG_REG_P0_TX_ARB_CREDIT_UPPER_BOUND_1 0x18110
1870 /* [RW 32] Specify the weight (in bytes) to be added to credit register 0
1871 * when it is time to increment. */
1872 #define NIG_REG_P0_TX_ARB_CREDIT_WEIGHT_0 0x180f8
1873 #define NIG_REG_P0_TX_ARB_CREDIT_WEIGHT_1 0x180fc
1874 /* [RW 12] Specify the number of strict priority arbitration slots between
1875 * two round-robin arbitration slots to avoid starvation. A value of 0 means
1876 * no strict priority cycles - the strict priority with anti-starvation
1877 * arbiter becomes a round-robin arbiter. */
1878 #define NIG_REG_P0_TX_ARB_NUM_STRICT_ARB_SLOTS 0x180f4
1879 /* [RW 15] Specify the client number to be assigned to each priority of the
1880 * strict priority arbiter. Priority 0 is the highest priority. Bits [2:0]
1881 * are for priority 0 client; bits [14:12] are for priority 4 client. The
1882 * clients are assigned the following IDs: 0-management; 1-debug traffic
1883 * from this port; 2-debug traffic from other port; 3-COS0 traffic; 4-COS1
1884 * traffic. The reset value[14:0] is set to 0x4688 (15'b100_011_010_001_000)
1885 * for management at priority 0; debug traffic at priorities 1 and 2; COS0
1886 * traffic at priority 3; and COS1 traffic at priority 4. */
1887 #define NIG_REG_P0_TX_ARB_PRIORITY_CLIENT 0x180e4
1888 #define NIG_REG_P1_LLH_FUNC_MEM2 0x184c0
1889 #define NIG_REG_P1_LLH_FUNC_MEM2_ENABLE 0x18460
1890 /* [RW 32] Eight 4-bit configurations for specifying which COS (0-15 for
1891 * future expansion) each priorty is to be mapped to. Bits 3:0 specify the
1892 * COS for priority 0. Bits 31:28 specify the COS for priority 7. The 3-bit
1893 * priority field is extracted from the outer-most VLAN in receive packet.
1894 * Only COS 0 and COS 1 are supported in E2. */
1895 #define NIG_REG_P1_PKT_PRIORITY_TO_COS 0x181a8
1896 /* [RW 16] Bit-map indicating which SAFC/PFC priorities to map to COS 0. A
1897 * priority is mapped to COS 0 when the corresponding mask bit is 1. More
1898 * than one bit may be set; allowing multiple priorities to be mapped to one
1899 * COS. */
1900 #define NIG_REG_P1_RX_COS0_PRIORITY_MASK 0x181ac
1901 /* [RW 16] Bit-map indicating which SAFC/PFC priorities to map to COS 1. A
1902 * priority is mapped to COS 1 when the corresponding mask bit is 1. More
1903 * than one bit may be set; allowing multiple priorities to be mapped to one
1904 * COS. */
1905 #define NIG_REG_P1_RX_COS1_PRIORITY_MASK 0x181b0
1906 /* [RW 1] Pause enable for port0. This register may get 1 only when
1907 ~safc_enable.safc_enable = 0 and ppp_enable.ppp_enable =0 for the same
1908 port */
1909 #define NIG_REG_PAUSE_ENABLE_0 0x160c0
1910 /* [RW 1] Input enable for RX PBF LP IF */
1911 #define NIG_REG_PBF_LB_IN_EN 0x100b4
1912 /* [RW 1] Value of this register will be transmitted to port swap when
1913 ~nig_registers_strap_override.strap_override =1 */
1914 #define NIG_REG_PORT_SWAP 0x10394
1915 /* [RW 1] output enable for RX parser descriptor IF */
1916 #define NIG_REG_PRS_EOP_OUT_EN 0x10104
1917 /* [RW 1] Input enable for RX parser request IF */
1918 #define NIG_REG_PRS_REQ_IN_EN 0x100b8
1919 /* [RW 5] control to serdes - CL45 DEVAD */
1920 #define NIG_REG_SERDES0_CTRL_MD_DEVAD 0x10370
1921 /* [RW 1] control to serdes; 0 - clause 45; 1 - clause 22 */
1922 #define NIG_REG_SERDES0_CTRL_MD_ST 0x1036c
1923 /* [RW 5] control to serdes - CL22 PHY_ADD and CL45 PRTAD */
1924 #define NIG_REG_SERDES0_CTRL_PHY_ADDR 0x10374
1925 /* [R 1] status from serdes0 that inputs to interrupt logic of link status */
1926 #define NIG_REG_SERDES0_STATUS_LINK_STATUS 0x10578
1927 /* [R 32] Rx statistics : In user packets discarded due to BRB backpressure
1928 for port0 */
1929 #define NIG_REG_STAT0_BRB_DISCARD 0x105f0
1930 /* [R 32] Rx statistics : In user packets truncated due to BRB backpressure
1931 for port0 */
1932 #define NIG_REG_STAT0_BRB_TRUNCATE 0x105f8
1933 /* [WB_R 36] Tx statistics : Number of packets from emac0 or bmac0 that
1934 between 1024 and 1522 bytes for port0 */
1935 #define NIG_REG_STAT0_EGRESS_MAC_PKT0 0x10750
1936 /* [WB_R 36] Tx statistics : Number of packets from emac0 or bmac0 that
1937 between 1523 bytes and above for port0 */
1938 #define NIG_REG_STAT0_EGRESS_MAC_PKT1 0x10760
1939 /* [R 32] Rx statistics : In user packets discarded due to BRB backpressure
1940 for port1 */
1941 #define NIG_REG_STAT1_BRB_DISCARD 0x10628
1942 /* [WB_R 36] Tx statistics : Number of packets from emac1 or bmac1 that
1943 between 1024 and 1522 bytes for port1 */
1944 #define NIG_REG_STAT1_EGRESS_MAC_PKT0 0x107a0
1945 /* [WB_R 36] Tx statistics : Number of packets from emac1 or bmac1 that
1946 between 1523 bytes and above for port1 */
1947 #define NIG_REG_STAT1_EGRESS_MAC_PKT1 0x107b0
1948 /* [WB_R 64] Rx statistics : User octets received for LP */
1949 #define NIG_REG_STAT2_BRB_OCTET 0x107e0
1950 #define NIG_REG_STATUS_INTERRUPT_PORT0 0x10328
1951 #define NIG_REG_STATUS_INTERRUPT_PORT1 0x1032c
1952 /* [RW 1] port swap mux selection. If this register equal to 0 then port
1953 swap is equal to SPIO pin that inputs from ifmux_serdes_swap. If 1 then
1954 ort swap is equal to ~nig_registers_port_swap.port_swap */
1955 #define NIG_REG_STRAP_OVERRIDE 0x10398
1956 /* [RW 1] output enable for RX_XCM0 IF */
1957 #define NIG_REG_XCM0_OUT_EN 0x100f0
1958 /* [RW 1] output enable for RX_XCM1 IF */
1959 #define NIG_REG_XCM1_OUT_EN 0x100f4
1960 /* [RW 1] control to xgxs - remote PHY in-band MDIO */
1961 #define NIG_REG_XGXS0_CTRL_EXTREMOTEMDIOST 0x10348
1962 /* [RW 5] control to xgxs - CL45 DEVAD */
1963 #define NIG_REG_XGXS0_CTRL_MD_DEVAD 0x1033c
1964 /* [RW 1] control to xgxs; 0 - clause 45; 1 - clause 22 */
1965 #define NIG_REG_XGXS0_CTRL_MD_ST 0x10338
1966 /* [RW 5] control to xgxs - CL22 PHY_ADD and CL45 PRTAD */
1967 #define NIG_REG_XGXS0_CTRL_PHY_ADDR 0x10340
1968 /* [R 1] status from xgxs0 that inputs to interrupt logic of link10g. */
1969 #define NIG_REG_XGXS0_STATUS_LINK10G 0x10680
1970 /* [R 4] status from xgxs0 that inputs to interrupt logic of link status */
1971 #define NIG_REG_XGXS0_STATUS_LINK_STATUS 0x10684
1972 /* [RW 2] selection for XGXS lane of port 0 in NIG_MUX block */
1973 #define NIG_REG_XGXS_LANE_SEL_P0 0x102e8
1974 /* [RW 1] selection for port0 for NIG_MUX block : 0 = SerDes; 1 = XGXS */
1975 #define NIG_REG_XGXS_SERDES0_MODE_SEL 0x102e0
1976 #define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_EMAC0_MISC_MI_INT (0x1<<0)
1977 #define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_SERDES0_LINK_STATUS (0x1<<9)
1978 #define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK10G (0x1<<15)
1979 #define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK_STATUS (0xf<<18)
1980 #define NIG_STATUS_INTERRUPT_PORT0_REG_STATUS_XGXS0_LINK_STATUS_SIZE 18
1981 /* [RW 1] Disable processing further tasks from port 0 (after ending the
1982 current task in process). */
1983 #define PBF_REG_DISABLE_NEW_TASK_PROC_P0 0x14005c
1984 /* [RW 1] Disable processing further tasks from port 1 (after ending the
1985 current task in process). */
1986 #define PBF_REG_DISABLE_NEW_TASK_PROC_P1 0x140060
1987 /* [RW 1] Disable processing further tasks from port 4 (after ending the
1988 current task in process). */
1989 #define PBF_REG_DISABLE_NEW_TASK_PROC_P4 0x14006c
1990 #define PBF_REG_DISABLE_PF 0x1402e8
1991 /* [RW 6] Bit-map indicating which L2 hdrs may appear after the basic
1992 * Ethernet header. */
1993 #define PBF_REG_HDRS_AFTER_BASIC 0x15c0a8
1994 #define PBF_REG_IF_ENABLE_REG 0x140044
1995 /* [RW 1] Init bit. When set the initial credits are copied to the credit
1996 registers (except the port credits). Should be set and then reset after
1997 the configuration of the block has ended. */
1998 #define PBF_REG_INIT 0x140000
1999 /* [RW 1] Init bit for port 0. When set the initial credit of port 0 is
2000 copied to the credit register. Should be set and then reset after the
2001 configuration of the port has ended. */
2002 #define PBF_REG_INIT_P0 0x140004
2003 /* [RW 1] Init bit for port 1. When set the initial credit of port 1 is
2004 copied to the credit register. Should be set and then reset after the
2005 configuration of the port has ended. */
2006 #define PBF_REG_INIT_P1 0x140008
2007 /* [RW 1] Init bit for port 4. When set the initial credit of port 4 is
2008 copied to the credit register. Should be set and then reset after the
2009 configuration of the port has ended. */
2010 #define PBF_REG_INIT_P4 0x14000c
2011 /* [RW 1] Enable for mac interface 0. */
2012 #define PBF_REG_MAC_IF0_ENABLE 0x140030
2013 /* [RW 1] Enable for mac interface 1. */
2014 #define PBF_REG_MAC_IF1_ENABLE 0x140034
2015 /* [RW 1] Enable for the loopback interface. */
2016 #define PBF_REG_MAC_LB_ENABLE 0x140040
2017 /* [RW 6] Bit-map indicating which headers must appear in the packet */
2018 #define PBF_REG_MUST_HAVE_HDRS 0x15c0c4
2019 /* [RW 10] Port 0 threshold used by arbiter in 16 byte lines used when pause
2020 not suppoterd. */
2021 #define PBF_REG_P0_ARB_THRSH 0x1400e4
2022 /* [R 11] Current credit for port 0 in the tx port buffers in 16 byte lines. */
2023 #define PBF_REG_P0_CREDIT 0x140200
2024 /* [RW 11] Initial credit for port 0 in the tx port buffers in 16 byte
2025 lines. */
2026 #define PBF_REG_P0_INIT_CRD 0x1400d0
2027 /* [RW 1] Indication that pause is enabled for port 0. */
2028 #define PBF_REG_P0_PAUSE_ENABLE 0x140014
2029 /* [R 8] Number of tasks in port 0 task queue. */
2030 #define PBF_REG_P0_TASK_CNT 0x140204
2031 /* [R 11] Current credit for port 1 in the tx port buffers in 16 byte lines. */
2032 #define PBF_REG_P1_CREDIT 0x140208
2033 /* [RW 11] Initial credit for port 1 in the tx port buffers in 16 byte
2034 lines. */
2035 #define PBF_REG_P1_INIT_CRD 0x1400d4
2036 /* [R 8] Number of tasks in port 1 task queue. */
2037 #define PBF_REG_P1_TASK_CNT 0x14020c
2038 /* [R 11] Current credit for port 4 in the tx port buffers in 16 byte lines. */
2039 #define PBF_REG_P4_CREDIT 0x140210
2040 /* [RW 11] Initial credit for port 4 in the tx port buffers in 16 byte
2041 lines. */
2042 #define PBF_REG_P4_INIT_CRD 0x1400e0
2043 /* [R 8] Number of tasks in port 4 task queue. */
2044 #define PBF_REG_P4_TASK_CNT 0x140214
2045 /* [RW 5] Interrupt mask register #0 read/write */
2046 #define PBF_REG_PBF_INT_MASK 0x1401d4
2047 /* [R 5] Interrupt register #0 read */
2048 #define PBF_REG_PBF_INT_STS 0x1401c8
2049 #define PB_REG_CONTROL 0
2050 /* [RW 2] Interrupt mask register #0 read/write */
2051 #define PB_REG_PB_INT_MASK 0x28
2052 /* [R 2] Interrupt register #0 read */
2053 #define PB_REG_PB_INT_STS 0x1c
2054 /* [RW 4] Parity mask register #0 read/write */
2055 #define PB_REG_PB_PRTY_MASK 0x38
2056 /* [R 4] Parity register #0 read */
2057 #define PB_REG_PB_PRTY_STS 0x2c
2058 #define PGLUE_B_PGLUE_B_INT_STS_REG_ADDRESS_ERROR (0x1<<0)
2059 #define PGLUE_B_PGLUE_B_INT_STS_REG_CSSNOOP_FIFO_OVERFLOW (0x1<<8)
2060 #define PGLUE_B_PGLUE_B_INT_STS_REG_INCORRECT_RCV_BEHAVIOR (0x1<<1)
2061 #define PGLUE_B_PGLUE_B_INT_STS_REG_TCPL_ERROR_ATTN (0x1<<6)
2062 #define PGLUE_B_PGLUE_B_INT_STS_REG_TCPL_IN_TWO_RCBS_ATTN (0x1<<7)
2063 #define PGLUE_B_PGLUE_B_INT_STS_REG_VF_GRC_SPACE_VIOLATION_ATTN (0x1<<4)
2064 #define PGLUE_B_PGLUE_B_INT_STS_REG_VF_LENGTH_VIOLATION_ATTN (0x1<<3)
2065 #define PGLUE_B_PGLUE_B_INT_STS_REG_VF_MSIX_BAR_VIOLATION_ATTN (0x1<<5)
2066 #define PGLUE_B_PGLUE_B_INT_STS_REG_WAS_ERROR_ATTN (0x1<<2)
2067 /* [R 8] Config space A attention dirty bits. Each bit indicates that the
2068 * corresponding PF generates config space A attention. Set by PXP. Reset by
2069 * MCP writing 1 to icfg_space_a_request_clr. Note: register contains bits
2070 * from both paths. */
2071 #define PGLUE_B_REG_CFG_SPACE_A_REQUEST 0x9010
2072 /* [R 8] Config space B attention dirty bits. Each bit indicates that the
2073 * corresponding PF generates config space B attention. Set by PXP. Reset by
2074 * MCP writing 1 to icfg_space_b_request_clr. Note: register contains bits
2075 * from both paths. */
2076 #define PGLUE_B_REG_CFG_SPACE_B_REQUEST 0x9014
2077 /* [RW 1] Type A PF enable inbound interrupt table for CSDM. 0 - disable; 1
2078 * - enable. */
2079 #define PGLUE_B_REG_CSDM_INB_INT_A_PF_ENABLE 0x9194
2080 /* [RW 18] Type B VF inbound interrupt table for CSDM: bits[17:9]-mask;
2081 * its[8:0]-address. Bits [1:0] must be zero (DW resolution address). */
2082 #define PGLUE_B_REG_CSDM_INB_INT_B_VF 0x916c
2083 /* [RW 1] Type B VF enable inbound interrupt table for CSDM. 0 - disable; 1
2084 * - enable. */
2085 #define PGLUE_B_REG_CSDM_INB_INT_B_VF_ENABLE 0x919c
2086 /* [RW 16] Start offset of CSDM zone A (queue zone) in the internal RAM */
2087 #define PGLUE_B_REG_CSDM_START_OFFSET_A 0x9100
2088 /* [RW 16] Start offset of CSDM zone B (legacy zone) in the internal RAM */
2089 #define PGLUE_B_REG_CSDM_START_OFFSET_B 0x9108
2090 /* [RW 5] VF Shift of CSDM zone B (legacy zone) in the internal RAM */
2091 #define PGLUE_B_REG_CSDM_VF_SHIFT_B 0x9110
2092 /* [RW 1] 0 - Zone A size is 136x32B; 1 - Zone A size is 152x32B. */
2093 #define PGLUE_B_REG_CSDM_ZONE_A_SIZE_PF 0x91ac
2094 /* [R 8] FLR request attention dirty bits for PFs 0 to 7. Each bit indicates
2095 * that the FLR register of the corresponding PF was set. Set by PXP. Reset
2096 * by MCP writing 1 to flr_request_pf_7_0_clr. Note: register contains bits
2097 * from both paths. */
2098 #define PGLUE_B_REG_FLR_REQUEST_PF_7_0 0x9028
2099 /* [W 8] FLR request attention dirty bits clear for PFs 0 to 7. MCP writes 1
2100 * to a bit in this register in order to clear the corresponding bit in
2101 * flr_request_pf_7_0 register. Note: register contains bits from both
2102 * paths. */
2103 #define PGLUE_B_REG_FLR_REQUEST_PF_7_0_CLR 0x9418
2104 /* [R 32] FLR request attention dirty bits for VFs 96 to 127. Each bit
2105 * indicates that the FLR register of the corresponding VF was set. Set by
2106 * PXP. Reset by MCP writing 1 to flr_request_vf_127_96_clr. */
2107 #define PGLUE_B_REG_FLR_REQUEST_VF_127_96 0x9024
2108 /* [R 32] FLR request attention dirty bits for VFs 0 to 31. Each bit
2109 * indicates that the FLR register of the corresponding VF was set. Set by
2110 * PXP. Reset by MCP writing 1 to flr_request_vf_31_0_clr. */
2111 #define PGLUE_B_REG_FLR_REQUEST_VF_31_0 0x9018
2112 /* [R 32] FLR request attention dirty bits for VFs 32 to 63. Each bit
2113 * indicates that the FLR register of the corresponding VF was set. Set by
2114 * PXP. Reset by MCP writing 1 to flr_request_vf_63_32_clr. */
2115 #define PGLUE_B_REG_FLR_REQUEST_VF_63_32 0x901c
2116 /* [R 32] FLR request attention dirty bits for VFs 64 to 95. Each bit
2117 * indicates that the FLR register of the corresponding VF was set. Set by
2118 * PXP. Reset by MCP writing 1 to flr_request_vf_95_64_clr. */
2119 #define PGLUE_B_REG_FLR_REQUEST_VF_95_64 0x9020
2120 /* [R 8] Each bit indicates an incorrect behavior in user RX interface. Bit
2121 * 0 - Target memory read arrived with a correctable error. Bit 1 - Target
2122 * memory read arrived with an uncorrectable error. Bit 2 - Configuration RW
2123 * arrived with a correctable error. Bit 3 - Configuration RW arrived with
2124 * an uncorrectable error. Bit 4 - Completion with Configuration Request
2125 * Retry Status. Bit 5 - Expansion ROM access received with a write request.
2126 * Bit 6 - Completion with pcie_rx_err of 0000; CMPL_STATUS of non-zero; and
2127 * pcie_rx_last not asserted. Bit 7 - Completion with pcie_rx_err of 1010;
2128 * and pcie_rx_last not asserted. */
2129 #define PGLUE_B_REG_INCORRECT_RCV_DETAILS 0x9068
2130 #define PGLUE_B_REG_INTERNAL_PFID_ENABLE_MASTER 0x942c
2131 #define PGLUE_B_REG_INTERNAL_PFID_ENABLE_TARGET_READ 0x9430
2132 #define PGLUE_B_REG_INTERNAL_PFID_ENABLE_TARGET_WRITE 0x9434
2133 #define PGLUE_B_REG_INTERNAL_VFID_ENABLE 0x9438
2134 /* [R 9] Interrupt register #0 read */
2135 #define PGLUE_B_REG_PGLUE_B_INT_STS 0x9298
2136 /* [RC 9] Interrupt register #0 read clear */
2137 #define PGLUE_B_REG_PGLUE_B_INT_STS_CLR 0x929c
2138 /* [R 2] Parity register #0 read */
2139 #define PGLUE_B_REG_PGLUE_B_PRTY_STS 0x92a8
2140 /* [R 13] Details of first request received with error. [2:0] - PFID. [3] -
2141 * VF_VALID. [9:4] - VFID. [11:10] - Error Code - 0 - Indicates Completion
2142 * Timeout of a User Tx non-posted request. 1 - unsupported request. 2 -
2143 * completer abort. 3 - Illegal value for this field. [12] valid - indicates
2144 * if there was a completion error since the last time this register was
2145 * cleared. */
2146 #define PGLUE_B_REG_RX_ERR_DETAILS 0x9080
2147 /* [R 18] Details of first ATS Translation Completion request received with
2148 * error. [2:0] - PFID. [3] - VF_VALID. [9:4] - VFID. [11:10] - Error Code -
2149 * 0 - Indicates Completion Timeout of a User Tx non-posted request. 1 -
2150 * unsupported request. 2 - completer abort. 3 - Illegal value for this
2151 * field. [16:12] - ATC OTB EntryID. [17] valid - indicates if there was a
2152 * completion error since the last time this register was cleared. */
2153 #define PGLUE_B_REG_RX_TCPL_ERR_DETAILS 0x9084
2154 /* [W 8] Debug only - Shadow BME bits clear for PFs 0 to 7. MCP writes 1 to
2155 * a bit in this register in order to clear the corresponding bit in
2156 * shadow_bme_pf_7_0 register. MCP should never use this unless a
2157 * work-around is needed. Note: register contains bits from both paths. */
2158 #define PGLUE_B_REG_SHADOW_BME_PF_7_0_CLR 0x9458
2159 /* [R 8] SR IOV disabled attention dirty bits. Each bit indicates that the
2160 * VF enable register of the corresponding PF is written to 0 and was
2161 * previously 1. Set by PXP. Reset by MCP writing 1 to
2162 * sr_iov_disabled_request_clr. Note: register contains bits from both
2163 * paths. */
2164 #define PGLUE_B_REG_SR_IOV_DISABLED_REQUEST 0x9030
2165 /* [R 32] Indicates the status of tags 32-63. 0 - tags is used - read
2166 * completion did not return yet. 1 - tag is unused. Same functionality as
2167 * pxp2_registers_pgl_exp_rom_data2 for tags 0-31. */
2168 #define PGLUE_B_REG_TAGS_63_32 0x9244
2169 /* [RW 1] Type A PF enable inbound interrupt table for TSDM. 0 - disable; 1
2170 * - enable. */
2171 #define PGLUE_B_REG_TSDM_INB_INT_A_PF_ENABLE 0x9170
2172 /* [RW 16] Start offset of TSDM zone A (queue zone) in the internal RAM */
2173 #define PGLUE_B_REG_TSDM_START_OFFSET_A 0x90c4
2174 /* [RW 16] Start offset of TSDM zone B (legacy zone) in the internal RAM */
2175 #define PGLUE_B_REG_TSDM_START_OFFSET_B 0x90cc
2176 /* [RW 5] VF Shift of TSDM zone B (legacy zone) in the internal RAM */
2177 #define PGLUE_B_REG_TSDM_VF_SHIFT_B 0x90d4
2178 /* [RW 1] 0 - Zone A size is 136x32B; 1 - Zone A size is 152x32B. */
2179 #define PGLUE_B_REG_TSDM_ZONE_A_SIZE_PF 0x91a0
2180 /* [R 32] Address [31:0] of first read request not submitted due to error */
2181 #define PGLUE_B_REG_TX_ERR_RD_ADD_31_0 0x9098
2182 /* [R 32] Address [63:32] of first read request not submitted due to error */
2183 #define PGLUE_B_REG_TX_ERR_RD_ADD_63_32 0x909c
2184 /* [R 31] Details of first read request not submitted due to error. [4:0]
2185 * VQID. [5] TREQ. 1 - Indicates the request is a Translation Request.
2186 * [20:8] - Length in bytes. [23:21] - PFID. [24] - VF_VALID. [30:25] -
2187 * VFID. */
2188 #define PGLUE_B_REG_TX_ERR_RD_DETAILS 0x90a0
2189 /* [R 26] Details of first read request not submitted due to error. [15:0]
2190 * Request ID. [19:16] client ID. [20] - last SR. [24:21] - Error type -
2191 * [21] - Indicates was_error was set; [22] - Indicates BME was cleared;
2192 * [23] - Indicates FID_enable was cleared; [24] - Indicates VF with parent
2193 * PF FLR_request or IOV_disable_request dirty bit is set. [25] valid -
2194 * indicates if there was a request not submitted due to error since the
2195 * last time this register was cleared. */
2196 #define PGLUE_B_REG_TX_ERR_RD_DETAILS2 0x90a4
2197 /* [R 32] Address [31:0] of first write request not submitted due to error */
2198 #define PGLUE_B_REG_TX_ERR_WR_ADD_31_0 0x9088
2199 /* [R 32] Address [63:32] of first write request not submitted due to error */
2200 #define PGLUE_B_REG_TX_ERR_WR_ADD_63_32 0x908c
2201 /* [R 31] Details of first write request not submitted due to error. [4:0]
2202 * VQID. [20:8] - Length in bytes. [23:21] - PFID. [24] - VF_VALID. [30:25]
2203 * - VFID. */
2204 #define PGLUE_B_REG_TX_ERR_WR_DETAILS 0x9090
2205 /* [R 26] Details of first write request not submitted due to error. [15:0]
2206 * Request ID. [19:16] client ID. [20] - last SR. [24:21] - Error type -
2207 * [21] - Indicates was_error was set; [22] - Indicates BME was cleared;
2208 * [23] - Indicates FID_enable was cleared; [24] - Indicates VF with parent
2209 * PF FLR_request or IOV_disable_request dirty bit is set. [25] valid -
2210 * indicates if there was a request not submitted due to error since the
2211 * last time this register was cleared. */
2212 #define PGLUE_B_REG_TX_ERR_WR_DETAILS2 0x9094
2213 /* [RW 10] Type A PF/VF inbound interrupt table for USDM: bits[9:5]-mask;
2214 * its[4:0]-address relative to start_offset_a. Bits [1:0] can have any
2215 * value (Byte resolution address). */
2216 #define PGLUE_B_REG_USDM_INB_INT_A_0 0x9128
2217 #define PGLUE_B_REG_USDM_INB_INT_A_1 0x912c
2218 #define PGLUE_B_REG_USDM_INB_INT_A_2 0x9130
2219 #define PGLUE_B_REG_USDM_INB_INT_A_3 0x9134
2220 #define PGLUE_B_REG_USDM_INB_INT_A_4 0x9138
2221 #define PGLUE_B_REG_USDM_INB_INT_A_5 0x913c
2222 #define PGLUE_B_REG_USDM_INB_INT_A_6 0x9140
2223 /* [RW 1] Type A PF enable inbound interrupt table for USDM. 0 - disable; 1
2224 * - enable. */
2225 #define PGLUE_B_REG_USDM_INB_INT_A_PF_ENABLE 0x917c
2226 /* [RW 1] Type A VF enable inbound interrupt table for USDM. 0 - disable; 1
2227 * - enable. */
2228 #define PGLUE_B_REG_USDM_INB_INT_A_VF_ENABLE 0x9180
2229 /* [RW 1] Type B VF enable inbound interrupt table for USDM. 0 - disable; 1
2230 * - enable. */
2231 #define PGLUE_B_REG_USDM_INB_INT_B_VF_ENABLE 0x9184
2232 /* [RW 16] Start offset of USDM zone A (queue zone) in the internal RAM */
2233 #define PGLUE_B_REG_USDM_START_OFFSET_A 0x90d8
2234 /* [RW 16] Start offset of USDM zone B (legacy zone) in the internal RAM */
2235 #define PGLUE_B_REG_USDM_START_OFFSET_B 0x90e0
2236 /* [RW 5] VF Shift of USDM zone B (legacy zone) in the internal RAM */
2237 #define PGLUE_B_REG_USDM_VF_SHIFT_B 0x90e8
2238 /* [RW 1] 0 - Zone A size is 136x32B; 1 - Zone A size is 152x32B. */
2239 #define PGLUE_B_REG_USDM_ZONE_A_SIZE_PF 0x91a4
2240 /* [R 26] Details of first target VF request accessing VF GRC space that
2241 * failed permission check. [14:0] Address. [15] w_nr: 0 - Read; 1 - Write.
2242 * [21:16] VFID. [24:22] - PFID. [25] valid - indicates if there was a
2243 * request accessing VF GRC space that failed permission check since the
2244 * last time this register was cleared. Permission checks are: function
2245 * permission; R/W permission; address range permission. */
2246 #define PGLUE_B_REG_VF_GRC_SPACE_VIOLATION_DETAILS 0x9234
2247 /* [R 31] Details of first target VF request with length violation (too many
2248 * DWs) accessing BAR0. [12:0] Address in DWs (bits [14:2] of byte address).
2249 * [14:13] BAR. [20:15] VFID. [23:21] - PFID. [29:24] - Length in DWs. [30]
2250 * valid - indicates if there was a request with length violation since the
2251 * last time this register was cleared. Length violations: length of more
2252 * than 2DWs; length of 2DWs and address not QW aligned; window is GRC and
2253 * length is more than 1 DW. */
2254 #define PGLUE_B_REG_VF_LENGTH_VIOLATION_DETAILS 0x9230
2255 /* [R 8] Was_error indication dirty bits for PFs 0 to 7. Each bit indicates
2256 * that there was a completion with uncorrectable error for the
2257 * corresponding PF. Set by PXP. Reset by MCP writing 1 to
2258 * was_error_pf_7_0_clr. */
2259 #define PGLUE_B_REG_WAS_ERROR_PF_7_0 0x907c
2260 /* [W 8] Was_error indication dirty bits clear for PFs 0 to 7. MCP writes 1
2261 * to a bit in this register in order to clear the corresponding bit in
2262 * flr_request_pf_7_0 register. */
2263 #define PGLUE_B_REG_WAS_ERROR_PF_7_0_CLR 0x9470
2264 /* [R 32] Was_error indication dirty bits for VFs 96 to 127. Each bit
2265 * indicates that there was a completion with uncorrectable error for the
2266 * corresponding VF. Set by PXP. Reset by MCP writing 1 to
2267 * was_error_vf_127_96_clr. */
2268 #define PGLUE_B_REG_WAS_ERROR_VF_127_96 0x9078
2269 /* [W 32] Was_error indication dirty bits clear for VFs 96 to 127. MCP
2270 * writes 1 to a bit in this register in order to clear the corresponding
2271 * bit in was_error_vf_127_96 register. */
2272 #define PGLUE_B_REG_WAS_ERROR_VF_127_96_CLR 0x9474
2273 /* [R 32] Was_error indication dirty bits for VFs 0 to 31. Each bit
2274 * indicates that there was a completion with uncorrectable error for the
2275 * corresponding VF. Set by PXP. Reset by MCP writing 1 to
2276 * was_error_vf_31_0_clr. */
2277 #define PGLUE_B_REG_WAS_ERROR_VF_31_0 0x906c
2278 /* [W 32] Was_error indication dirty bits clear for VFs 0 to 31. MCP writes
2279 * 1 to a bit in this register in order to clear the corresponding bit in
2280 * was_error_vf_31_0 register. */
2281 #define PGLUE_B_REG_WAS_ERROR_VF_31_0_CLR 0x9478
2282 /* [R 32] Was_error indication dirty bits for VFs 32 to 63. Each bit
2283 * indicates that there was a completion with uncorrectable error for the
2284 * corresponding VF. Set by PXP. Reset by MCP writing 1 to
2285 * was_error_vf_63_32_clr. */
2286 #define PGLUE_B_REG_WAS_ERROR_VF_63_32 0x9070
2287 /* [W 32] Was_error indication dirty bits clear for VFs 32 to 63. MCP writes
2288 * 1 to a bit in this register in order to clear the corresponding bit in
2289 * was_error_vf_63_32 register. */
2290 #define PGLUE_B_REG_WAS_ERROR_VF_63_32_CLR 0x947c
2291 /* [R 32] Was_error indication dirty bits for VFs 64 to 95. Each bit
2292 * indicates that there was a completion with uncorrectable error for the
2293 * corresponding VF. Set by PXP. Reset by MCP writing 1 to
2294 * was_error_vf_95_64_clr. */
2295 #define PGLUE_B_REG_WAS_ERROR_VF_95_64 0x9074
2296 /* [W 32] Was_error indication dirty bits clear for VFs 64 to 95. MCP writes
2297 * 1 to a bit in this register in order to clear the corresponding bit in
2298 * was_error_vf_95_64 register. */
2299 #define PGLUE_B_REG_WAS_ERROR_VF_95_64_CLR 0x9480
2300 /* [RW 1] Type A PF enable inbound interrupt table for XSDM. 0 - disable; 1
2301 * - enable. */
2302 #define PGLUE_B_REG_XSDM_INB_INT_A_PF_ENABLE 0x9188
2303 /* [RW 16] Start offset of XSDM zone A (queue zone) in the internal RAM */
2304 #define PGLUE_B_REG_XSDM_START_OFFSET_A 0x90ec
2305 /* [RW 16] Start offset of XSDM zone B (legacy zone) in the internal RAM */
2306 #define PGLUE_B_REG_XSDM_START_OFFSET_B 0x90f4
2307 /* [RW 5] VF Shift of XSDM zone B (legacy zone) in the internal RAM */
2308 #define PGLUE_B_REG_XSDM_VF_SHIFT_B 0x90fc
2309 /* [RW 1] 0 - Zone A size is 136x32B; 1 - Zone A size is 152x32B. */
2310 #define PGLUE_B_REG_XSDM_ZONE_A_SIZE_PF 0x91a8
2311 #define PRS_REG_A_PRSU_20 0x40134
2312 /* [R 8] debug only: CFC load request current credit. Transaction based. */
2313 #define PRS_REG_CFC_LD_CURRENT_CREDIT 0x40164
2314 /* [R 8] debug only: CFC search request current credit. Transaction based. */
2315 #define PRS_REG_CFC_SEARCH_CURRENT_CREDIT 0x40168
2316 /* [RW 6] The initial credit for the search message to the CFC interface.
2317 Credit is transaction based. */
2318 #define PRS_REG_CFC_SEARCH_INITIAL_CREDIT 0x4011c
2319 /* [RW 24] CID for port 0 if no match */
2320 #define PRS_REG_CID_PORT_0 0x400fc
2321 /* [RW 32] The CM header for flush message where 'load existed' bit in CFC
2322 load response is reset and packet type is 0. Used in packet start message
2323 to TCM. */
2324 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_0 0x400dc
2325 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_1 0x400e0
2326 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_2 0x400e4
2327 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_3 0x400e8
2328 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_4 0x400ec
2329 #define PRS_REG_CM_HDR_FLUSH_LOAD_TYPE_5 0x400f0
2330 /* [RW 32] The CM header for flush message where 'load existed' bit in CFC
2331 load response is set and packet type is 0. Used in packet start message
2332 to TCM. */
2333 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_0 0x400bc
2334 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_1 0x400c0
2335 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_2 0x400c4
2336 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_3 0x400c8
2337 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_4 0x400cc
2338 #define PRS_REG_CM_HDR_FLUSH_NO_LOAD_TYPE_5 0x400d0
2339 /* [RW 32] The CM header for a match and packet type 1 for loopback port.
2340 Used in packet start message to TCM. */
2341 #define PRS_REG_CM_HDR_LOOPBACK_TYPE_1 0x4009c
2342 #define PRS_REG_CM_HDR_LOOPBACK_TYPE_2 0x400a0
2343 #define PRS_REG_CM_HDR_LOOPBACK_TYPE_3 0x400a4
2344 #define PRS_REG_CM_HDR_LOOPBACK_TYPE_4 0x400a8
2345 /* [RW 32] The CM header for a match and packet type 0. Used in packet start
2346 message to TCM. */
2347 #define PRS_REG_CM_HDR_TYPE_0 0x40078
2348 #define PRS_REG_CM_HDR_TYPE_1 0x4007c
2349 #define PRS_REG_CM_HDR_TYPE_2 0x40080
2350 #define PRS_REG_CM_HDR_TYPE_3 0x40084
2351 #define PRS_REG_CM_HDR_TYPE_4 0x40088
2352 /* [RW 32] The CM header in case there was not a match on the connection */
2353 #define PRS_REG_CM_NO_MATCH_HDR 0x400b8
2354 /* [RW 1] Indicates if in e1hov mode. 0=non-e1hov mode; 1=e1hov mode. */
2355 #define PRS_REG_E1HOV_MODE 0x401c8
2356 /* [RW 8] The 8-bit event ID for a match and packet type 1. Used in packet
2357 start message to TCM. */
2358 #define PRS_REG_EVENT_ID_1 0x40054
2359 #define PRS_REG_EVENT_ID_2 0x40058
2360 #define PRS_REG_EVENT_ID_3 0x4005c
2361 /* [RW 16] The Ethernet type value for FCoE */
2362 #define PRS_REG_FCOE_TYPE 0x401d0
2363 /* [RW 8] Context region for flush packet with packet type 0. Used in CFC
2364 load request message. */
2365 #define PRS_REG_FLUSH_REGIONS_TYPE_0 0x40004
2366 #define PRS_REG_FLUSH_REGIONS_TYPE_1 0x40008
2367 #define PRS_REG_FLUSH_REGIONS_TYPE_2 0x4000c
2368 #define PRS_REG_FLUSH_REGIONS_TYPE_3 0x40010
2369 #define PRS_REG_FLUSH_REGIONS_TYPE_4 0x40014
2370 #define PRS_REG_FLUSH_REGIONS_TYPE_5 0x40018
2371 #define PRS_REG_FLUSH_REGIONS_TYPE_6 0x4001c
2372 #define PRS_REG_FLUSH_REGIONS_TYPE_7 0x40020
2373 /* [RW 6] Bit-map indicating which L2 hdrs may appear after the basic
2374 * Ethernet header. */
2375 #define PRS_REG_HDRS_AFTER_BASIC 0x40238
2376 /* [RW 4] The increment value to send in the CFC load request message */
2377 #define PRS_REG_INC_VALUE 0x40048
2378 /* [RW 6] Bit-map indicating which headers must appear in the packet */
2379 #define PRS_REG_MUST_HAVE_HDRS 0x40254
2380 #define PRS_REG_NIC_MODE 0x40138
2381 /* [RW 8] The 8-bit event ID for cases where there is no match on the
2382 connection. Used in packet start message to TCM. */
2383 #define PRS_REG_NO_MATCH_EVENT_ID 0x40070
2384 /* [ST 24] The number of input CFC flush packets */
2385 #define PRS_REG_NUM_OF_CFC_FLUSH_MESSAGES 0x40128
2386 /* [ST 32] The number of cycles the Parser halted its operation since it
2387 could not allocate the next serial number */
2388 #define PRS_REG_NUM_OF_DEAD_CYCLES 0x40130
2389 /* [ST 24] The number of input packets */
2390 #define PRS_REG_NUM_OF_PACKETS 0x40124
2391 /* [ST 24] The number of input transparent flush packets */
2392 #define PRS_REG_NUM_OF_TRANSPARENT_FLUSH_MESSAGES 0x4012c
2393 /* [RW 8] Context region for received Ethernet packet with a match and
2394 packet type 0. Used in CFC load request message */
2395 #define PRS_REG_PACKET_REGIONS_TYPE_0 0x40028
2396 #define PRS_REG_PACKET_REGIONS_TYPE_1 0x4002c
2397 #define PRS_REG_PACKET_REGIONS_TYPE_2 0x40030
2398 #define PRS_REG_PACKET_REGIONS_TYPE_3 0x40034
2399 #define PRS_REG_PACKET_REGIONS_TYPE_4 0x40038
2400 #define PRS_REG_PACKET_REGIONS_TYPE_5 0x4003c
2401 #define PRS_REG_PACKET_REGIONS_TYPE_6 0x40040
2402 #define PRS_REG_PACKET_REGIONS_TYPE_7 0x40044
2403 /* [R 2] debug only: Number of pending requests for CAC on port 0. */
2404 #define PRS_REG_PENDING_BRB_CAC0_RQ 0x40174
2405 /* [R 2] debug only: Number of pending requests for header parsing. */
2406 #define PRS_REG_PENDING_BRB_PRS_RQ 0x40170
2407 /* [R 1] Interrupt register #0 read */
2408 #define PRS_REG_PRS_INT_STS 0x40188
2409 /* [RW 8] Parity mask register #0 read/write */
2410 #define PRS_REG_PRS_PRTY_MASK 0x401a4
2411 /* [R 8] Parity register #0 read */
2412 #define PRS_REG_PRS_PRTY_STS 0x40198
2413 /* [RW 8] Context region for pure acknowledge packets. Used in CFC load
2414 request message */
2415 #define PRS_REG_PURE_REGIONS 0x40024
2416 /* [R 32] debug only: Serial number status lsb 32 bits. '1' indicates this
2417 serail number was released by SDM but cannot be used because a previous
2418 serial number was not released. */
2419 #define PRS_REG_SERIAL_NUM_STATUS_LSB 0x40154
2420 /* [R 32] debug only: Serial number status msb 32 bits. '1' indicates this
2421 serail number was released by SDM but cannot be used because a previous
2422 serial number was not released. */
2423 #define PRS_REG_SERIAL_NUM_STATUS_MSB 0x40158
2424 /* [R 4] debug only: SRC current credit. Transaction based. */
2425 #define PRS_REG_SRC_CURRENT_CREDIT 0x4016c
2426 /* [R 8] debug only: TCM current credit. Cycle based. */
2427 #define PRS_REG_TCM_CURRENT_CREDIT 0x40160
2428 /* [R 8] debug only: TSDM current credit. Transaction based. */
2429 #define PRS_REG_TSDM_CURRENT_CREDIT 0x4015c
2430 #define PXP2_PXP2_INT_MASK_0_REG_PGL_CPL_AFT (0x1<<19)
2431 #define PXP2_PXP2_INT_MASK_0_REG_PGL_CPL_OF (0x1<<20)
2432 #define PXP2_PXP2_INT_MASK_0_REG_PGL_PCIE_ATTN (0x1<<22)
2433 #define PXP2_PXP2_INT_MASK_0_REG_PGL_READ_BLOCKED (0x1<<23)
2434 #define PXP2_PXP2_INT_MASK_0_REG_PGL_WRITE_BLOCKED (0x1<<24)
2435 #define PXP2_PXP2_INT_STS_0_REG_WR_PGLUE_EOP_ERROR (0x1<<7)
2436 #define PXP2_PXP2_INT_STS_CLR_0_REG_WR_PGLUE_EOP_ERROR (0x1<<7)
2437 /* [R 6] Debug only: Number of used entries in the data FIFO */
2438 #define PXP2_REG_HST_DATA_FIFO_STATUS 0x12047c
2439 /* [R 7] Debug only: Number of used entries in the header FIFO */
2440 #define PXP2_REG_HST_HEADER_FIFO_STATUS 0x120478
2441 #define PXP2_REG_PGL_ADDR_88_F0 0x120534
2442 #define PXP2_REG_PGL_ADDR_8C_F0 0x120538
2443 #define PXP2_REG_PGL_ADDR_90_F0 0x12053c
2444 #define PXP2_REG_PGL_ADDR_94_F0 0x120540
2445 #define PXP2_REG_PGL_CONTROL0 0x120490
2446 #define PXP2_REG_PGL_CONTROL1 0x120514
2447 #define PXP2_REG_PGL_DEBUG 0x120520
2448 /* [RW 32] third dword data of expansion rom request. this register is
2449 special. reading from it provides a vector outstanding read requests. if
2450 a bit is zero it means that a read request on the corresponding tag did
2451 not finish yet (not all completions have arrived for it) */
2452 #define PXP2_REG_PGL_EXP_ROM2 0x120808
2453 /* [RW 32] Inbound interrupt table for CSDM: bits[31:16]-mask;
2454 its[15:0]-address */
2455 #define PXP2_REG_PGL_INT_CSDM_0 0x1204f4
2456 #define PXP2_REG_PGL_INT_CSDM_1 0x1204f8
2457 #define PXP2_REG_PGL_INT_CSDM_2 0x1204fc
2458 #define PXP2_REG_PGL_INT_CSDM_3 0x120500
2459 #define PXP2_REG_PGL_INT_CSDM_4 0x120504
2460 #define PXP2_REG_PGL_INT_CSDM_5 0x120508
2461 #define PXP2_REG_PGL_INT_CSDM_6 0x12050c
2462 #define PXP2_REG_PGL_INT_CSDM_7 0x120510
2463 /* [RW 32] Inbound interrupt table for TSDM: bits[31:16]-mask;
2464 its[15:0]-address */
2465 #define PXP2_REG_PGL_INT_TSDM_0 0x120494
2466 #define PXP2_REG_PGL_INT_TSDM_1 0x120498
2467 #define PXP2_REG_PGL_INT_TSDM_2 0x12049c
2468 #define PXP2_REG_PGL_INT_TSDM_3 0x1204a0
2469 #define PXP2_REG_PGL_INT_TSDM_4 0x1204a4
2470 #define PXP2_REG_PGL_INT_TSDM_5 0x1204a8
2471 #define PXP2_REG_PGL_INT_TSDM_6 0x1204ac
2472 #define PXP2_REG_PGL_INT_TSDM_7 0x1204b0
2473 /* [RW 32] Inbound interrupt table for USDM: bits[31:16]-mask;
2474 its[15:0]-address */
2475 #define PXP2_REG_PGL_INT_USDM_0 0x1204b4
2476 #define PXP2_REG_PGL_INT_USDM_1 0x1204b8
2477 #define PXP2_REG_PGL_INT_USDM_2 0x1204bc
2478 #define PXP2_REG_PGL_INT_USDM_3 0x1204c0
2479 #define PXP2_REG_PGL_INT_USDM_4 0x1204c4
2480 #define PXP2_REG_PGL_INT_USDM_5 0x1204c8
2481 #define PXP2_REG_PGL_INT_USDM_6 0x1204cc
2482 #define PXP2_REG_PGL_INT_USDM_7 0x1204d0
2483 /* [RW 32] Inbound interrupt table for XSDM: bits[31:16]-mask;
2484 its[15:0]-address */
2485 #define PXP2_REG_PGL_INT_XSDM_0 0x1204d4
2486 #define PXP2_REG_PGL_INT_XSDM_1 0x1204d8
2487 #define PXP2_REG_PGL_INT_XSDM_2 0x1204dc
2488 #define PXP2_REG_PGL_INT_XSDM_3 0x1204e0
2489 #define PXP2_REG_PGL_INT_XSDM_4 0x1204e4
2490 #define PXP2_REG_PGL_INT_XSDM_5 0x1204e8
2491 #define PXP2_REG_PGL_INT_XSDM_6 0x1204ec
2492 #define PXP2_REG_PGL_INT_XSDM_7 0x1204f0
2493 /* [RW 3] this field allows one function to pretend being another function
2494 when accessing any BAR mapped resource within the device. the value of
2495 the field is the number of the function that will be accessed
2496 effectively. after software write to this bit it must read it in order to
2497 know that the new value is updated */
2498 #define PXP2_REG_PGL_PRETEND_FUNC_F0 0x120674
2499 #define PXP2_REG_PGL_PRETEND_FUNC_F1 0x120678
2500 #define PXP2_REG_PGL_PRETEND_FUNC_F2 0x12067c
2501 #define PXP2_REG_PGL_PRETEND_FUNC_F3 0x120680
2502 #define PXP2_REG_PGL_PRETEND_FUNC_F4 0x120684
2503 #define PXP2_REG_PGL_PRETEND_FUNC_F5 0x120688
2504 #define PXP2_REG_PGL_PRETEND_FUNC_F6 0x12068c
2505 #define PXP2_REG_PGL_PRETEND_FUNC_F7 0x120690
2506 /* [R 1] this bit indicates that a read request was blocked because of
2507 bus_master_en was deasserted */
2508 #define PXP2_REG_PGL_READ_BLOCKED 0x120568
2509 #define PXP2_REG_PGL_TAGS_LIMIT 0x1205a8
2510 /* [R 18] debug only */
2511 #define PXP2_REG_PGL_TXW_CDTS 0x12052c
2512 /* [R 1] this bit indicates that a write request was blocked because of
2513 bus_master_en was deasserted */
2514 #define PXP2_REG_PGL_WRITE_BLOCKED 0x120564
2515 #define PXP2_REG_PSWRQ_BW_ADD1 0x1201c0
2516 #define PXP2_REG_PSWRQ_BW_ADD10 0x1201e4
2517 #define PXP2_REG_PSWRQ_BW_ADD11 0x1201e8
2518 #define PXP2_REG_PSWRQ_BW_ADD2 0x1201c4
2519 #define PXP2_REG_PSWRQ_BW_ADD28 0x120228
2520 #define PXP2_REG_PSWRQ_BW_ADD3 0x1201c8
2521 #define PXP2_REG_PSWRQ_BW_ADD6 0x1201d4
2522 #define PXP2_REG_PSWRQ_BW_ADD7 0x1201d8
2523 #define PXP2_REG_PSWRQ_BW_ADD8 0x1201dc
2524 #define PXP2_REG_PSWRQ_BW_ADD9 0x1201e0
2525 #define PXP2_REG_PSWRQ_BW_CREDIT 0x12032c
2526 #define PXP2_REG_PSWRQ_BW_L1 0x1202b0
2527 #define PXP2_REG_PSWRQ_BW_L10 0x1202d4
2528 #define PXP2_REG_PSWRQ_BW_L11 0x1202d8
2529 #define PXP2_REG_PSWRQ_BW_L2 0x1202b4
2530 #define PXP2_REG_PSWRQ_BW_L28 0x120318
2531 #define PXP2_REG_PSWRQ_BW_L3 0x1202b8
2532 #define PXP2_REG_PSWRQ_BW_L6 0x1202c4
2533 #define PXP2_REG_PSWRQ_BW_L7 0x1202c8
2534 #define PXP2_REG_PSWRQ_BW_L8 0x1202cc
2535 #define PXP2_REG_PSWRQ_BW_L9 0x1202d0
2536 #define PXP2_REG_PSWRQ_BW_RD 0x120324
2537 #define PXP2_REG_PSWRQ_BW_UB1 0x120238
2538 #define PXP2_REG_PSWRQ_BW_UB10 0x12025c
2539 #define PXP2_REG_PSWRQ_BW_UB11 0x120260
2540 #define PXP2_REG_PSWRQ_BW_UB2 0x12023c
2541 #define PXP2_REG_PSWRQ_BW_UB28 0x1202a0
2542 #define PXP2_REG_PSWRQ_BW_UB3 0x120240
2543 #define PXP2_REG_PSWRQ_BW_UB6 0x12024c
2544 #define PXP2_REG_PSWRQ_BW_UB7 0x120250
2545 #define PXP2_REG_PSWRQ_BW_UB8 0x120254
2546 #define PXP2_REG_PSWRQ_BW_UB9 0x120258
2547 #define PXP2_REG_PSWRQ_BW_WR 0x120328
2548 #define PXP2_REG_PSWRQ_CDU0_L2P 0x120000
2549 #define PXP2_REG_PSWRQ_QM0_L2P 0x120038
2550 #define PXP2_REG_PSWRQ_SRC0_L2P 0x120054
2551 #define PXP2_REG_PSWRQ_TM0_L2P 0x12001c
2552 #define PXP2_REG_PSWRQ_TSDM0_L2P 0x1200e0
2553 /* [RW 32] Interrupt mask register #0 read/write */
2554 #define PXP2_REG_PXP2_INT_MASK_0 0x120578
2555 /* [R 32] Interrupt register #0 read */
2556 #define PXP2_REG_PXP2_INT_STS_0 0x12056c
2557 #define PXP2_REG_PXP2_INT_STS_1 0x120608
2558 /* [RC 32] Interrupt register #0 read clear */
2559 #define PXP2_REG_PXP2_INT_STS_CLR_0 0x120570
2560 /* [RW 32] Parity mask register #0 read/write */
2561 #define PXP2_REG_PXP2_PRTY_MASK_0 0x120588
2562 #define PXP2_REG_PXP2_PRTY_MASK_1 0x120598
2563 /* [R 32] Parity register #0 read */
2564 #define PXP2_REG_PXP2_PRTY_STS_0 0x12057c
2565 #define PXP2_REG_PXP2_PRTY_STS_1 0x12058c
2566 /* [R 1] Debug only: The 'almost full' indication from each fifo (gives
2567 indication about backpressure) */
2568 #define PXP2_REG_RD_ALMOST_FULL_0 0x120424
2569 /* [R 8] Debug only: The blocks counter - number of unused block ids */
2570 #define PXP2_REG_RD_BLK_CNT 0x120418
2571 /* [RW 8] Debug only: Total number of available blocks in Tetris Buffer.
2572 Must be bigger than 6. Normally should not be changed. */
2573 #define PXP2_REG_RD_BLK_NUM_CFG 0x12040c
2574 /* [RW 2] CDU byte swapping mode configuration for master read requests */
2575 #define PXP2_REG_RD_CDURD_SWAP_MODE 0x120404
2576 /* [RW 1] When '1'; inputs to the PSWRD block are ignored */
2577 #define PXP2_REG_RD_DISABLE_INPUTS 0x120374
2578 /* [R 1] PSWRD internal memories initialization is done */
2579 #define PXP2_REG_RD_INIT_DONE 0x120370
2580 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2581 allocated for vq10 */
2582 #define PXP2_REG_RD_MAX_BLKS_VQ10 0x1203a0
2583 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2584 allocated for vq11 */
2585 #define PXP2_REG_RD_MAX_BLKS_VQ11 0x1203a4
2586 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2587 allocated for vq17 */
2588 #define PXP2_REG_RD_MAX_BLKS_VQ17 0x1203bc
2589 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2590 allocated for vq18 */
2591 #define PXP2_REG_RD_MAX_BLKS_VQ18 0x1203c0
2592 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2593 allocated for vq19 */
2594 #define PXP2_REG_RD_MAX_BLKS_VQ19 0x1203c4
2595 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2596 allocated for vq22 */
2597 #define PXP2_REG_RD_MAX_BLKS_VQ22 0x1203d0
2598 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2599 allocated for vq25 */
2600 #define PXP2_REG_RD_MAX_BLKS_VQ25 0x1203dc
2601 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2602 allocated for vq6 */
2603 #define PXP2_REG_RD_MAX_BLKS_VQ6 0x120390
2604 /* [RW 8] The maximum number of blocks in Tetris Buffer that can be
2605 allocated for vq9 */
2606 #define PXP2_REG_RD_MAX_BLKS_VQ9 0x12039c
2607 /* [RW 2] PBF byte swapping mode configuration for master read requests */
2608 #define PXP2_REG_RD_PBF_SWAP_MODE 0x1203f4
2609 /* [R 1] Debug only: Indication if delivery ports are idle */
2610 #define PXP2_REG_RD_PORT_IS_IDLE_0 0x12041c
2611 #define PXP2_REG_RD_PORT_IS_IDLE_1 0x120420
2612 /* [RW 2] QM byte swapping mode configuration for master read requests */
2613 #define PXP2_REG_RD_QM_SWAP_MODE 0x1203f8
2614 /* [R 7] Debug only: The SR counter - number of unused sub request ids */
2615 #define PXP2_REG_RD_SR_CNT 0x120414
2616 /* [RW 2] SRC byte swapping mode configuration for master read requests */
2617 #define PXP2_REG_RD_SRC_SWAP_MODE 0x120400
2618 /* [RW 7] Debug only: Total number of available PCI read sub-requests. Must
2619 be bigger than 1. Normally should not be changed. */
2620 #define PXP2_REG_RD_SR_NUM_CFG 0x120408
2621 /* [RW 1] Signals the PSWRD block to start initializing internal memories */
2622 #define PXP2_REG_RD_START_INIT 0x12036c
2623 /* [RW 2] TM byte swapping mode configuration for master read requests */
2624 #define PXP2_REG_RD_TM_SWAP_MODE 0x1203fc
2625 /* [RW 10] Bandwidth addition to VQ0 write requests */
2626 #define PXP2_REG_RQ_BW_RD_ADD0 0x1201bc
2627 /* [RW 10] Bandwidth addition to VQ12 read requests */
2628 #define PXP2_REG_RQ_BW_RD_ADD12 0x1201ec
2629 /* [RW 10] Bandwidth addition to VQ13 read requests */
2630 #define PXP2_REG_RQ_BW_RD_ADD13 0x1201f0
2631 /* [RW 10] Bandwidth addition to VQ14 read requests */
2632 #define PXP2_REG_RQ_BW_RD_ADD14 0x1201f4
2633 /* [RW 10] Bandwidth addition to VQ15 read requests */
2634 #define PXP2_REG_RQ_BW_RD_ADD15 0x1201f8
2635 /* [RW 10] Bandwidth addition to VQ16 read requests */
2636 #define PXP2_REG_RQ_BW_RD_ADD16 0x1201fc
2637 /* [RW 10] Bandwidth addition to VQ17 read requests */
2638 #define PXP2_REG_RQ_BW_RD_ADD17 0x120200
2639 /* [RW 10] Bandwidth addition to VQ18 read requests */
2640 #define PXP2_REG_RQ_BW_RD_ADD18 0x120204
2641 /* [RW 10] Bandwidth addition to VQ19 read requests */
2642 #define PXP2_REG_RQ_BW_RD_ADD19 0x120208
2643 /* [RW 10] Bandwidth addition to VQ20 read requests */
2644 #define PXP2_REG_RQ_BW_RD_ADD20 0x12020c
2645 /* [RW 10] Bandwidth addition to VQ22 read requests */
2646 #define PXP2_REG_RQ_BW_RD_ADD22 0x120210
2647 /* [RW 10] Bandwidth addition to VQ23 read requests */
2648 #define PXP2_REG_RQ_BW_RD_ADD23 0x120214
2649 /* [RW 10] Bandwidth addition to VQ24 read requests */
2650 #define PXP2_REG_RQ_BW_RD_ADD24 0x120218
2651 /* [RW 10] Bandwidth addition to VQ25 read requests */
2652 #define PXP2_REG_RQ_BW_RD_ADD25 0x12021c
2653 /* [RW 10] Bandwidth addition to VQ26 read requests */
2654 #define PXP2_REG_RQ_BW_RD_ADD26 0x120220
2655 /* [RW 10] Bandwidth addition to VQ27 read requests */
2656 #define PXP2_REG_RQ_BW_RD_ADD27 0x120224
2657 /* [RW 10] Bandwidth addition to VQ4 read requests */
2658 #define PXP2_REG_RQ_BW_RD_ADD4 0x1201cc
2659 /* [RW 10] Bandwidth addition to VQ5 read requests */
2660 #define PXP2_REG_RQ_BW_RD_ADD5 0x1201d0
2661 /* [RW 10] Bandwidth Typical L for VQ0 Read requests */
2662 #define PXP2_REG_RQ_BW_RD_L0 0x1202ac
2663 /* [RW 10] Bandwidth Typical L for VQ12 Read requests */
2664 #define PXP2_REG_RQ_BW_RD_L12 0x1202dc
2665 /* [RW 10] Bandwidth Typical L for VQ13 Read requests */
2666 #define PXP2_REG_RQ_BW_RD_L13 0x1202e0
2667 /* [RW 10] Bandwidth Typical L for VQ14 Read requests */
2668 #define PXP2_REG_RQ_BW_RD_L14 0x1202e4
2669 /* [RW 10] Bandwidth Typical L for VQ15 Read requests */
2670 #define PXP2_REG_RQ_BW_RD_L15 0x1202e8
2671 /* [RW 10] Bandwidth Typical L for VQ16 Read requests */
2672 #define PXP2_REG_RQ_BW_RD_L16 0x1202ec
2673 /* [RW 10] Bandwidth Typical L for VQ17 Read requests */
2674 #define PXP2_REG_RQ_BW_RD_L17 0x1202f0
2675 /* [RW 10] Bandwidth Typical L for VQ18 Read requests */
2676 #define PXP2_REG_RQ_BW_RD_L18 0x1202f4
2677 /* [RW 10] Bandwidth Typical L for VQ19 Read requests */
2678 #define PXP2_REG_RQ_BW_RD_L19 0x1202f8
2679 /* [RW 10] Bandwidth Typical L for VQ20 Read requests */
2680 #define PXP2_REG_RQ_BW_RD_L20 0x1202fc
2681 /* [RW 10] Bandwidth Typical L for VQ22 Read requests */
2682 #define PXP2_REG_RQ_BW_RD_L22 0x120300
2683 /* [RW 10] Bandwidth Typical L for VQ23 Read requests */
2684 #define PXP2_REG_RQ_BW_RD_L23 0x120304
2685 /* [RW 10] Bandwidth Typical L for VQ24 Read requests */
2686 #define PXP2_REG_RQ_BW_RD_L24 0x120308
2687 /* [RW 10] Bandwidth Typical L for VQ25 Read requests */
2688 #define PXP2_REG_RQ_BW_RD_L25 0x12030c
2689 /* [RW 10] Bandwidth Typical L for VQ26 Read requests */
2690 #define PXP2_REG_RQ_BW_RD_L26 0x120310
2691 /* [RW 10] Bandwidth Typical L for VQ27 Read requests */
2692 #define PXP2_REG_RQ_BW_RD_L27 0x120314
2693 /* [RW 10] Bandwidth Typical L for VQ4 Read requests */
2694 #define PXP2_REG_RQ_BW_RD_L4 0x1202bc
2695 /* [RW 10] Bandwidth Typical L for VQ5 Read- currently not used */
2696 #define PXP2_REG_RQ_BW_RD_L5 0x1202c0
2697 /* [RW 7] Bandwidth upper bound for VQ0 read requests */
2698 #define PXP2_REG_RQ_BW_RD_UBOUND0 0x120234
2699 /* [RW 7] Bandwidth upper bound for VQ12 read requests */
2700 #define PXP2_REG_RQ_BW_RD_UBOUND12 0x120264
2701 /* [RW 7] Bandwidth upper bound for VQ13 read requests */
2702 #define PXP2_REG_RQ_BW_RD_UBOUND13 0x120268
2703 /* [RW 7] Bandwidth upper bound for VQ14 read requests */
2704 #define PXP2_REG_RQ_BW_RD_UBOUND14 0x12026c
2705 /* [RW 7] Bandwidth upper bound for VQ15 read requests */
2706 #define PXP2_REG_RQ_BW_RD_UBOUND15 0x120270
2707 /* [RW 7] Bandwidth upper bound for VQ16 read requests */
2708 #define PXP2_REG_RQ_BW_RD_UBOUND16 0x120274
2709 /* [RW 7] Bandwidth upper bound for VQ17 read requests */
2710 #define PXP2_REG_RQ_BW_RD_UBOUND17 0x120278
2711 /* [RW 7] Bandwidth upper bound for VQ18 read requests */
2712 #define PXP2_REG_RQ_BW_RD_UBOUND18 0x12027c
2713 /* [RW 7] Bandwidth upper bound for VQ19 read requests */
2714 #define PXP2_REG_RQ_BW_RD_UBOUND19 0x120280
2715 /* [RW 7] Bandwidth upper bound for VQ20 read requests */
2716 #define PXP2_REG_RQ_BW_RD_UBOUND20 0x120284
2717 /* [RW 7] Bandwidth upper bound for VQ22 read requests */
2718 #define PXP2_REG_RQ_BW_RD_UBOUND22 0x120288
2719 /* [RW 7] Bandwidth upper bound for VQ23 read requests */
2720 #define PXP2_REG_RQ_BW_RD_UBOUND23 0x12028c
2721 /* [RW 7] Bandwidth upper bound for VQ24 read requests */
2722 #define PXP2_REG_RQ_BW_RD_UBOUND24 0x120290
2723 /* [RW 7] Bandwidth upper bound for VQ25 read requests */
2724 #define PXP2_REG_RQ_BW_RD_UBOUND25 0x120294
2725 /* [RW 7] Bandwidth upper bound for VQ26 read requests */
2726 #define PXP2_REG_RQ_BW_RD_UBOUND26 0x120298
2727 /* [RW 7] Bandwidth upper bound for VQ27 read requests */
2728 #define PXP2_REG_RQ_BW_RD_UBOUND27 0x12029c
2729 /* [RW 7] Bandwidth upper bound for VQ4 read requests */
2730 #define PXP2_REG_RQ_BW_RD_UBOUND4 0x120244
2731 /* [RW 7] Bandwidth upper bound for VQ5 read requests */
2732 #define PXP2_REG_RQ_BW_RD_UBOUND5 0x120248
2733 /* [RW 10] Bandwidth addition to VQ29 write requests */
2734 #define PXP2_REG_RQ_BW_WR_ADD29 0x12022c
2735 /* [RW 10] Bandwidth addition to VQ30 write requests */
2736 #define PXP2_REG_RQ_BW_WR_ADD30 0x120230
2737 /* [RW 10] Bandwidth Typical L for VQ29 Write requests */
2738 #define PXP2_REG_RQ_BW_WR_L29 0x12031c
2739 /* [RW 10] Bandwidth Typical L for VQ30 Write requests */
2740 #define PXP2_REG_RQ_BW_WR_L30 0x120320
2741 /* [RW 7] Bandwidth upper bound for VQ29 */
2742 #define PXP2_REG_RQ_BW_WR_UBOUND29 0x1202a4
2743 /* [RW 7] Bandwidth upper bound for VQ30 */
2744 #define PXP2_REG_RQ_BW_WR_UBOUND30 0x1202a8
2745 /* [RW 18] external first_mem_addr field in L2P table for CDU module port 0 */
2746 #define PXP2_REG_RQ_CDU0_EFIRST_MEM_ADDR 0x120008
2747 /* [RW 2] Endian mode for cdu */
2748 #define PXP2_REG_RQ_CDU_ENDIAN_M 0x1201a0
2749 #define PXP2_REG_RQ_CDU_FIRST_ILT 0x12061c
2750 #define PXP2_REG_RQ_CDU_LAST_ILT 0x120620
2751 /* [RW 3] page size in L2P table for CDU module; -4k; -8k; -16k; -32k; -64k;
2752 -128k */
2753 #define PXP2_REG_RQ_CDU_P_SIZE 0x120018
2754 /* [R 1] 1' indicates that the requester has finished its internal
2755 configuration */
2756 #define PXP2_REG_RQ_CFG_DONE 0x1201b4
2757 /* [RW 2] Endian mode for debug */
2758 #define PXP2_REG_RQ_DBG_ENDIAN_M 0x1201a4
2759 /* [RW 1] When '1'; requests will enter input buffers but wont get out
2760 towards the glue */
2761 #define PXP2_REG_RQ_DISABLE_INPUTS 0x120330
2762 /* [RW 4] Determines alignment of write SRs when a request is split into
2763 * several SRs. 0 - 8B aligned. 1 - 64B aligned. 2 - 128B aligned. 3 - 256B
2764 * aligned. 4 - 512B aligned. */
2765 #define PXP2_REG_RQ_DRAM_ALIGN 0x1205b0
2766 /* [RW 4] Determines alignment of read SRs when a request is split into
2767 * several SRs. 0 - 8B aligned. 1 - 64B aligned. 2 - 128B aligned. 3 - 256B
2768 * aligned. 4 - 512B aligned. */
2769 #define PXP2_REG_RQ_DRAM_ALIGN_RD 0x12092c
2770 /* [RW 1] when set the new alignment method (E2) will be applied; when reset
2771 * the original alignment method (E1 E1H) will be applied */
2772 #define PXP2_REG_RQ_DRAM_ALIGN_SEL 0x120930
2773 /* [RW 1] If 1 ILT failiue will not result in ELT access; An interrupt will
2774 be asserted */
2775 #define PXP2_REG_RQ_ELT_DISABLE 0x12066c
2776 /* [RW 2] Endian mode for hc */
2777 #define PXP2_REG_RQ_HC_ENDIAN_M 0x1201a8
2778 /* [RW 1] when '0' ILT logic will work as in A0; otherwise B0; for back
2779 compatibility needs; Note that different registers are used per mode */
2780 #define PXP2_REG_RQ_ILT_MODE 0x1205b4
2781 /* [WB 53] Onchip address table */
2782 #define PXP2_REG_RQ_ONCHIP_AT 0x122000
2783 /* [WB 53] Onchip address table - B0 */
2784 #define PXP2_REG_RQ_ONCHIP_AT_B0 0x128000
2785 /* [RW 13] Pending read limiter threshold; in Dwords */
2786 #define PXP2_REG_RQ_PDR_LIMIT 0x12033c
2787 /* [RW 2] Endian mode for qm */
2788 #define PXP2_REG_RQ_QM_ENDIAN_M 0x120194
2789 #define PXP2_REG_RQ_QM_FIRST_ILT 0x120634
2790 #define PXP2_REG_RQ_QM_LAST_ILT 0x120638
2791 /* [RW 3] page size in L2P table for QM module; -4k; -8k; -16k; -32k; -64k;
2792 -128k */
2793 #define PXP2_REG_RQ_QM_P_SIZE 0x120050
2794 /* [RW 1] 1' indicates that the RBC has finished configuring the PSWRQ */
2795 #define PXP2_REG_RQ_RBC_DONE 0x1201b0
2796 /* [RW 3] Max burst size filed for read requests port 0; 000 - 128B;
2797 001:256B; 010: 512B; 11:1K:100:2K; 01:4K */
2798 #define PXP2_REG_RQ_RD_MBS0 0x120160
2799 /* [RW 3] Max burst size filed for read requests port 1; 000 - 128B;
2800 001:256B; 010: 512B; 11:1K:100:2K; 01:4K */
2801 #define PXP2_REG_RQ_RD_MBS1 0x120168
2802 /* [RW 2] Endian mode for src */
2803 #define PXP2_REG_RQ_SRC_ENDIAN_M 0x12019c
2804 #define PXP2_REG_RQ_SRC_FIRST_ILT 0x12063c
2805 #define PXP2_REG_RQ_SRC_LAST_ILT 0x120640
2806 /* [RW 3] page size in L2P table for SRC module; -4k; -8k; -16k; -32k; -64k;
2807 -128k */
2808 #define PXP2_REG_RQ_SRC_P_SIZE 0x12006c
2809 /* [RW 2] Endian mode for tm */
2810 #define PXP2_REG_RQ_TM_ENDIAN_M 0x120198
2811 #define PXP2_REG_RQ_TM_FIRST_ILT 0x120644
2812 #define PXP2_REG_RQ_TM_LAST_ILT 0x120648
2813 /* [RW 3] page size in L2P table for TM module; -4k; -8k; -16k; -32k; -64k;
2814 -128k */
2815 #define PXP2_REG_RQ_TM_P_SIZE 0x120034
2816 /* [R 5] Number of entries in the ufifo; his fifo has l2p completions */
2817 #define PXP2_REG_RQ_UFIFO_NUM_OF_ENTRY 0x12080c
2818 /* [RW 18] external first_mem_addr field in L2P table for USDM module port 0 */
2819 #define PXP2_REG_RQ_USDM0_EFIRST_MEM_ADDR 0x120094
2820 /* [R 8] Number of entries occupied by vq 0 in pswrq memory */
2821 #define PXP2_REG_RQ_VQ0_ENTRY_CNT 0x120810
2822 /* [R 8] Number of entries occupied by vq 10 in pswrq memory */
2823 #define PXP2_REG_RQ_VQ10_ENTRY_CNT 0x120818
2824 /* [R 8] Number of entries occupied by vq 11 in pswrq memory */
2825 #define PXP2_REG_RQ_VQ11_ENTRY_CNT 0x120820
2826 /* [R 8] Number of entries occupied by vq 12 in pswrq memory */
2827 #define PXP2_REG_RQ_VQ12_ENTRY_CNT 0x120828
2828 /* [R 8] Number of entries occupied by vq 13 in pswrq memory */
2829 #define PXP2_REG_RQ_VQ13_ENTRY_CNT 0x120830
2830 /* [R 8] Number of entries occupied by vq 14 in pswrq memory */
2831 #define PXP2_REG_RQ_VQ14_ENTRY_CNT 0x120838
2832 /* [R 8] Number of entries occupied by vq 15 in pswrq memory */
2833 #define PXP2_REG_RQ_VQ15_ENTRY_CNT 0x120840
2834 /* [R 8] Number of entries occupied by vq 16 in pswrq memory */
2835 #define PXP2_REG_RQ_VQ16_ENTRY_CNT 0x120848
2836 /* [R 8] Number of entries occupied by vq 17 in pswrq memory */
2837 #define PXP2_REG_RQ_VQ17_ENTRY_CNT 0x120850
2838 /* [R 8] Number of entries occupied by vq 18 in pswrq memory */
2839 #define PXP2_REG_RQ_VQ18_ENTRY_CNT 0x120858
2840 /* [R 8] Number of entries occupied by vq 19 in pswrq memory */
2841 #define PXP2_REG_RQ_VQ19_ENTRY_CNT 0x120860
2842 /* [R 8] Number of entries occupied by vq 1 in pswrq memory */
2843 #define PXP2_REG_RQ_VQ1_ENTRY_CNT 0x120868
2844 /* [R 8] Number of entries occupied by vq 20 in pswrq memory */
2845 #define PXP2_REG_RQ_VQ20_ENTRY_CNT 0x120870
2846 /* [R 8] Number of entries occupied by vq 21 in pswrq memory */
2847 #define PXP2_REG_RQ_VQ21_ENTRY_CNT 0x120878
2848 /* [R 8] Number of entries occupied by vq 22 in pswrq memory */
2849 #define PXP2_REG_RQ_VQ22_ENTRY_CNT 0x120880
2850 /* [R 8] Number of entries occupied by vq 23 in pswrq memory */
2851 #define PXP2_REG_RQ_VQ23_ENTRY_CNT 0x120888
2852 /* [R 8] Number of entries occupied by vq 24 in pswrq memory */
2853 #define PXP2_REG_RQ_VQ24_ENTRY_CNT 0x120890
2854 /* [R 8] Number of entries occupied by vq 25 in pswrq memory */
2855 #define PXP2_REG_RQ_VQ25_ENTRY_CNT 0x120898
2856 /* [R 8] Number of entries occupied by vq 26 in pswrq memory */
2857 #define PXP2_REG_RQ_VQ26_ENTRY_CNT 0x1208a0
2858 /* [R 8] Number of entries occupied by vq 27 in pswrq memory */
2859 #define PXP2_REG_RQ_VQ27_ENTRY_CNT 0x1208a8
2860 /* [R 8] Number of entries occupied by vq 28 in pswrq memory */
2861 #define PXP2_REG_RQ_VQ28_ENTRY_CNT 0x1208b0
2862 /* [R 8] Number of entries occupied by vq 29 in pswrq memory */
2863 #define PXP2_REG_RQ_VQ29_ENTRY_CNT 0x1208b8
2864 /* [R 8] Number of entries occupied by vq 2 in pswrq memory */
2865 #define PXP2_REG_RQ_VQ2_ENTRY_CNT 0x1208c0
2866 /* [R 8] Number of entries occupied by vq 30 in pswrq memory */
2867 #define PXP2_REG_RQ_VQ30_ENTRY_CNT 0x1208c8
2868 /* [R 8] Number of entries occupied by vq 31 in pswrq memory */
2869 #define PXP2_REG_RQ_VQ31_ENTRY_CNT 0x1208d0
2870 /* [R 8] Number of entries occupied by vq 3 in pswrq memory */
2871 #define PXP2_REG_RQ_VQ3_ENTRY_CNT 0x1208d8
2872 /* [R 8] Number of entries occupied by vq 4 in pswrq memory */
2873 #define PXP2_REG_RQ_VQ4_ENTRY_CNT 0x1208e0
2874 /* [R 8] Number of entries occupied by vq 5 in pswrq memory */
2875 #define PXP2_REG_RQ_VQ5_ENTRY_CNT 0x1208e8
2876 /* [R 8] Number of entries occupied by vq 6 in pswrq memory */
2877 #define PXP2_REG_RQ_VQ6_ENTRY_CNT 0x1208f0
2878 /* [R 8] Number of entries occupied by vq 7 in pswrq memory */
2879 #define PXP2_REG_RQ_VQ7_ENTRY_CNT 0x1208f8
2880 /* [R 8] Number of entries occupied by vq 8 in pswrq memory */
2881 #define PXP2_REG_RQ_VQ8_ENTRY_CNT 0x120900
2882 /* [R 8] Number of entries occupied by vq 9 in pswrq memory */
2883 #define PXP2_REG_RQ_VQ9_ENTRY_CNT 0x120908
2884 /* [RW 3] Max burst size filed for write requests port 0; 000 - 128B;
2885 001:256B; 010: 512B; */
2886 #define PXP2_REG_RQ_WR_MBS0 0x12015c
2887 /* [RW 3] Max burst size filed for write requests port 1; 000 - 128B;
2888 001:256B; 010: 512B; */
2889 #define PXP2_REG_RQ_WR_MBS1 0x120164
2890 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2891 buffer reaches this number has_payload will be asserted */
2892 #define PXP2_REG_WR_CDU_MPS 0x1205f0
2893 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2894 buffer reaches this number has_payload will be asserted */
2895 #define PXP2_REG_WR_CSDM_MPS 0x1205d0
2896 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2897 buffer reaches this number has_payload will be asserted */
2898 #define PXP2_REG_WR_DBG_MPS 0x1205e8
2899 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2900 buffer reaches this number has_payload will be asserted */
2901 #define PXP2_REG_WR_DMAE_MPS 0x1205ec
2902 /* [RW 10] if Number of entries in dmae fifo will be higher than this
2903 threshold then has_payload indication will be asserted; the default value
2904 should be equal to &gt; write MBS size! */
2905 #define PXP2_REG_WR_DMAE_TH 0x120368
2906 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2907 buffer reaches this number has_payload will be asserted */
2908 #define PXP2_REG_WR_HC_MPS 0x1205c8
2909 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2910 buffer reaches this number has_payload will be asserted */
2911 #define PXP2_REG_WR_QM_MPS 0x1205dc
2912 /* [RW 1] 0 - working in A0 mode; - working in B0 mode */
2913 #define PXP2_REG_WR_REV_MODE 0x120670
2914 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2915 buffer reaches this number has_payload will be asserted */
2916 #define PXP2_REG_WR_SRC_MPS 0x1205e4
2917 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2918 buffer reaches this number has_payload will be asserted */
2919 #define PXP2_REG_WR_TM_MPS 0x1205e0
2920 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2921 buffer reaches this number has_payload will be asserted */
2922 #define PXP2_REG_WR_TSDM_MPS 0x1205d4
2923 /* [RW 10] if Number of entries in usdmdp fifo will be higher than this
2924 threshold then has_payload indication will be asserted; the default value
2925 should be equal to &gt; write MBS size! */
2926 #define PXP2_REG_WR_USDMDP_TH 0x120348
2927 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2928 buffer reaches this number has_payload will be asserted */
2929 #define PXP2_REG_WR_USDM_MPS 0x1205cc
2930 /* [RW 2] 0 - 128B; - 256B; - 512B; - 1024B; when the payload in the
2931 buffer reaches this number has_payload will be asserted */
2932 #define PXP2_REG_WR_XSDM_MPS 0x1205d8
2933 /* [R 1] debug only: Indication if PSWHST arbiter is idle */
2934 #define PXP_REG_HST_ARB_IS_IDLE 0x103004
2935 /* [R 8] debug only: A bit mask for all PSWHST arbiter clients. '1' means
2936 this client is waiting for the arbiter. */
2937 #define PXP_REG_HST_CLIENTS_WAITING_TO_ARB 0x103008
2938 /* [RW 1] When 1; doorbells are discarded and not passed to doorbell queue
2939 block. Should be used for close the gates. */
2940 #define PXP_REG_HST_DISCARD_DOORBELLS 0x1030a4
2941 /* [R 1] debug only: '1' means this PSWHST is discarding doorbells. This bit
2942 should update accoring to 'hst_discard_doorbells' register when the state
2943 machine is idle */
2944 #define PXP_REG_HST_DISCARD_DOORBELLS_STATUS 0x1030a0
2945 /* [RW 1] When 1; new internal writes arriving to the block are discarded.
2946 Should be used for close the gates. */
2947 #define PXP_REG_HST_DISCARD_INTERNAL_WRITES 0x1030a8
2948 /* [R 6] debug only: A bit mask for all PSWHST internal write clients. '1'
2949 means this PSWHST is discarding inputs from this client. Each bit should
2950 update accoring to 'hst_discard_internal_writes' register when the state
2951 machine is idle. */
2952 #define PXP_REG_HST_DISCARD_INTERNAL_WRITES_STATUS 0x10309c
2953 /* [WB 160] Used for initialization of the inbound interrupts memory */
2954 #define PXP_REG_HST_INBOUND_INT 0x103800
2955 /* [RW 32] Interrupt mask register #0 read/write */
2956 #define PXP_REG_PXP_INT_MASK_0 0x103074
2957 #define PXP_REG_PXP_INT_MASK_1 0x103084
2958 /* [R 32] Interrupt register #0 read */
2959 #define PXP_REG_PXP_INT_STS_0 0x103068
2960 #define PXP_REG_PXP_INT_STS_1 0x103078
2961 /* [RC 32] Interrupt register #0 read clear */
2962 #define PXP_REG_PXP_INT_STS_CLR_0 0x10306c
2963 #define PXP_REG_PXP_INT_STS_CLR_1 0x10307c
2964 /* [RW 27] Parity mask register #0 read/write */
2965 #define PXP_REG_PXP_PRTY_MASK 0x103094
2966 /* [R 26] Parity register #0 read */
2967 #define PXP_REG_PXP_PRTY_STS 0x103088
2968 /* [RW 4] The activity counter initial increment value sent in the load
2969 request */
2970 #define QM_REG_ACTCTRINITVAL_0 0x168040
2971 #define QM_REG_ACTCTRINITVAL_1 0x168044
2972 #define QM_REG_ACTCTRINITVAL_2 0x168048
2973 #define QM_REG_ACTCTRINITVAL_3 0x16804c
2974 /* [RW 32] The base logical address (in bytes) of each physical queue. The
2975 index I represents the physical queue number. The 12 lsbs are ignore and
2976 considered zero so practically there are only 20 bits in this register;
2977 queues 63-0 */
2978 #define QM_REG_BASEADDR 0x168900
2979 /* [RW 32] The base logical address (in bytes) of each physical queue. The
2980 index I represents the physical queue number. The 12 lsbs are ignore and
2981 considered zero so practically there are only 20 bits in this register;
2982 queues 127-64 */
2983 #define QM_REG_BASEADDR_EXT_A 0x16e100
2984 /* [RW 16] The byte credit cost for each task. This value is for both ports */
2985 #define QM_REG_BYTECRDCOST 0x168234
2986 /* [RW 16] The initial byte credit value for both ports. */
2987 #define QM_REG_BYTECRDINITVAL 0x168238
2988 /* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2989 queue uses port 0 else it uses port 1; queues 31-0 */
2990 #define QM_REG_BYTECRDPORT_LSB 0x168228
2991 /* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2992 queue uses port 0 else it uses port 1; queues 95-64 */
2993 #define QM_REG_BYTECRDPORT_LSB_EXT_A 0x16e520
2994 /* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2995 queue uses port 0 else it uses port 1; queues 63-32 */
2996 #define QM_REG_BYTECRDPORT_MSB 0x168224
2997 /* [RW 32] A bit per physical queue. If the bit is cleared then the physical
2998 queue uses port 0 else it uses port 1; queues 127-96 */
2999 #define QM_REG_BYTECRDPORT_MSB_EXT_A 0x16e51c
3000 /* [RW 16] The byte credit value that if above the QM is considered almost
3001 full */
3002 #define QM_REG_BYTECREDITAFULLTHR 0x168094
3003 /* [RW 4] The initial credit for interface */
3004 #define QM_REG_CMINITCRD_0 0x1680cc
3005 #define QM_REG_CMINITCRD_1 0x1680d0
3006 #define QM_REG_CMINITCRD_2 0x1680d4
3007 #define QM_REG_CMINITCRD_3 0x1680d8
3008 #define QM_REG_CMINITCRD_4 0x1680dc
3009 #define QM_REG_CMINITCRD_5 0x1680e0
3010 #define QM_REG_CMINITCRD_6 0x1680e4
3011 #define QM_REG_CMINITCRD_7 0x1680e8
3012 /* [RW 8] A mask bit per CM interface. If this bit is 0 then this interface
3013 is masked */
3014 #define QM_REG_CMINTEN 0x1680ec
3015 /* [RW 12] A bit vector which indicates which one of the queues are tied to
3016 interface 0 */
3017 #define QM_REG_CMINTVOQMASK_0 0x1681f4
3018 #define QM_REG_CMINTVOQMASK_1 0x1681f8
3019 #define QM_REG_CMINTVOQMASK_2 0x1681fc
3020 #define QM_REG_CMINTVOQMASK_3 0x168200
3021 #define QM_REG_CMINTVOQMASK_4 0x168204
3022 #define QM_REG_CMINTVOQMASK_5 0x168208
3023 #define QM_REG_CMINTVOQMASK_6 0x16820c
3024 #define QM_REG_CMINTVOQMASK_7 0x168210
3025 /* [RW 20] The number of connections divided by 16 which dictates the size
3026 of each queue which belongs to even function number. */
3027 #define QM_REG_CONNNUM_0 0x168020
3028 /* [R 6] Keep the fill level of the fifo from write client 4 */
3029 #define QM_REG_CQM_WRC_FIFOLVL 0x168018
3030 /* [RW 8] The context regions sent in the CFC load request */
3031 #define QM_REG_CTXREG_0 0x168030
3032 #define QM_REG_CTXREG_1 0x168034
3033 #define QM_REG_CTXREG_2 0x168038
3034 #define QM_REG_CTXREG_3 0x16803c
3035 /* [RW 12] The VOQ mask used to select the VOQs which needs to be full for
3036 bypass enable */
3037 #define QM_REG_ENBYPVOQMASK 0x16823c
3038 /* [RW 32] A bit mask per each physical queue. If a bit is set then the
3039 physical queue uses the byte credit; queues 31-0 */
3040 #define QM_REG_ENBYTECRD_LSB 0x168220
3041 /* [RW 32] A bit mask per each physical queue. If a bit is set then the
3042 physical queue uses the byte credit; queues 95-64 */
3043 #define QM_REG_ENBYTECRD_LSB_EXT_A 0x16e518
3044 /* [RW 32] A bit mask per each physical queue. If a bit is set then the
3045 physical queue uses the byte credit; queues 63-32 */
3046 #define QM_REG_ENBYTECRD_MSB 0x16821c
3047 /* [RW 32] A bit mask per each physical queue. If a bit is set then the
3048 physical queue uses the byte credit; queues 127-96 */
3049 #define QM_REG_ENBYTECRD_MSB_EXT_A 0x16e514
3050 /* [RW 4] If cleared then the secondary interface will not be served by the
3051 RR arbiter */
3052 #define QM_REG_ENSEC 0x1680f0
3053 /* [RW 32] NA */
3054 #define QM_REG_FUNCNUMSEL_LSB 0x168230
3055 /* [RW 32] NA */
3056 #define QM_REG_FUNCNUMSEL_MSB 0x16822c
3057 /* [RW 32] A mask register to mask the Almost empty signals which will not
3058 be use for the almost empty indication to the HW block; queues 31:0 */
3059 #define QM_REG_HWAEMPTYMASK_LSB 0x168218
3060 /* [RW 32] A mask register to mask the Almost empty signals which will not
3061 be use for the almost empty indication to the HW block; queues 95-64 */
3062 #define QM_REG_HWAEMPTYMASK_LSB_EXT_A 0x16e510
3063 /* [RW 32] A mask register to mask the Almost empty signals which will not
3064 be use for the almost empty indication to the HW block; queues 63:32 */
3065 #define QM_REG_HWAEMPTYMASK_MSB 0x168214
3066 /* [RW 32] A mask register to mask the Almost empty signals which will not
3067 be use for the almost empty indication to the HW block; queues 127-96 */
3068 #define QM_REG_HWAEMPTYMASK_MSB_EXT_A 0x16e50c
3069 /* [RW 4] The number of outstanding request to CFC */
3070 #define QM_REG_OUTLDREQ 0x168804
3071 /* [RC 1] A flag to indicate that overflow error occurred in one of the
3072 queues. */
3073 #define QM_REG_OVFERROR 0x16805c
3074 /* [RC 7] the Q where the overflow occurs */
3075 #define QM_REG_OVFQNUM 0x168058
3076 /* [R 16] Pause state for physical queues 15-0 */
3077 #define QM_REG_PAUSESTATE0 0x168410
3078 /* [R 16] Pause state for physical queues 31-16 */
3079 #define QM_REG_PAUSESTATE1 0x168414
3080 /* [R 16] Pause state for physical queues 47-32 */
3081 #define QM_REG_PAUSESTATE2 0x16e684
3082 /* [R 16] Pause state for physical queues 63-48 */
3083 #define QM_REG_PAUSESTATE3 0x16e688
3084 /* [R 16] Pause state for physical queues 79-64 */
3085 #define QM_REG_PAUSESTATE4 0x16e68c
3086 /* [R 16] Pause state for physical queues 95-80 */
3087 #define QM_REG_PAUSESTATE5 0x16e690
3088 /* [R 16] Pause state for physical queues 111-96 */
3089 #define QM_REG_PAUSESTATE6 0x16e694
3090 /* [R 16] Pause state for physical queues 127-112 */
3091 #define QM_REG_PAUSESTATE7 0x16e698
3092 /* [RW 2] The PCI attributes field used in the PCI request. */
3093 #define QM_REG_PCIREQAT 0x168054
3094 #define QM_REG_PF_EN 0x16e70c
3095 /* [R 16] The byte credit of port 0 */
3096 #define QM_REG_PORT0BYTECRD 0x168300
3097 /* [R 16] The byte credit of port 1 */
3098 #define QM_REG_PORT1BYTECRD 0x168304
3099 /* [RW 3] pci function number of queues 15-0 */
3100 #define QM_REG_PQ2PCIFUNC_0 0x16e6bc
3101 #define QM_REG_PQ2PCIFUNC_1 0x16e6c0
3102 #define QM_REG_PQ2PCIFUNC_2 0x16e6c4
3103 #define QM_REG_PQ2PCIFUNC_3 0x16e6c8
3104 #define QM_REG_PQ2PCIFUNC_4 0x16e6cc
3105 #define QM_REG_PQ2PCIFUNC_5 0x16e6d0
3106 #define QM_REG_PQ2PCIFUNC_6 0x16e6d4
3107 #define QM_REG_PQ2PCIFUNC_7 0x16e6d8
3108 /* [WB 54] Pointer Table Memory for queues 63-0; The mapping is as follow:
3109 ptrtbl[53:30] read pointer; ptrtbl[29:6] write pointer; ptrtbl[5:4] read
3110 bank0; ptrtbl[3:2] read bank 1; ptrtbl[1:0] write bank; */
3111 #define QM_REG_PTRTBL 0x168a00
3112 /* [WB 54] Pointer Table Memory for queues 127-64; The mapping is as follow:
3113 ptrtbl[53:30] read pointer; ptrtbl[29:6] write pointer; ptrtbl[5:4] read
3114 bank0; ptrtbl[3:2] read bank 1; ptrtbl[1:0] write bank; */
3115 #define QM_REG_PTRTBL_EXT_A 0x16e200
3116 /* [RW 2] Interrupt mask register #0 read/write */
3117 #define QM_REG_QM_INT_MASK 0x168444
3118 /* [R 2] Interrupt register #0 read */
3119 #define QM_REG_QM_INT_STS 0x168438
3120 /* [RW 12] Parity mask register #0 read/write */
3121 #define QM_REG_QM_PRTY_MASK 0x168454
3122 /* [R 12] Parity register #0 read */
3123 #define QM_REG_QM_PRTY_STS 0x168448
3124 /* [R 32] Current queues in pipeline: Queues from 32 to 63 */
3125 #define QM_REG_QSTATUS_HIGH 0x16802c
3126 /* [R 32] Current queues in pipeline: Queues from 96 to 127 */
3127 #define QM_REG_QSTATUS_HIGH_EXT_A 0x16e408
3128 /* [R 32] Current queues in pipeline: Queues from 0 to 31 */
3129 #define QM_REG_QSTATUS_LOW 0x168028
3130 /* [R 32] Current queues in pipeline: Queues from 64 to 95 */
3131 #define QM_REG_QSTATUS_LOW_EXT_A 0x16e404
3132 /* [R 24] The number of tasks queued for each queue; queues 63-0 */
3133 #define QM_REG_QTASKCTR_0 0x168308
3134 /* [R 24] The number of tasks queued for each queue; queues 127-64 */
3135 #define QM_REG_QTASKCTR_EXT_A_0 0x16e584
3136 /* [RW 4] Queue tied to VOQ */
3137 #define QM_REG_QVOQIDX_0 0x1680f4
3138 #define QM_REG_QVOQIDX_10 0x16811c
3139 #define QM_REG_QVOQIDX_100 0x16e49c
3140 #define QM_REG_QVOQIDX_101 0x16e4a0
3141 #define QM_REG_QVOQIDX_102 0x16e4a4
3142 #define QM_REG_QVOQIDX_103 0x16e4a8
3143 #define QM_REG_QVOQIDX_104 0x16e4ac
3144 #define QM_REG_QVOQIDX_105 0x16e4b0
3145 #define QM_REG_QVOQIDX_106 0x16e4b4
3146 #define QM_REG_QVOQIDX_107 0x16e4b8
3147 #define QM_REG_QVOQIDX_108 0x16e4bc
3148 #define QM_REG_QVOQIDX_109 0x16e4c0
3149 #define QM_REG_QVOQIDX_11 0x168120
3150 #define QM_REG_QVOQIDX_110 0x16e4c4
3151 #define QM_REG_QVOQIDX_111 0x16e4c8
3152 #define QM_REG_QVOQIDX_112 0x16e4cc
3153 #define QM_REG_QVOQIDX_113 0x16e4d0
3154 #define QM_REG_QVOQIDX_114 0x16e4d4
3155 #define QM_REG_QVOQIDX_115 0x16e4d8
3156 #define QM_REG_QVOQIDX_116 0x16e4dc
3157 #define QM_REG_QVOQIDX_117 0x16e4e0
3158 #define QM_REG_QVOQIDX_118 0x16e4e4
3159 #define QM_REG_QVOQIDX_119 0x16e4e8
3160 #define QM_REG_QVOQIDX_12 0x168124
3161 #define QM_REG_QVOQIDX_120 0x16e4ec
3162 #define QM_REG_QVOQIDX_121 0x16e4f0
3163 #define QM_REG_QVOQIDX_122 0x16e4f4
3164 #define QM_REG_QVOQIDX_123 0x16e4f8
3165 #define QM_REG_QVOQIDX_124 0x16e4fc
3166 #define QM_REG_QVOQIDX_125 0x16e500
3167 #define QM_REG_QVOQIDX_126 0x16e504
3168 #define QM_REG_QVOQIDX_127 0x16e508
3169 #define QM_REG_QVOQIDX_13 0x168128
3170 #define QM_REG_QVOQIDX_14 0x16812c
3171 #define QM_REG_QVOQIDX_15 0x168130
3172 #define QM_REG_QVOQIDX_16 0x168134
3173 #define QM_REG_QVOQIDX_17 0x168138
3174 #define QM_REG_QVOQIDX_21 0x168148
3175 #define QM_REG_QVOQIDX_22 0x16814c
3176 #define QM_REG_QVOQIDX_23 0x168150
3177 #define QM_REG_QVOQIDX_24 0x168154
3178 #define QM_REG_QVOQIDX_25 0x168158
3179 #define QM_REG_QVOQIDX_26 0x16815c
3180 #define QM_REG_QVOQIDX_27 0x168160
3181 #define QM_REG_QVOQIDX_28 0x168164
3182 #define QM_REG_QVOQIDX_29 0x168168
3183 #define QM_REG_QVOQIDX_30 0x16816c
3184 #define QM_REG_QVOQIDX_31 0x168170
3185 #define QM_REG_QVOQIDX_32 0x168174
3186 #define QM_REG_QVOQIDX_33 0x168178
3187 #define QM_REG_QVOQIDX_34 0x16817c
3188 #define QM_REG_QVOQIDX_35 0x168180
3189 #define QM_REG_QVOQIDX_36 0x168184
3190 #define QM_REG_QVOQIDX_37 0x168188
3191 #define QM_REG_QVOQIDX_38 0x16818c
3192 #define QM_REG_QVOQIDX_39 0x168190
3193 #define QM_REG_QVOQIDX_40 0x168194
3194 #define QM_REG_QVOQIDX_41 0x168198
3195 #define QM_REG_QVOQIDX_42 0x16819c
3196 #define QM_REG_QVOQIDX_43 0x1681a0
3197 #define QM_REG_QVOQIDX_44 0x1681a4
3198 #define QM_REG_QVOQIDX_45 0x1681a8
3199 #define QM_REG_QVOQIDX_46 0x1681ac
3200 #define QM_REG_QVOQIDX_47 0x1681b0
3201 #define QM_REG_QVOQIDX_48 0x1681b4
3202 #define QM_REG_QVOQIDX_49 0x1681b8
3203 #define QM_REG_QVOQIDX_5 0x168108
3204 #define QM_REG_QVOQIDX_50 0x1681bc
3205 #define QM_REG_QVOQIDX_51 0x1681c0
3206 #define QM_REG_QVOQIDX_52 0x1681c4
3207 #define QM_REG_QVOQIDX_53 0x1681c8
3208 #define QM_REG_QVOQIDX_54 0x1681cc
3209 #define QM_REG_QVOQIDX_55 0x1681d0
3210 #define QM_REG_QVOQIDX_56 0x1681d4
3211 #define QM_REG_QVOQIDX_57 0x1681d8
3212 #define QM_REG_QVOQIDX_58 0x1681dc
3213 #define QM_REG_QVOQIDX_59 0x1681e0
3214 #define QM_REG_QVOQIDX_6 0x16810c
3215 #define QM_REG_QVOQIDX_60 0x1681e4
3216 #define QM_REG_QVOQIDX_61 0x1681e8
3217 #define QM_REG_QVOQIDX_62 0x1681ec
3218 #define QM_REG_QVOQIDX_63 0x1681f0
3219 #define QM_REG_QVOQIDX_64 0x16e40c
3220 #define QM_REG_QVOQIDX_65 0x16e410
3221 #define QM_REG_QVOQIDX_69 0x16e420
3222 #define QM_REG_QVOQIDX_7 0x168110
3223 #define QM_REG_QVOQIDX_70 0x16e424
3224 #define QM_REG_QVOQIDX_71 0x16e428
3225 #define QM_REG_QVOQIDX_72 0x16e42c
3226 #define QM_REG_QVOQIDX_73 0x16e430
3227 #define QM_REG_QVOQIDX_74 0x16e434
3228 #define QM_REG_QVOQIDX_75 0x16e438
3229 #define QM_REG_QVOQIDX_76 0x16e43c
3230 #define QM_REG_QVOQIDX_77 0x16e440
3231 #define QM_REG_QVOQIDX_78 0x16e444
3232 #define QM_REG_QVOQIDX_79 0x16e448
3233 #define QM_REG_QVOQIDX_8 0x168114
3234 #define QM_REG_QVOQIDX_80 0x16e44c
3235 #define QM_REG_QVOQIDX_81 0x16e450
3236 #define QM_REG_QVOQIDX_85 0x16e460
3237 #define QM_REG_QVOQIDX_86 0x16e464
3238 #define QM_REG_QVOQIDX_87 0x16e468
3239 #define QM_REG_QVOQIDX_88 0x16e46c
3240 #define QM_REG_QVOQIDX_89 0x16e470
3241 #define QM_REG_QVOQIDX_9 0x168118
3242 #define QM_REG_QVOQIDX_90 0x16e474
3243 #define QM_REG_QVOQIDX_91 0x16e478
3244 #define QM_REG_QVOQIDX_92 0x16e47c
3245 #define QM_REG_QVOQIDX_93 0x16e480
3246 #define QM_REG_QVOQIDX_94 0x16e484
3247 #define QM_REG_QVOQIDX_95 0x16e488
3248 #define QM_REG_QVOQIDX_96 0x16e48c
3249 #define QM_REG_QVOQIDX_97 0x16e490
3250 #define QM_REG_QVOQIDX_98 0x16e494
3251 #define QM_REG_QVOQIDX_99 0x16e498
3252 /* [RW 1] Initialization bit command */
3253 #define QM_REG_SOFT_RESET 0x168428
3254 /* [RW 8] The credit cost per every task in the QM. A value per each VOQ */
3255 #define QM_REG_TASKCRDCOST_0 0x16809c
3256 #define QM_REG_TASKCRDCOST_1 0x1680a0
3257 #define QM_REG_TASKCRDCOST_2 0x1680a4
3258 #define QM_REG_TASKCRDCOST_4 0x1680ac
3259 #define QM_REG_TASKCRDCOST_5 0x1680b0
3260 /* [R 6] Keep the fill level of the fifo from write client 3 */
3261 #define QM_REG_TQM_WRC_FIFOLVL 0x168010
3262 /* [R 6] Keep the fill level of the fifo from write client 2 */
3263 #define QM_REG_UQM_WRC_FIFOLVL 0x168008
3264 /* [RC 32] Credit update error register */
3265 #define QM_REG_VOQCRDERRREG 0x168408
3266 /* [R 16] The credit value for each VOQ */
3267 #define QM_REG_VOQCREDIT_0 0x1682d0
3268 #define QM_REG_VOQCREDIT_1 0x1682d4
3269 #define QM_REG_VOQCREDIT_4 0x1682e0
3270 /* [RW 16] The credit value that if above the QM is considered almost full */
3271 #define QM_REG_VOQCREDITAFULLTHR 0x168090
3272 /* [RW 16] The init and maximum credit for each VoQ */
3273 #define QM_REG_VOQINITCREDIT_0 0x168060
3274 #define QM_REG_VOQINITCREDIT_1 0x168064
3275 #define QM_REG_VOQINITCREDIT_2 0x168068
3276 #define QM_REG_VOQINITCREDIT_4 0x168070
3277 #define QM_REG_VOQINITCREDIT_5 0x168074
3278 /* [RW 1] The port of which VOQ belongs */
3279 #define QM_REG_VOQPORT_0 0x1682a0
3280 #define QM_REG_VOQPORT_1 0x1682a4
3281 #define QM_REG_VOQPORT_2 0x1682a8
3282 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3283 #define QM_REG_VOQQMASK_0_LSB 0x168240
3284 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3285 #define QM_REG_VOQQMASK_0_LSB_EXT_A 0x16e524
3286 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3287 #define QM_REG_VOQQMASK_0_MSB 0x168244
3288 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3289 #define QM_REG_VOQQMASK_0_MSB_EXT_A 0x16e528
3290 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3291 #define QM_REG_VOQQMASK_10_LSB 0x168290
3292 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3293 #define QM_REG_VOQQMASK_10_LSB_EXT_A 0x16e574
3294 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3295 #define QM_REG_VOQQMASK_10_MSB 0x168294
3296 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3297 #define QM_REG_VOQQMASK_10_MSB_EXT_A 0x16e578
3298 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3299 #define QM_REG_VOQQMASK_11_LSB 0x168298
3300 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3301 #define QM_REG_VOQQMASK_11_LSB_EXT_A 0x16e57c
3302 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3303 #define QM_REG_VOQQMASK_11_MSB 0x16829c
3304 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3305 #define QM_REG_VOQQMASK_11_MSB_EXT_A 0x16e580
3306 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3307 #define QM_REG_VOQQMASK_1_LSB 0x168248
3308 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3309 #define QM_REG_VOQQMASK_1_LSB_EXT_A 0x16e52c
3310 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3311 #define QM_REG_VOQQMASK_1_MSB 0x16824c
3312 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3313 #define QM_REG_VOQQMASK_1_MSB_EXT_A 0x16e530
3314 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3315 #define QM_REG_VOQQMASK_2_LSB 0x168250
3316 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3317 #define QM_REG_VOQQMASK_2_LSB_EXT_A 0x16e534
3318 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3319 #define QM_REG_VOQQMASK_2_MSB 0x168254
3320 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3321 #define QM_REG_VOQQMASK_2_MSB_EXT_A 0x16e538
3322 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3323 #define QM_REG_VOQQMASK_3_LSB 0x168258
3324 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3325 #define QM_REG_VOQQMASK_3_LSB_EXT_A 0x16e53c
3326 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3327 #define QM_REG_VOQQMASK_3_MSB_EXT_A 0x16e540
3328 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3329 #define QM_REG_VOQQMASK_4_LSB 0x168260
3330 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3331 #define QM_REG_VOQQMASK_4_LSB_EXT_A 0x16e544
3332 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3333 #define QM_REG_VOQQMASK_4_MSB 0x168264
3334 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3335 #define QM_REG_VOQQMASK_4_MSB_EXT_A 0x16e548
3336 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3337 #define QM_REG_VOQQMASK_5_LSB 0x168268
3338 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3339 #define QM_REG_VOQQMASK_5_LSB_EXT_A 0x16e54c
3340 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3341 #define QM_REG_VOQQMASK_5_MSB 0x16826c
3342 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3343 #define QM_REG_VOQQMASK_5_MSB_EXT_A 0x16e550
3344 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3345 #define QM_REG_VOQQMASK_6_LSB 0x168270
3346 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3347 #define QM_REG_VOQQMASK_6_LSB_EXT_A 0x16e554
3348 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3349 #define QM_REG_VOQQMASK_6_MSB 0x168274
3350 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3351 #define QM_REG_VOQQMASK_6_MSB_EXT_A 0x16e558
3352 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3353 #define QM_REG_VOQQMASK_7_LSB 0x168278
3354 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3355 #define QM_REG_VOQQMASK_7_LSB_EXT_A 0x16e55c
3356 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3357 #define QM_REG_VOQQMASK_7_MSB 0x16827c
3358 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3359 #define QM_REG_VOQQMASK_7_MSB_EXT_A 0x16e560
3360 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3361 #define QM_REG_VOQQMASK_8_LSB 0x168280
3362 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3363 #define QM_REG_VOQQMASK_8_LSB_EXT_A 0x16e564
3364 /* [RW 32] The physical queue number associated with each VOQ; queues 63-32 */
3365 #define QM_REG_VOQQMASK_8_MSB 0x168284
3366 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3367 #define QM_REG_VOQQMASK_8_MSB_EXT_A 0x16e568
3368 /* [RW 32] The physical queue number associated with each VOQ; queues 31-0 */
3369 #define QM_REG_VOQQMASK_9_LSB 0x168288
3370 /* [RW 32] The physical queue number associated with each VOQ; queues 95-64 */
3371 #define QM_REG_VOQQMASK_9_LSB_EXT_A 0x16e56c
3372 /* [RW 32] The physical queue number associated with each VOQ; queues 127-96 */
3373 #define QM_REG_VOQQMASK_9_MSB_EXT_A 0x16e570
3374 /* [RW 32] Wrr weights */
3375 #define QM_REG_WRRWEIGHTS_0 0x16880c
3376 #define QM_REG_WRRWEIGHTS_1 0x168810
3377 #define QM_REG_WRRWEIGHTS_10 0x168814
3378 #define QM_REG_WRRWEIGHTS_11 0x168818
3379 #define QM_REG_WRRWEIGHTS_12 0x16881c
3380 #define QM_REG_WRRWEIGHTS_13 0x168820
3381 #define QM_REG_WRRWEIGHTS_14 0x168824
3382 #define QM_REG_WRRWEIGHTS_15 0x168828
3383 #define QM_REG_WRRWEIGHTS_16 0x16e000
3384 #define QM_REG_WRRWEIGHTS_17 0x16e004
3385 #define QM_REG_WRRWEIGHTS_18 0x16e008
3386 #define QM_REG_WRRWEIGHTS_19 0x16e00c
3387 #define QM_REG_WRRWEIGHTS_2 0x16882c
3388 #define QM_REG_WRRWEIGHTS_20 0x16e010
3389 #define QM_REG_WRRWEIGHTS_21 0x16e014
3390 #define QM_REG_WRRWEIGHTS_22 0x16e018
3391 #define QM_REG_WRRWEIGHTS_23 0x16e01c
3392 #define QM_REG_WRRWEIGHTS_24 0x16e020
3393 #define QM_REG_WRRWEIGHTS_25 0x16e024
3394 #define QM_REG_WRRWEIGHTS_26 0x16e028
3395 #define QM_REG_WRRWEIGHTS_27 0x16e02c
3396 #define QM_REG_WRRWEIGHTS_28 0x16e030
3397 #define QM_REG_WRRWEIGHTS_29 0x16e034
3398 #define QM_REG_WRRWEIGHTS_3 0x168830
3399 #define QM_REG_WRRWEIGHTS_30 0x16e038
3400 #define QM_REG_WRRWEIGHTS_31 0x16e03c
3401 #define QM_REG_WRRWEIGHTS_4 0x168834
3402 #define QM_REG_WRRWEIGHTS_5 0x168838
3403 #define QM_REG_WRRWEIGHTS_6 0x16883c
3404 #define QM_REG_WRRWEIGHTS_7 0x168840
3405 #define QM_REG_WRRWEIGHTS_8 0x168844
3406 #define QM_REG_WRRWEIGHTS_9 0x168848
3407 /* [R 6] Keep the fill level of the fifo from write client 1 */
3408 #define QM_REG_XQM_WRC_FIFOLVL 0x168000
3409 #define SRC_REG_COUNTFREE0 0x40500
3410 /* [RW 1] If clr the searcher is compatible to E1 A0 - support only two
3411 ports. If set the searcher support 8 functions. */
3412 #define SRC_REG_E1HMF_ENABLE 0x404cc
3413 #define SRC_REG_FIRSTFREE0 0x40510
3414 #define SRC_REG_KEYRSS0_0 0x40408
3415 #define SRC_REG_KEYRSS0_7 0x40424
3416 #define SRC_REG_KEYRSS1_9 0x40454
3417 #define SRC_REG_KEYSEARCH_0 0x40458
3418 #define SRC_REG_KEYSEARCH_1 0x4045c
3419 #define SRC_REG_KEYSEARCH_2 0x40460
3420 #define SRC_REG_KEYSEARCH_3 0x40464
3421 #define SRC_REG_KEYSEARCH_4 0x40468
3422 #define SRC_REG_KEYSEARCH_5 0x4046c
3423 #define SRC_REG_KEYSEARCH_6 0x40470
3424 #define SRC_REG_KEYSEARCH_7 0x40474
3425 #define SRC_REG_KEYSEARCH_8 0x40478
3426 #define SRC_REG_KEYSEARCH_9 0x4047c
3427 #define SRC_REG_LASTFREE0 0x40530
3428 #define SRC_REG_NUMBER_HASH_BITS0 0x40400
3429 /* [RW 1] Reset internal state machines. */
3430 #define SRC_REG_SOFT_RST 0x4049c
3431 /* [R 3] Interrupt register #0 read */
3432 #define SRC_REG_SRC_INT_STS 0x404ac
3433 /* [RW 3] Parity mask register #0 read/write */
3434 #define SRC_REG_SRC_PRTY_MASK 0x404c8
3435 /* [R 3] Parity register #0 read */
3436 #define SRC_REG_SRC_PRTY_STS 0x404bc
3437 /* [R 4] Used to read the value of the XX protection CAM occupancy counter. */
3438 #define TCM_REG_CAM_OCCUP 0x5017c
3439 /* [RW 1] CDU AG read Interface enable. If 0 - the request input is
3440 disregarded; valid output is deasserted; all other signals are treated as
3441 usual; if 1 - normal activity. */
3442 #define TCM_REG_CDU_AG_RD_IFEN 0x50034
3443 /* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
3444 are disregarded; all other signals are treated as usual; if 1 - normal
3445 activity. */
3446 #define TCM_REG_CDU_AG_WR_IFEN 0x50030
3447 /* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
3448 disregarded; valid output is deasserted; all other signals are treated as
3449 usual; if 1 - normal activity. */
3450 #define TCM_REG_CDU_SM_RD_IFEN 0x5003c
3451 /* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
3452 input is disregarded; all other signals are treated as usual; if 1 -
3453 normal activity. */
3454 #define TCM_REG_CDU_SM_WR_IFEN 0x50038
3455 /* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
3456 the initial credit value; read returns the current value of the credit
3457 counter. Must be initialized to 1 at start-up. */
3458 #define TCM_REG_CFC_INIT_CRD 0x50204
3459 /* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
3460 weight 8 (the most prioritised); 1 stands for weight 1(least
3461 prioritised); 2 stands for weight 2; tc. */
3462 #define TCM_REG_CP_WEIGHT 0x500c0
3463 /* [RW 1] Input csem Interface enable. If 0 - the valid input is
3464 disregarded; acknowledge output is deasserted; all other signals are
3465 treated as usual; if 1 - normal activity. */
3466 #define TCM_REG_CSEM_IFEN 0x5002c
3467 /* [RC 1] Message length mismatch (relative to last indication) at the In#9
3468 interface. */
3469 #define TCM_REG_CSEM_LENGTH_MIS 0x50174
3470 /* [RW 3] The weight of the input csem in the WRR mechanism. 0 stands for
3471 weight 8 (the most prioritised); 1 stands for weight 1(least
3472 prioritised); 2 stands for weight 2; tc. */
3473 #define TCM_REG_CSEM_WEIGHT 0x500bc
3474 /* [RW 8] The Event ID in case of ErrorFlg is set in the input message. */
3475 #define TCM_REG_ERR_EVNT_ID 0x500a0
3476 /* [RW 28] The CM erroneous header for QM and Timers formatting. */
3477 #define TCM_REG_ERR_TCM_HDR 0x5009c
3478 /* [RW 8] The Event ID for Timers expiration. */
3479 #define TCM_REG_EXPR_EVNT_ID 0x500a4
3480 /* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
3481 writes the initial credit value; read returns the current value of the
3482 credit counter. Must be initialized to 64 at start-up. */
3483 #define TCM_REG_FIC0_INIT_CRD 0x5020c
3484 /* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
3485 writes the initial credit value; read returns the current value of the
3486 credit counter. Must be initialized to 64 at start-up. */
3487 #define TCM_REG_FIC1_INIT_CRD 0x50210
3488 /* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
3489 - strict priority defined by ~tcm_registers_gr_ag_pr.gr_ag_pr;
3490 ~tcm_registers_gr_ld0_pr.gr_ld0_pr and
3491 ~tcm_registers_gr_ld1_pr.gr_ld1_pr. */
3492 #define TCM_REG_GR_ARB_TYPE 0x50114
3493 /* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
3494 highest priority is 3. It is supposed that the Store channel is the
3495 compliment of the other 3 groups. */
3496 #define TCM_REG_GR_LD0_PR 0x5011c
3497 /* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
3498 highest priority is 3. It is supposed that the Store channel is the
3499 compliment of the other 3 groups. */
3500 #define TCM_REG_GR_LD1_PR 0x50120
3501 /* [RW 4] The number of double REG-pairs; loaded from the STORM context and
3502 sent to STORM; for a specific connection type. The double REG-pairs are
3503 used to align to STORM context row size of 128 bits. The offset of these
3504 data in the STORM context is always 0. Index _i stands for the connection
3505 type (one of 16). */
3506 #define TCM_REG_N_SM_CTX_LD_0 0x50050
3507 #define TCM_REG_N_SM_CTX_LD_1 0x50054
3508 #define TCM_REG_N_SM_CTX_LD_2 0x50058
3509 #define TCM_REG_N_SM_CTX_LD_3 0x5005c
3510 #define TCM_REG_N_SM_CTX_LD_4 0x50060
3511 #define TCM_REG_N_SM_CTX_LD_5 0x50064
3512 /* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
3513 acknowledge output is deasserted; all other signals are treated as usual;
3514 if 1 - normal activity. */
3515 #define TCM_REG_PBF_IFEN 0x50024
3516 /* [RC 1] Message length mismatch (relative to last indication) at the In#7
3517 interface. */
3518 #define TCM_REG_PBF_LENGTH_MIS 0x5016c
3519 /* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
3520 weight 8 (the most prioritised); 1 stands for weight 1(least
3521 prioritised); 2 stands for weight 2; tc. */
3522 #define TCM_REG_PBF_WEIGHT 0x500b4
3523 #define TCM_REG_PHYS_QNUM0_0 0x500e0
3524 #define TCM_REG_PHYS_QNUM0_1 0x500e4
3525 #define TCM_REG_PHYS_QNUM1_0 0x500e8
3526 #define TCM_REG_PHYS_QNUM1_1 0x500ec
3527 #define TCM_REG_PHYS_QNUM2_0 0x500f0
3528 #define TCM_REG_PHYS_QNUM2_1 0x500f4
3529 #define TCM_REG_PHYS_QNUM3_0 0x500f8
3530 #define TCM_REG_PHYS_QNUM3_1 0x500fc
3531 /* [RW 1] Input prs Interface enable. If 0 - the valid input is disregarded;
3532 acknowledge output is deasserted; all other signals are treated as usual;
3533 if 1 - normal activity. */
3534 #define TCM_REG_PRS_IFEN 0x50020
3535 /* [RC 1] Message length mismatch (relative to last indication) at the In#6
3536 interface. */
3537 #define TCM_REG_PRS_LENGTH_MIS 0x50168
3538 /* [RW 3] The weight of the input prs in the WRR mechanism. 0 stands for
3539 weight 8 (the most prioritised); 1 stands for weight 1(least
3540 prioritised); 2 stands for weight 2; tc. */
3541 #define TCM_REG_PRS_WEIGHT 0x500b0
3542 /* [RW 8] The Event ID for Timers formatting in case of stop done. */
3543 #define TCM_REG_STOP_EVNT_ID 0x500a8
3544 /* [RC 1] Message length mismatch (relative to last indication) at the STORM
3545 interface. */
3546 #define TCM_REG_STORM_LENGTH_MIS 0x50160
3547 /* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
3548 disregarded; acknowledge output is deasserted; all other signals are
3549 treated as usual; if 1 - normal activity. */
3550 #define TCM_REG_STORM_TCM_IFEN 0x50010
3551 /* [RW 3] The weight of the STORM input in the WRR mechanism. 0 stands for
3552 weight 8 (the most prioritised); 1 stands for weight 1(least
3553 prioritised); 2 stands for weight 2; tc. */
3554 #define TCM_REG_STORM_WEIGHT 0x500ac
3555 /* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
3556 acknowledge output is deasserted; all other signals are treated as usual;
3557 if 1 - normal activity. */
3558 #define TCM_REG_TCM_CFC_IFEN 0x50040
3559 /* [RW 11] Interrupt mask register #0 read/write */
3560 #define TCM_REG_TCM_INT_MASK 0x501dc
3561 /* [R 11] Interrupt register #0 read */
3562 #define TCM_REG_TCM_INT_STS 0x501d0
3563 /* [R 27] Parity register #0 read */
3564 #define TCM_REG_TCM_PRTY_STS 0x501e0
3565 /* [RW 3] The size of AG context region 0 in REG-pairs. Designates the MS
3566 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
3567 Is used to determine the number of the AG context REG-pairs written back;
3568 when the input message Reg1WbFlg isn't set. */
3569 #define TCM_REG_TCM_REG0_SZ 0x500d8
3570 /* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
3571 disregarded; valid is deasserted; all other signals are treated as usual;
3572 if 1 - normal activity. */
3573 #define TCM_REG_TCM_STORM0_IFEN 0x50004
3574 /* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
3575 disregarded; valid is deasserted; all other signals are treated as usual;
3576 if 1 - normal activity. */
3577 #define TCM_REG_TCM_STORM1_IFEN 0x50008
3578 /* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
3579 disregarded; valid is deasserted; all other signals are treated as usual;
3580 if 1 - normal activity. */
3581 #define TCM_REG_TCM_TQM_IFEN 0x5000c
3582 /* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
3583 #define TCM_REG_TCM_TQM_USE_Q 0x500d4
3584 /* [RW 28] The CM header for Timers expiration command. */
3585 #define TCM_REG_TM_TCM_HDR 0x50098
3586 /* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
3587 disregarded; acknowledge output is deasserted; all other signals are
3588 treated as usual; if 1 - normal activity. */
3589 #define TCM_REG_TM_TCM_IFEN 0x5001c
3590 /* [RW 3] The weight of the Timers input in the WRR mechanism. 0 stands for
3591 weight 8 (the most prioritised); 1 stands for weight 1(least
3592 prioritised); 2 stands for weight 2; tc. */
3593 #define TCM_REG_TM_WEIGHT 0x500d0
3594 /* [RW 6] QM output initial credit. Max credit available - 32.Write writes
3595 the initial credit value; read returns the current value of the credit
3596 counter. Must be initialized to 32 at start-up. */
3597 #define TCM_REG_TQM_INIT_CRD 0x5021c
3598 /* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
3599 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
3600 prioritised); 2 stands for weight 2; tc. */
3601 #define TCM_REG_TQM_P_WEIGHT 0x500c8
3602 /* [RW 3] The weight of the QM (secondary) input in the WRR mechanism. 0
3603 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
3604 prioritised); 2 stands for weight 2; tc. */
3605 #define TCM_REG_TQM_S_WEIGHT 0x500cc
3606 /* [RW 28] The CM header value for QM request (primary). */
3607 #define TCM_REG_TQM_TCM_HDR_P 0x50090
3608 /* [RW 28] The CM header value for QM request (secondary). */
3609 #define TCM_REG_TQM_TCM_HDR_S 0x50094
3610 /* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
3611 acknowledge output is deasserted; all other signals are treated as usual;
3612 if 1 - normal activity. */
3613 #define TCM_REG_TQM_TCM_IFEN 0x50014
3614 /* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
3615 acknowledge output is deasserted; all other signals are treated as usual;
3616 if 1 - normal activity. */
3617 #define TCM_REG_TSDM_IFEN 0x50018
3618 /* [RC 1] Message length mismatch (relative to last indication) at the SDM
3619 interface. */
3620 #define TCM_REG_TSDM_LENGTH_MIS 0x50164
3621 /* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
3622 weight 8 (the most prioritised); 1 stands for weight 1(least
3623 prioritised); 2 stands for weight 2; tc. */
3624 #define TCM_REG_TSDM_WEIGHT 0x500c4
3625 /* [RW 1] Input usem Interface enable. If 0 - the valid input is
3626 disregarded; acknowledge output is deasserted; all other signals are
3627 treated as usual; if 1 - normal activity. */
3628 #define TCM_REG_USEM_IFEN 0x50028
3629 /* [RC 1] Message length mismatch (relative to last indication) at the In#8
3630 interface. */
3631 #define TCM_REG_USEM_LENGTH_MIS 0x50170
3632 /* [RW 3] The weight of the input usem in the WRR mechanism. 0 stands for
3633 weight 8 (the most prioritised); 1 stands for weight 1(least
3634 prioritised); 2 stands for weight 2; tc. */
3635 #define TCM_REG_USEM_WEIGHT 0x500b8
3636 /* [RW 21] Indirect access to the descriptor table of the XX protection
3637 mechanism. The fields are: [5:0] - length of the message; 15:6] - message
3638 pointer; 20:16] - next pointer. */
3639 #define TCM_REG_XX_DESCR_TABLE 0x50280
3640 #define TCM_REG_XX_DESCR_TABLE_SIZE 32
3641 /* [R 6] Use to read the value of XX protection Free counter. */
3642 #define TCM_REG_XX_FREE 0x50178
3643 /* [RW 6] Initial value for the credit counter; responsible for fulfilling
3644 of the Input Stage XX protection buffer by the XX protection pending
3645 messages. Max credit available - 127.Write writes the initial credit
3646 value; read returns the current value of the credit counter. Must be
3647 initialized to 19 at start-up. */
3648 #define TCM_REG_XX_INIT_CRD 0x50220
3649 /* [RW 6] Maximum link list size (messages locked) per connection in the XX
3650 protection. */
3651 #define TCM_REG_XX_MAX_LL_SZ 0x50044
3652 /* [RW 6] The maximum number of pending messages; which may be stored in XX
3653 protection. ~tcm_registers_xx_free.xx_free is read on read. */
3654 #define TCM_REG_XX_MSG_NUM 0x50224
3655 /* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
3656 #define TCM_REG_XX_OVFL_EVNT_ID 0x50048
3657 /* [RW 16] Indirect access to the XX table of the XX protection mechanism.
3658 The fields are:[4:0] - tail pointer; [10:5] - Link List size; 15:11] -
3659 header pointer. */
3660 #define TCM_REG_XX_TABLE 0x50240
3661 /* [RW 4] Load value for cfc ac credit cnt. */
3662 #define TM_REG_CFC_AC_CRDCNT_VAL 0x164208
3663 /* [RW 4] Load value for cfc cld credit cnt. */
3664 #define TM_REG_CFC_CLD_CRDCNT_VAL 0x164210
3665 /* [RW 8] Client0 context region. */
3666 #define TM_REG_CL0_CONT_REGION 0x164030
3667 /* [RW 8] Client1 context region. */
3668 #define TM_REG_CL1_CONT_REGION 0x164034
3669 /* [RW 8] Client2 context region. */
3670 #define TM_REG_CL2_CONT_REGION 0x164038
3671 /* [RW 2] Client in High priority client number. */
3672 #define TM_REG_CLIN_PRIOR0_CLIENT 0x164024
3673 /* [RW 4] Load value for clout0 cred cnt. */
3674 #define TM_REG_CLOUT_CRDCNT0_VAL 0x164220
3675 /* [RW 4] Load value for clout1 cred cnt. */
3676 #define TM_REG_CLOUT_CRDCNT1_VAL 0x164228
3677 /* [RW 4] Load value for clout2 cred cnt. */
3678 #define TM_REG_CLOUT_CRDCNT2_VAL 0x164230
3679 /* [RW 1] Enable client0 input. */
3680 #define TM_REG_EN_CL0_INPUT 0x164008
3681 /* [RW 1] Enable client1 input. */
3682 #define TM_REG_EN_CL1_INPUT 0x16400c
3683 /* [RW 1] Enable client2 input. */
3684 #define TM_REG_EN_CL2_INPUT 0x164010
3685 #define TM_REG_EN_LINEAR0_TIMER 0x164014
3686 /* [RW 1] Enable real time counter. */
3687 #define TM_REG_EN_REAL_TIME_CNT 0x1640d8
3688 /* [RW 1] Enable for Timers state machines. */
3689 #define TM_REG_EN_TIMERS 0x164000
3690 /* [RW 4] Load value for expiration credit cnt. CFC max number of
3691 outstanding load requests for timers (expiration) context loading. */
3692 #define TM_REG_EXP_CRDCNT_VAL 0x164238
3693 /* [RW 32] Linear0 logic address. */
3694 #define TM_REG_LIN0_LOGIC_ADDR 0x164240
3695 /* [RW 18] Linear0 Max active cid (in banks of 32 entries). */
3696 #define TM_REG_LIN0_MAX_ACTIVE_CID 0x164048
3697 /* [WB 64] Linear0 phy address. */
3698 #define TM_REG_LIN0_PHY_ADDR 0x164270
3699 /* [RW 1] Linear0 physical address valid. */
3700 #define TM_REG_LIN0_PHY_ADDR_VALID 0x164248
3701 #define TM_REG_LIN0_SCAN_ON 0x1640d0
3702 /* [RW 24] Linear0 array scan timeout. */
3703 #define TM_REG_LIN0_SCAN_TIME 0x16403c
3704 /* [RW 32] Linear1 logic address. */
3705 #define TM_REG_LIN1_LOGIC_ADDR 0x164250
3706 /* [WB 64] Linear1 phy address. */
3707 #define TM_REG_LIN1_PHY_ADDR 0x164280
3708 /* [RW 1] Linear1 physical address valid. */
3709 #define TM_REG_LIN1_PHY_ADDR_VALID 0x164258
3710 /* [RW 6] Linear timer set_clear fifo threshold. */
3711 #define TM_REG_LIN_SETCLR_FIFO_ALFULL_THR 0x164070
3712 /* [RW 2] Load value for pci arbiter credit cnt. */
3713 #define TM_REG_PCIARB_CRDCNT_VAL 0x164260
3714 /* [RW 20] The amount of hardware cycles for each timer tick. */
3715 #define TM_REG_TIMER_TICK_SIZE 0x16401c
3716 /* [RW 8] Timers Context region. */
3717 #define TM_REG_TM_CONTEXT_REGION 0x164044
3718 /* [RW 1] Interrupt mask register #0 read/write */
3719 #define TM_REG_TM_INT_MASK 0x1640fc
3720 /* [R 1] Interrupt register #0 read */
3721 #define TM_REG_TM_INT_STS 0x1640f0
3722 /* [RW 8] The event id for aggregated interrupt 0 */
3723 #define TSDM_REG_AGG_INT_EVENT_0 0x42038
3724 #define TSDM_REG_AGG_INT_EVENT_1 0x4203c
3725 #define TSDM_REG_AGG_INT_EVENT_2 0x42040
3726 #define TSDM_REG_AGG_INT_EVENT_3 0x42044
3727 #define TSDM_REG_AGG_INT_EVENT_4 0x42048
3728 /* [RW 1] The T bit for aggregated interrupt 0 */
3729 #define TSDM_REG_AGG_INT_T_0 0x420b8
3730 #define TSDM_REG_AGG_INT_T_1 0x420bc
3731 /* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
3732 #define TSDM_REG_CFC_RSP_START_ADDR 0x42008
3733 /* [RW 16] The maximum value of the competion counter #0 */
3734 #define TSDM_REG_CMP_COUNTER_MAX0 0x4201c
3735 /* [RW 16] The maximum value of the competion counter #1 */
3736 #define TSDM_REG_CMP_COUNTER_MAX1 0x42020
3737 /* [RW 16] The maximum value of the competion counter #2 */
3738 #define TSDM_REG_CMP_COUNTER_MAX2 0x42024
3739 /* [RW 16] The maximum value of the competion counter #3 */
3740 #define TSDM_REG_CMP_COUNTER_MAX3 0x42028
3741 /* [RW 13] The start address in the internal RAM for the completion
3742 counters. */
3743 #define TSDM_REG_CMP_COUNTER_START_ADDR 0x4200c
3744 #define TSDM_REG_ENABLE_IN1 0x42238
3745 #define TSDM_REG_ENABLE_IN2 0x4223c
3746 #define TSDM_REG_ENABLE_OUT1 0x42240
3747 #define TSDM_REG_ENABLE_OUT2 0x42244
3748 /* [RW 4] The initial number of messages that can be sent to the pxp control
3749 interface without receiving any ACK. */
3750 #define TSDM_REG_INIT_CREDIT_PXP_CTRL 0x424bc
3751 /* [ST 32] The number of ACK after placement messages received */
3752 #define TSDM_REG_NUM_OF_ACK_AFTER_PLACE 0x4227c
3753 /* [ST 32] The number of packet end messages received from the parser */
3754 #define TSDM_REG_NUM_OF_PKT_END_MSG 0x42274
3755 /* [ST 32] The number of requests received from the pxp async if */
3756 #define TSDM_REG_NUM_OF_PXP_ASYNC_REQ 0x42278
3757 /* [ST 32] The number of commands received in queue 0 */
3758 #define TSDM_REG_NUM_OF_Q0_CMD 0x42248
3759 /* [ST 32] The number of commands received in queue 10 */
3760 #define TSDM_REG_NUM_OF_Q10_CMD 0x4226c
3761 /* [ST 32] The number of commands received in queue 11 */
3762 #define TSDM_REG_NUM_OF_Q11_CMD 0x42270
3763 /* [ST 32] The number of commands received in queue 1 */
3764 #define TSDM_REG_NUM_OF_Q1_CMD 0x4224c
3765 /* [ST 32] The number of commands received in queue 3 */
3766 #define TSDM_REG_NUM_OF_Q3_CMD 0x42250
3767 /* [ST 32] The number of commands received in queue 4 */
3768 #define TSDM_REG_NUM_OF_Q4_CMD 0x42254
3769 /* [ST 32] The number of commands received in queue 5 */
3770 #define TSDM_REG_NUM_OF_Q5_CMD 0x42258
3771 /* [ST 32] The number of commands received in queue 6 */
3772 #define TSDM_REG_NUM_OF_Q6_CMD 0x4225c
3773 /* [ST 32] The number of commands received in queue 7 */
3774 #define TSDM_REG_NUM_OF_Q7_CMD 0x42260
3775 /* [ST 32] The number of commands received in queue 8 */
3776 #define TSDM_REG_NUM_OF_Q8_CMD 0x42264
3777 /* [ST 32] The number of commands received in queue 9 */
3778 #define TSDM_REG_NUM_OF_Q9_CMD 0x42268
3779 /* [RW 13] The start address in the internal RAM for the packet end message */
3780 #define TSDM_REG_PCK_END_MSG_START_ADDR 0x42014
3781 /* [RW 13] The start address in the internal RAM for queue counters */
3782 #define TSDM_REG_Q_COUNTER_START_ADDR 0x42010
3783 /* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
3784 #define TSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0x42548
3785 /* [R 1] parser fifo empty in sdm_sync block */
3786 #define TSDM_REG_SYNC_PARSER_EMPTY 0x42550
3787 /* [R 1] parser serial fifo empty in sdm_sync block */
3788 #define TSDM_REG_SYNC_SYNC_EMPTY 0x42558
3789 /* [RW 32] Tick for timer counter. Applicable only when
3790 ~tsdm_registers_timer_tick_enable.timer_tick_enable =1 */
3791 #define TSDM_REG_TIMER_TICK 0x42000
3792 /* [RW 32] Interrupt mask register #0 read/write */
3793 #define TSDM_REG_TSDM_INT_MASK_0 0x4229c
3794 #define TSDM_REG_TSDM_INT_MASK_1 0x422ac
3795 /* [R 32] Interrupt register #0 read */
3796 #define TSDM_REG_TSDM_INT_STS_0 0x42290
3797 #define TSDM_REG_TSDM_INT_STS_1 0x422a0
3798 /* [RW 11] Parity mask register #0 read/write */
3799 #define TSDM_REG_TSDM_PRTY_MASK 0x422bc
3800 /* [R 11] Parity register #0 read */
3801 #define TSDM_REG_TSDM_PRTY_STS 0x422b0
3802 /* [RW 5] The number of time_slots in the arbitration cycle */
3803 #define TSEM_REG_ARB_CYCLE_SIZE 0x180034
3804 /* [RW 3] The source that is associated with arbitration element 0. Source
3805 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3806 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
3807 #define TSEM_REG_ARB_ELEMENT0 0x180020
3808 /* [RW 3] The source that is associated with arbitration element 1. Source
3809 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3810 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3811 Could not be equal to register ~tsem_registers_arb_element0.arb_element0 */
3812 #define TSEM_REG_ARB_ELEMENT1 0x180024
3813 /* [RW 3] The source that is associated with arbitration element 2. Source
3814 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3815 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3816 Could not be equal to register ~tsem_registers_arb_element0.arb_element0
3817 and ~tsem_registers_arb_element1.arb_element1 */
3818 #define TSEM_REG_ARB_ELEMENT2 0x180028
3819 /* [RW 3] The source that is associated with arbitration element 3. Source
3820 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3821 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
3822 not be equal to register ~tsem_registers_arb_element0.arb_element0 and
3823 ~tsem_registers_arb_element1.arb_element1 and
3824 ~tsem_registers_arb_element2.arb_element2 */
3825 #define TSEM_REG_ARB_ELEMENT3 0x18002c
3826 /* [RW 3] The source that is associated with arbitration element 4. Source
3827 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
3828 sleeping thread with priority 1; 4- sleeping thread with priority 2.
3829 Could not be equal to register ~tsem_registers_arb_element0.arb_element0
3830 and ~tsem_registers_arb_element1.arb_element1 and
3831 ~tsem_registers_arb_element2.arb_element2 and
3832 ~tsem_registers_arb_element3.arb_element3 */
3833 #define TSEM_REG_ARB_ELEMENT4 0x180030
3834 #define TSEM_REG_ENABLE_IN 0x1800a4
3835 #define TSEM_REG_ENABLE_OUT 0x1800a8
3836 /* [RW 32] This address space contains all registers and memories that are
3837 placed in SEM_FAST block. The SEM_FAST registers are described in
3838 appendix B. In order to access the sem_fast registers the base address
3839 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
3840 #define TSEM_REG_FAST_MEMORY 0x1a0000
3841 /* [RW 1] Disables input messages from FIC0 May be updated during run_time
3842 by the microcode */
3843 #define TSEM_REG_FIC0_DISABLE 0x180224
3844 /* [RW 1] Disables input messages from FIC1 May be updated during run_time
3845 by the microcode */
3846 #define TSEM_REG_FIC1_DISABLE 0x180234
3847 /* [RW 15] Interrupt table Read and write access to it is not possible in
3848 the middle of the work */
3849 #define TSEM_REG_INT_TABLE 0x180400
3850 /* [ST 24] Statistics register. The number of messages that entered through
3851 FIC0 */
3852 #define TSEM_REG_MSG_NUM_FIC0 0x180000
3853 /* [ST 24] Statistics register. The number of messages that entered through
3854 FIC1 */
3855 #define TSEM_REG_MSG_NUM_FIC1 0x180004
3856 /* [ST 24] Statistics register. The number of messages that were sent to
3857 FOC0 */
3858 #define TSEM_REG_MSG_NUM_FOC0 0x180008
3859 /* [ST 24] Statistics register. The number of messages that were sent to
3860 FOC1 */
3861 #define TSEM_REG_MSG_NUM_FOC1 0x18000c
3862 /* [ST 24] Statistics register. The number of messages that were sent to
3863 FOC2 */
3864 #define TSEM_REG_MSG_NUM_FOC2 0x180010
3865 /* [ST 24] Statistics register. The number of messages that were sent to
3866 FOC3 */
3867 #define TSEM_REG_MSG_NUM_FOC3 0x180014
3868 /* [RW 1] Disables input messages from the passive buffer May be updated
3869 during run_time by the microcode */
3870 #define TSEM_REG_PAS_DISABLE 0x18024c
3871 /* [WB 128] Debug only. Passive buffer memory */
3872 #define TSEM_REG_PASSIVE_BUFFER 0x181000
3873 /* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
3874 #define TSEM_REG_PRAM 0x1c0000
3875 /* [R 8] Valid sleeping threads indication have bit per thread */
3876 #define TSEM_REG_SLEEP_THREADS_VALID 0x18026c
3877 /* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
3878 #define TSEM_REG_SLOW_EXT_STORE_EMPTY 0x1802a0
3879 /* [RW 8] List of free threads . There is a bit per thread. */
3880 #define TSEM_REG_THREADS_LIST 0x1802e4
3881 /* [RW 3] The arbitration scheme of time_slot 0 */
3882 #define TSEM_REG_TS_0_AS 0x180038
3883 /* [RW 3] The arbitration scheme of time_slot 10 */
3884 #define TSEM_REG_TS_10_AS 0x180060
3885 /* [RW 3] The arbitration scheme of time_slot 11 */
3886 #define TSEM_REG_TS_11_AS 0x180064
3887 /* [RW 3] The arbitration scheme of time_slot 12 */
3888 #define TSEM_REG_TS_12_AS 0x180068
3889 /* [RW 3] The arbitration scheme of time_slot 13 */
3890 #define TSEM_REG_TS_13_AS 0x18006c
3891 /* [RW 3] The arbitration scheme of time_slot 14 */
3892 #define TSEM_REG_TS_14_AS 0x180070
3893 /* [RW 3] The arbitration scheme of time_slot 15 */
3894 #define TSEM_REG_TS_15_AS 0x180074
3895 /* [RW 3] The arbitration scheme of time_slot 16 */
3896 #define TSEM_REG_TS_16_AS 0x180078
3897 /* [RW 3] The arbitration scheme of time_slot 17 */
3898 #define TSEM_REG_TS_17_AS 0x18007c
3899 /* [RW 3] The arbitration scheme of time_slot 18 */
3900 #define TSEM_REG_TS_18_AS 0x180080
3901 /* [RW 3] The arbitration scheme of time_slot 1 */
3902 #define TSEM_REG_TS_1_AS 0x18003c
3903 /* [RW 3] The arbitration scheme of time_slot 2 */
3904 #define TSEM_REG_TS_2_AS 0x180040
3905 /* [RW 3] The arbitration scheme of time_slot 3 */
3906 #define TSEM_REG_TS_3_AS 0x180044
3907 /* [RW 3] The arbitration scheme of time_slot 4 */
3908 #define TSEM_REG_TS_4_AS 0x180048
3909 /* [RW 3] The arbitration scheme of time_slot 5 */
3910 #define TSEM_REG_TS_5_AS 0x18004c
3911 /* [RW 3] The arbitration scheme of time_slot 6 */
3912 #define TSEM_REG_TS_6_AS 0x180050
3913 /* [RW 3] The arbitration scheme of time_slot 7 */
3914 #define TSEM_REG_TS_7_AS 0x180054
3915 /* [RW 3] The arbitration scheme of time_slot 8 */
3916 #define TSEM_REG_TS_8_AS 0x180058
3917 /* [RW 3] The arbitration scheme of time_slot 9 */
3918 #define TSEM_REG_TS_9_AS 0x18005c
3919 /* [RW 32] Interrupt mask register #0 read/write */
3920 #define TSEM_REG_TSEM_INT_MASK_0 0x180100
3921 #define TSEM_REG_TSEM_INT_MASK_1 0x180110
3922 /* [R 32] Interrupt register #0 read */
3923 #define TSEM_REG_TSEM_INT_STS_0 0x1800f4
3924 #define TSEM_REG_TSEM_INT_STS_1 0x180104
3925 /* [RW 32] Parity mask register #0 read/write */
3926 #define TSEM_REG_TSEM_PRTY_MASK_0 0x180120
3927 #define TSEM_REG_TSEM_PRTY_MASK_1 0x180130
3928 /* [R 32] Parity register #0 read */
3929 #define TSEM_REG_TSEM_PRTY_STS_0 0x180114
3930 #define TSEM_REG_TSEM_PRTY_STS_1 0x180124
3931 /* [W 7] VF or PF ID for reset error bit. Values 0-63 reset error bit for 64
3932 * VF; values 64-67 reset error for 4 PF; values 68-127 are not valid. */
3933 #define TSEM_REG_VFPF_ERR_NUM 0x180380
3934 /* [RW 32] Indirect access to AG context with 32-bits granularity. The bits
3935 * [10:8] of the address should be the offset within the accessed LCID
3936 * context; the bits [7:0] are the accessed LCID.Example: to write to REG10
3937 * LCID100. The RBC address should be 12'ha64. */
3938 #define UCM_REG_AG_CTX 0xe2000
3939 /* [R 5] Used to read the XX protection CAM occupancy counter. */
3940 #define UCM_REG_CAM_OCCUP 0xe0170
3941 /* [RW 1] CDU AG read Interface enable. If 0 - the request input is
3942 disregarded; valid output is deasserted; all other signals are treated as
3943 usual; if 1 - normal activity. */
3944 #define UCM_REG_CDU_AG_RD_IFEN 0xe0038
3945 /* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
3946 are disregarded; all other signals are treated as usual; if 1 - normal
3947 activity. */
3948 #define UCM_REG_CDU_AG_WR_IFEN 0xe0034
3949 /* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
3950 disregarded; valid output is deasserted; all other signals are treated as
3951 usual; if 1 - normal activity. */
3952 #define UCM_REG_CDU_SM_RD_IFEN 0xe0040
3953 /* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
3954 input is disregarded; all other signals are treated as usual; if 1 -
3955 normal activity. */
3956 #define UCM_REG_CDU_SM_WR_IFEN 0xe003c
3957 /* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
3958 the initial credit value; read returns the current value of the credit
3959 counter. Must be initialized to 1 at start-up. */
3960 #define UCM_REG_CFC_INIT_CRD 0xe0204
3961 /* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
3962 weight 8 (the most prioritised); 1 stands for weight 1(least
3963 prioritised); 2 stands for weight 2; tc. */
3964 #define UCM_REG_CP_WEIGHT 0xe00c4
3965 /* [RW 1] Input csem Interface enable. If 0 - the valid input is
3966 disregarded; acknowledge output is deasserted; all other signals are
3967 treated as usual; if 1 - normal activity. */
3968 #define UCM_REG_CSEM_IFEN 0xe0028
3969 /* [RC 1] Set when the message length mismatch (relative to last indication)
3970 at the csem interface is detected. */
3971 #define UCM_REG_CSEM_LENGTH_MIS 0xe0160
3972 /* [RW 3] The weight of the input csem in the WRR mechanism. 0 stands for
3973 weight 8 (the most prioritised); 1 stands for weight 1(least
3974 prioritised); 2 stands for weight 2; tc. */
3975 #define UCM_REG_CSEM_WEIGHT 0xe00b8
3976 /* [RW 1] Input dorq Interface enable. If 0 - the valid input is
3977 disregarded; acknowledge output is deasserted; all other signals are
3978 treated as usual; if 1 - normal activity. */
3979 #define UCM_REG_DORQ_IFEN 0xe0030
3980 /* [RC 1] Set when the message length mismatch (relative to last indication)
3981 at the dorq interface is detected. */
3982 #define UCM_REG_DORQ_LENGTH_MIS 0xe0168
3983 /* [RW 3] The weight of the input dorq in the WRR mechanism. 0 stands for
3984 weight 8 (the most prioritised); 1 stands for weight 1(least
3985 prioritised); 2 stands for weight 2; tc. */
3986 #define UCM_REG_DORQ_WEIGHT 0xe00c0
3987 /* [RW 8] The Event ID in case ErrorFlg input message bit is set. */
3988 #define UCM_REG_ERR_EVNT_ID 0xe00a4
3989 /* [RW 28] The CM erroneous header for QM and Timers formatting. */
3990 #define UCM_REG_ERR_UCM_HDR 0xe00a0
3991 /* [RW 8] The Event ID for Timers expiration. */
3992 #define UCM_REG_EXPR_EVNT_ID 0xe00a8
3993 /* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
3994 writes the initial credit value; read returns the current value of the
3995 credit counter. Must be initialized to 64 at start-up. */
3996 #define UCM_REG_FIC0_INIT_CRD 0xe020c
3997 /* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
3998 writes the initial credit value; read returns the current value of the
3999 credit counter. Must be initialized to 64 at start-up. */
4000 #define UCM_REG_FIC1_INIT_CRD 0xe0210
4001 /* [RW 1] Arbitration between Input Arbiter groups: 0 - fair Round-Robin; 1
4002 - strict priority defined by ~ucm_registers_gr_ag_pr.gr_ag_pr;
4003 ~ucm_registers_gr_ld0_pr.gr_ld0_pr and
4004 ~ucm_registers_gr_ld1_pr.gr_ld1_pr. */
4005 #define UCM_REG_GR_ARB_TYPE 0xe0144
4006 /* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
4007 highest priority is 3. It is supposed that the Store channel group is
4008 compliment to the others. */
4009 #define UCM_REG_GR_LD0_PR 0xe014c
4010 /* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
4011 highest priority is 3. It is supposed that the Store channel group is
4012 compliment to the others. */
4013 #define UCM_REG_GR_LD1_PR 0xe0150
4014 /* [RW 2] The queue index for invalidate counter flag decision. */
4015 #define UCM_REG_INV_CFLG_Q 0xe00e4
4016 /* [RW 5] The number of double REG-pairs; loaded from the STORM context and
4017 sent to STORM; for a specific connection type. the double REG-pairs are
4018 used in order to align to STORM context row size of 128 bits. The offset
4019 of these data in the STORM context is always 0. Index _i stands for the
4020 connection type (one of 16). */
4021 #define UCM_REG_N_SM_CTX_LD_0 0xe0054
4022 #define UCM_REG_N_SM_CTX_LD_1 0xe0058
4023 #define UCM_REG_N_SM_CTX_LD_2 0xe005c
4024 #define UCM_REG_N_SM_CTX_LD_3 0xe0060
4025 #define UCM_REG_N_SM_CTX_LD_4 0xe0064
4026 #define UCM_REG_N_SM_CTX_LD_5 0xe0068
4027 #define UCM_REG_PHYS_QNUM0_0 0xe0110
4028 #define UCM_REG_PHYS_QNUM0_1 0xe0114
4029 #define UCM_REG_PHYS_QNUM1_0 0xe0118
4030 #define UCM_REG_PHYS_QNUM1_1 0xe011c
4031 #define UCM_REG_PHYS_QNUM2_0 0xe0120
4032 #define UCM_REG_PHYS_QNUM2_1 0xe0124
4033 #define UCM_REG_PHYS_QNUM3_0 0xe0128
4034 #define UCM_REG_PHYS_QNUM3_1 0xe012c
4035 /* [RW 8] The Event ID for Timers formatting in case of stop done. */
4036 #define UCM_REG_STOP_EVNT_ID 0xe00ac
4037 /* [RC 1] Set when the message length mismatch (relative to last indication)
4038 at the STORM interface is detected. */
4039 #define UCM_REG_STORM_LENGTH_MIS 0xe0154
4040 /* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
4041 disregarded; acknowledge output is deasserted; all other signals are
4042 treated as usual; if 1 - normal activity. */
4043 #define UCM_REG_STORM_UCM_IFEN 0xe0010
4044 /* [RW 3] The weight of the STORM input in the WRR mechanism. 0 stands for
4045 weight 8 (the most prioritised); 1 stands for weight 1(least
4046 prioritised); 2 stands for weight 2; tc. */
4047 #define UCM_REG_STORM_WEIGHT 0xe00b0
4048 /* [RW 4] Timers output initial credit. Max credit available - 15.Write
4049 writes the initial credit value; read returns the current value of the
4050 credit counter. Must be initialized to 4 at start-up. */
4051 #define UCM_REG_TM_INIT_CRD 0xe021c
4052 /* [RW 28] The CM header for Timers expiration command. */
4053 #define UCM_REG_TM_UCM_HDR 0xe009c
4054 /* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
4055 disregarded; acknowledge output is deasserted; all other signals are
4056 treated as usual; if 1 - normal activity. */
4057 #define UCM_REG_TM_UCM_IFEN 0xe001c
4058 /* [RW 3] The weight of the Timers input in the WRR mechanism. 0 stands for
4059 weight 8 (the most prioritised); 1 stands for weight 1(least
4060 prioritised); 2 stands for weight 2; tc. */
4061 #define UCM_REG_TM_WEIGHT 0xe00d4
4062 /* [RW 1] Input tsem Interface enable. If 0 - the valid input is
4063 disregarded; acknowledge output is deasserted; all other signals are
4064 treated as usual; if 1 - normal activity. */
4065 #define UCM_REG_TSEM_IFEN 0xe0024
4066 /* [RC 1] Set when the message length mismatch (relative to last indication)
4067 at the tsem interface is detected. */
4068 #define UCM_REG_TSEM_LENGTH_MIS 0xe015c
4069 /* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
4070 weight 8 (the most prioritised); 1 stands for weight 1(least
4071 prioritised); 2 stands for weight 2; tc. */
4072 #define UCM_REG_TSEM_WEIGHT 0xe00b4
4073 /* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
4074 acknowledge output is deasserted; all other signals are treated as usual;
4075 if 1 - normal activity. */
4076 #define UCM_REG_UCM_CFC_IFEN 0xe0044
4077 /* [RW 11] Interrupt mask register #0 read/write */
4078 #define UCM_REG_UCM_INT_MASK 0xe01d4
4079 /* [R 11] Interrupt register #0 read */
4080 #define UCM_REG_UCM_INT_STS 0xe01c8
4081 /* [R 27] Parity register #0 read */
4082 #define UCM_REG_UCM_PRTY_STS 0xe01d8
4083 /* [RW 2] The size of AG context region 0 in REG-pairs. Designates the MS
4084 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
4085 Is used to determine the number of the AG context REG-pairs written back;
4086 when the Reg1WbFlg isn't set. */
4087 #define UCM_REG_UCM_REG0_SZ 0xe00dc
4088 /* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
4089 disregarded; valid is deasserted; all other signals are treated as usual;
4090 if 1 - normal activity. */
4091 #define UCM_REG_UCM_STORM0_IFEN 0xe0004
4092 /* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
4093 disregarded; valid is deasserted; all other signals are treated as usual;
4094 if 1 - normal activity. */
4095 #define UCM_REG_UCM_STORM1_IFEN 0xe0008
4096 /* [RW 1] CM - Timers Interface enable. If 0 - the valid input is
4097 disregarded; acknowledge output is deasserted; all other signals are
4098 treated as usual; if 1 - normal activity. */
4099 #define UCM_REG_UCM_TM_IFEN 0xe0020
4100 /* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
4101 disregarded; valid is deasserted; all other signals are treated as usual;
4102 if 1 - normal activity. */
4103 #define UCM_REG_UCM_UQM_IFEN 0xe000c
4104 /* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
4105 #define UCM_REG_UCM_UQM_USE_Q 0xe00d8
4106 /* [RW 6] QM output initial credit. Max credit available - 32.Write writes
4107 the initial credit value; read returns the current value of the credit
4108 counter. Must be initialized to 32 at start-up. */
4109 #define UCM_REG_UQM_INIT_CRD 0xe0220
4110 /* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
4111 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4112 prioritised); 2 stands for weight 2; tc. */
4113 #define UCM_REG_UQM_P_WEIGHT 0xe00cc
4114 /* [RW 3] The weight of the QM (secondary) input in the WRR mechanism. 0
4115 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4116 prioritised); 2 stands for weight 2; tc. */
4117 #define UCM_REG_UQM_S_WEIGHT 0xe00d0
4118 /* [RW 28] The CM header value for QM request (primary). */
4119 #define UCM_REG_UQM_UCM_HDR_P 0xe0094
4120 /* [RW 28] The CM header value for QM request (secondary). */
4121 #define UCM_REG_UQM_UCM_HDR_S 0xe0098
4122 /* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
4123 acknowledge output is deasserted; all other signals are treated as usual;
4124 if 1 - normal activity. */
4125 #define UCM_REG_UQM_UCM_IFEN 0xe0014
4126 /* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
4127 acknowledge output is deasserted; all other signals are treated as usual;
4128 if 1 - normal activity. */
4129 #define UCM_REG_USDM_IFEN 0xe0018
4130 /* [RC 1] Set when the message length mismatch (relative to last indication)
4131 at the SDM interface is detected. */
4132 #define UCM_REG_USDM_LENGTH_MIS 0xe0158
4133 /* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
4134 weight 8 (the most prioritised); 1 stands for weight 1(least
4135 prioritised); 2 stands for weight 2; tc. */
4136 #define UCM_REG_USDM_WEIGHT 0xe00c8
4137 /* [RW 1] Input xsem Interface enable. If 0 - the valid input is
4138 disregarded; acknowledge output is deasserted; all other signals are
4139 treated as usual; if 1 - normal activity. */
4140 #define UCM_REG_XSEM_IFEN 0xe002c
4141 /* [RC 1] Set when the message length mismatch (relative to last indication)
4142 at the xsem interface isdetected. */
4143 #define UCM_REG_XSEM_LENGTH_MIS 0xe0164
4144 /* [RW 3] The weight of the input xsem in the WRR mechanism. 0 stands for
4145 weight 8 (the most prioritised); 1 stands for weight 1(least
4146 prioritised); 2 stands for weight 2; tc. */
4147 #define UCM_REG_XSEM_WEIGHT 0xe00bc
4148 /* [RW 20] Indirect access to the descriptor table of the XX protection
4149 mechanism. The fields are:[5:0] - message length; 14:6] - message
4150 pointer; 19:15] - next pointer. */
4151 #define UCM_REG_XX_DESCR_TABLE 0xe0280
4152 #define UCM_REG_XX_DESCR_TABLE_SIZE 32
4153 /* [R 6] Use to read the XX protection Free counter. */
4154 #define UCM_REG_XX_FREE 0xe016c
4155 /* [RW 6] Initial value for the credit counter; responsible for fulfilling
4156 of the Input Stage XX protection buffer by the XX protection pending
4157 messages. Write writes the initial credit value; read returns the current
4158 value of the credit counter. Must be initialized to 12 at start-up. */
4159 #define UCM_REG_XX_INIT_CRD 0xe0224
4160 /* [RW 6] The maximum number of pending messages; which may be stored in XX
4161 protection. ~ucm_registers_xx_free.xx_free read on read. */
4162 #define UCM_REG_XX_MSG_NUM 0xe0228
4163 /* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
4164 #define UCM_REG_XX_OVFL_EVNT_ID 0xe004c
4165 /* [RW 16] Indirect access to the XX table of the XX protection mechanism.
4166 The fields are: [4:0] - tail pointer; 10:5] - Link List size; 15:11] -
4167 header pointer. */
4168 #define UCM_REG_XX_TABLE 0xe0300
4169 /* [RW 8] The event id for aggregated interrupt 0 */
4170 #define USDM_REG_AGG_INT_EVENT_0 0xc4038
4171 #define USDM_REG_AGG_INT_EVENT_1 0xc403c
4172 #define USDM_REG_AGG_INT_EVENT_2 0xc4040
4173 #define USDM_REG_AGG_INT_EVENT_4 0xc4048
4174 #define USDM_REG_AGG_INT_EVENT_5 0xc404c
4175 #define USDM_REG_AGG_INT_EVENT_6 0xc4050
4176 /* [RW 1] For each aggregated interrupt index whether the mode is normal (0)
4177 or auto-mask-mode (1) */
4178 #define USDM_REG_AGG_INT_MODE_0 0xc41b8
4179 #define USDM_REG_AGG_INT_MODE_1 0xc41bc
4180 #define USDM_REG_AGG_INT_MODE_4 0xc41c8
4181 #define USDM_REG_AGG_INT_MODE_5 0xc41cc
4182 #define USDM_REG_AGG_INT_MODE_6 0xc41d0
4183 /* [RW 1] The T bit for aggregated interrupt 5 */
4184 #define USDM_REG_AGG_INT_T_5 0xc40cc
4185 #define USDM_REG_AGG_INT_T_6 0xc40d0
4186 /* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
4187 #define USDM_REG_CFC_RSP_START_ADDR 0xc4008
4188 /* [RW 16] The maximum value of the competion counter #0 */
4189 #define USDM_REG_CMP_COUNTER_MAX0 0xc401c
4190 /* [RW 16] The maximum value of the competion counter #1 */
4191 #define USDM_REG_CMP_COUNTER_MAX1 0xc4020
4192 /* [RW 16] The maximum value of the competion counter #2 */
4193 #define USDM_REG_CMP_COUNTER_MAX2 0xc4024
4194 /* [RW 16] The maximum value of the competion counter #3 */
4195 #define USDM_REG_CMP_COUNTER_MAX3 0xc4028
4196 /* [RW 13] The start address in the internal RAM for the completion
4197 counters. */
4198 #define USDM_REG_CMP_COUNTER_START_ADDR 0xc400c
4199 #define USDM_REG_ENABLE_IN1 0xc4238
4200 #define USDM_REG_ENABLE_IN2 0xc423c
4201 #define USDM_REG_ENABLE_OUT1 0xc4240
4202 #define USDM_REG_ENABLE_OUT2 0xc4244
4203 /* [RW 4] The initial number of messages that can be sent to the pxp control
4204 interface without receiving any ACK. */
4205 #define USDM_REG_INIT_CREDIT_PXP_CTRL 0xc44c0
4206 /* [ST 32] The number of ACK after placement messages received */
4207 #define USDM_REG_NUM_OF_ACK_AFTER_PLACE 0xc4280
4208 /* [ST 32] The number of packet end messages received from the parser */
4209 #define USDM_REG_NUM_OF_PKT_END_MSG 0xc4278
4210 /* [ST 32] The number of requests received from the pxp async if */
4211 #define USDM_REG_NUM_OF_PXP_ASYNC_REQ 0xc427c
4212 /* [ST 32] The number of commands received in queue 0 */
4213 #define USDM_REG_NUM_OF_Q0_CMD 0xc4248
4214 /* [ST 32] The number of commands received in queue 10 */
4215 #define USDM_REG_NUM_OF_Q10_CMD 0xc4270
4216 /* [ST 32] The number of commands received in queue 11 */
4217 #define USDM_REG_NUM_OF_Q11_CMD 0xc4274
4218 /* [ST 32] The number of commands received in queue 1 */
4219 #define USDM_REG_NUM_OF_Q1_CMD 0xc424c
4220 /* [ST 32] The number of commands received in queue 2 */
4221 #define USDM_REG_NUM_OF_Q2_CMD 0xc4250
4222 /* [ST 32] The number of commands received in queue 3 */
4223 #define USDM_REG_NUM_OF_Q3_CMD 0xc4254
4224 /* [ST 32] The number of commands received in queue 4 */
4225 #define USDM_REG_NUM_OF_Q4_CMD 0xc4258
4226 /* [ST 32] The number of commands received in queue 5 */
4227 #define USDM_REG_NUM_OF_Q5_CMD 0xc425c
4228 /* [ST 32] The number of commands received in queue 6 */
4229 #define USDM_REG_NUM_OF_Q6_CMD 0xc4260
4230 /* [ST 32] The number of commands received in queue 7 */
4231 #define USDM_REG_NUM_OF_Q7_CMD 0xc4264
4232 /* [ST 32] The number of commands received in queue 8 */
4233 #define USDM_REG_NUM_OF_Q8_CMD 0xc4268
4234 /* [ST 32] The number of commands received in queue 9 */
4235 #define USDM_REG_NUM_OF_Q9_CMD 0xc426c
4236 /* [RW 13] The start address in the internal RAM for the packet end message */
4237 #define USDM_REG_PCK_END_MSG_START_ADDR 0xc4014
4238 /* [RW 13] The start address in the internal RAM for queue counters */
4239 #define USDM_REG_Q_COUNTER_START_ADDR 0xc4010
4240 /* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
4241 #define USDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0xc4550
4242 /* [R 1] parser fifo empty in sdm_sync block */
4243 #define USDM_REG_SYNC_PARSER_EMPTY 0xc4558
4244 /* [R 1] parser serial fifo empty in sdm_sync block */
4245 #define USDM_REG_SYNC_SYNC_EMPTY 0xc4560
4246 /* [RW 32] Tick for timer counter. Applicable only when
4247 ~usdm_registers_timer_tick_enable.timer_tick_enable =1 */
4248 #define USDM_REG_TIMER_TICK 0xc4000
4249 /* [RW 32] Interrupt mask register #0 read/write */
4250 #define USDM_REG_USDM_INT_MASK_0 0xc42a0
4251 #define USDM_REG_USDM_INT_MASK_1 0xc42b0
4252 /* [R 32] Interrupt register #0 read */
4253 #define USDM_REG_USDM_INT_STS_0 0xc4294
4254 #define USDM_REG_USDM_INT_STS_1 0xc42a4
4255 /* [RW 11] Parity mask register #0 read/write */
4256 #define USDM_REG_USDM_PRTY_MASK 0xc42c0
4257 /* [R 11] Parity register #0 read */
4258 #define USDM_REG_USDM_PRTY_STS 0xc42b4
4259 /* [RW 5] The number of time_slots in the arbitration cycle */
4260 #define USEM_REG_ARB_CYCLE_SIZE 0x300034
4261 /* [RW 3] The source that is associated with arbitration element 0. Source
4262 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4263 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
4264 #define USEM_REG_ARB_ELEMENT0 0x300020
4265 /* [RW 3] The source that is associated with arbitration element 1. Source
4266 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4267 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4268 Could not be equal to register ~usem_registers_arb_element0.arb_element0 */
4269 #define USEM_REG_ARB_ELEMENT1 0x300024
4270 /* [RW 3] The source that is associated with arbitration element 2. Source
4271 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4272 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4273 Could not be equal to register ~usem_registers_arb_element0.arb_element0
4274 and ~usem_registers_arb_element1.arb_element1 */
4275 #define USEM_REG_ARB_ELEMENT2 0x300028
4276 /* [RW 3] The source that is associated with arbitration element 3. Source
4277 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4278 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
4279 not be equal to register ~usem_registers_arb_element0.arb_element0 and
4280 ~usem_registers_arb_element1.arb_element1 and
4281 ~usem_registers_arb_element2.arb_element2 */
4282 #define USEM_REG_ARB_ELEMENT3 0x30002c
4283 /* [RW 3] The source that is associated with arbitration element 4. Source
4284 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4285 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4286 Could not be equal to register ~usem_registers_arb_element0.arb_element0
4287 and ~usem_registers_arb_element1.arb_element1 and
4288 ~usem_registers_arb_element2.arb_element2 and
4289 ~usem_registers_arb_element3.arb_element3 */
4290 #define USEM_REG_ARB_ELEMENT4 0x300030
4291 #define USEM_REG_ENABLE_IN 0x3000a4
4292 #define USEM_REG_ENABLE_OUT 0x3000a8
4293 /* [RW 32] This address space contains all registers and memories that are
4294 placed in SEM_FAST block. The SEM_FAST registers are described in
4295 appendix B. In order to access the sem_fast registers the base address
4296 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
4297 #define USEM_REG_FAST_MEMORY 0x320000
4298 /* [RW 1] Disables input messages from FIC0 May be updated during run_time
4299 by the microcode */
4300 #define USEM_REG_FIC0_DISABLE 0x300224
4301 /* [RW 1] Disables input messages from FIC1 May be updated during run_time
4302 by the microcode */
4303 #define USEM_REG_FIC1_DISABLE 0x300234
4304 /* [RW 15] Interrupt table Read and write access to it is not possible in
4305 the middle of the work */
4306 #define USEM_REG_INT_TABLE 0x300400
4307 /* [ST 24] Statistics register. The number of messages that entered through
4308 FIC0 */
4309 #define USEM_REG_MSG_NUM_FIC0 0x300000
4310 /* [ST 24] Statistics register. The number of messages that entered through
4311 FIC1 */
4312 #define USEM_REG_MSG_NUM_FIC1 0x300004
4313 /* [ST 24] Statistics register. The number of messages that were sent to
4314 FOC0 */
4315 #define USEM_REG_MSG_NUM_FOC0 0x300008
4316 /* [ST 24] Statistics register. The number of messages that were sent to
4317 FOC1 */
4318 #define USEM_REG_MSG_NUM_FOC1 0x30000c
4319 /* [ST 24] Statistics register. The number of messages that were sent to
4320 FOC2 */
4321 #define USEM_REG_MSG_NUM_FOC2 0x300010
4322 /* [ST 24] Statistics register. The number of messages that were sent to
4323 FOC3 */
4324 #define USEM_REG_MSG_NUM_FOC3 0x300014
4325 /* [RW 1] Disables input messages from the passive buffer May be updated
4326 during run_time by the microcode */
4327 #define USEM_REG_PAS_DISABLE 0x30024c
4328 /* [WB 128] Debug only. Passive buffer memory */
4329 #define USEM_REG_PASSIVE_BUFFER 0x302000
4330 /* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
4331 #define USEM_REG_PRAM 0x340000
4332 /* [R 16] Valid sleeping threads indication have bit per thread */
4333 #define USEM_REG_SLEEP_THREADS_VALID 0x30026c
4334 /* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
4335 #define USEM_REG_SLOW_EXT_STORE_EMPTY 0x3002a0
4336 /* [RW 16] List of free threads . There is a bit per thread. */
4337 #define USEM_REG_THREADS_LIST 0x3002e4
4338 /* [RW 3] The arbitration scheme of time_slot 0 */
4339 #define USEM_REG_TS_0_AS 0x300038
4340 /* [RW 3] The arbitration scheme of time_slot 10 */
4341 #define USEM_REG_TS_10_AS 0x300060
4342 /* [RW 3] The arbitration scheme of time_slot 11 */
4343 #define USEM_REG_TS_11_AS 0x300064
4344 /* [RW 3] The arbitration scheme of time_slot 12 */
4345 #define USEM_REG_TS_12_AS 0x300068
4346 /* [RW 3] The arbitration scheme of time_slot 13 */
4347 #define USEM_REG_TS_13_AS 0x30006c
4348 /* [RW 3] The arbitration scheme of time_slot 14 */
4349 #define USEM_REG_TS_14_AS 0x300070
4350 /* [RW 3] The arbitration scheme of time_slot 15 */
4351 #define USEM_REG_TS_15_AS 0x300074
4352 /* [RW 3] The arbitration scheme of time_slot 16 */
4353 #define USEM_REG_TS_16_AS 0x300078
4354 /* [RW 3] The arbitration scheme of time_slot 17 */
4355 #define USEM_REG_TS_17_AS 0x30007c
4356 /* [RW 3] The arbitration scheme of time_slot 18 */
4357 #define USEM_REG_TS_18_AS 0x300080
4358 /* [RW 3] The arbitration scheme of time_slot 1 */
4359 #define USEM_REG_TS_1_AS 0x30003c
4360 /* [RW 3] The arbitration scheme of time_slot 2 */
4361 #define USEM_REG_TS_2_AS 0x300040
4362 /* [RW 3] The arbitration scheme of time_slot 3 */
4363 #define USEM_REG_TS_3_AS 0x300044
4364 /* [RW 3] The arbitration scheme of time_slot 4 */
4365 #define USEM_REG_TS_4_AS 0x300048
4366 /* [RW 3] The arbitration scheme of time_slot 5 */
4367 #define USEM_REG_TS_5_AS 0x30004c
4368 /* [RW 3] The arbitration scheme of time_slot 6 */
4369 #define USEM_REG_TS_6_AS 0x300050
4370 /* [RW 3] The arbitration scheme of time_slot 7 */
4371 #define USEM_REG_TS_7_AS 0x300054
4372 /* [RW 3] The arbitration scheme of time_slot 8 */
4373 #define USEM_REG_TS_8_AS 0x300058
4374 /* [RW 3] The arbitration scheme of time_slot 9 */
4375 #define USEM_REG_TS_9_AS 0x30005c
4376 /* [RW 32] Interrupt mask register #0 read/write */
4377 #define USEM_REG_USEM_INT_MASK_0 0x300110
4378 #define USEM_REG_USEM_INT_MASK_1 0x300120
4379 /* [R 32] Interrupt register #0 read */
4380 #define USEM_REG_USEM_INT_STS_0 0x300104
4381 #define USEM_REG_USEM_INT_STS_1 0x300114
4382 /* [RW 32] Parity mask register #0 read/write */
4383 #define USEM_REG_USEM_PRTY_MASK_0 0x300130
4384 #define USEM_REG_USEM_PRTY_MASK_1 0x300140
4385 /* [R 32] Parity register #0 read */
4386 #define USEM_REG_USEM_PRTY_STS_0 0x300124
4387 #define USEM_REG_USEM_PRTY_STS_1 0x300134
4388 /* [W 7] VF or PF ID for reset error bit. Values 0-63 reset error bit for 64
4389 * VF; values 64-67 reset error for 4 PF; values 68-127 are not valid. */
4390 #define USEM_REG_VFPF_ERR_NUM 0x300380
4391 #define VFC_MEMORIES_RST_REG_CAM_RST (0x1<<0)
4392 #define VFC_MEMORIES_RST_REG_RAM_RST (0x1<<1)
4393 #define VFC_REG_MEMORIES_RST 0x1943c
4394 /* [RW 32] Indirect access to AG context with 32-bits granularity. The bits
4395 * [12:8] of the address should be the offset within the accessed LCID
4396 * context; the bits [7:0] are the accessed LCID.Example: to write to REG10
4397 * LCID100. The RBC address should be 13'ha64. */
4398 #define XCM_REG_AG_CTX 0x28000
4399 /* [RW 2] The queue index for registration on Aux1 counter flag. */
4400 #define XCM_REG_AUX1_Q 0x20134
4401 /* [RW 2] Per each decision rule the queue index to register to. */
4402 #define XCM_REG_AUX_CNT_FLG_Q_19 0x201b0
4403 /* [R 5] Used to read the XX protection CAM occupancy counter. */
4404 #define XCM_REG_CAM_OCCUP 0x20244
4405 /* [RW 1] CDU AG read Interface enable. If 0 - the request input is
4406 disregarded; valid output is deasserted; all other signals are treated as
4407 usual; if 1 - normal activity. */
4408 #define XCM_REG_CDU_AG_RD_IFEN 0x20044
4409 /* [RW 1] CDU AG write Interface enable. If 0 - the request and valid input
4410 are disregarded; all other signals are treated as usual; if 1 - normal
4411 activity. */
4412 #define XCM_REG_CDU_AG_WR_IFEN 0x20040
4413 /* [RW 1] CDU STORM read Interface enable. If 0 - the request input is
4414 disregarded; valid output is deasserted; all other signals are treated as
4415 usual; if 1 - normal activity. */
4416 #define XCM_REG_CDU_SM_RD_IFEN 0x2004c
4417 /* [RW 1] CDU STORM write Interface enable. If 0 - the request and valid
4418 input is disregarded; all other signals are treated as usual; if 1 -
4419 normal activity. */
4420 #define XCM_REG_CDU_SM_WR_IFEN 0x20048
4421 /* [RW 4] CFC output initial credit. Max credit available - 15.Write writes
4422 the initial credit value; read returns the current value of the credit
4423 counter. Must be initialized to 1 at start-up. */
4424 #define XCM_REG_CFC_INIT_CRD 0x20404
4425 /* [RW 3] The weight of the CP input in the WRR mechanism. 0 stands for
4426 weight 8 (the most prioritised); 1 stands for weight 1(least
4427 prioritised); 2 stands for weight 2; tc. */
4428 #define XCM_REG_CP_WEIGHT 0x200dc
4429 /* [RW 1] Input csem Interface enable. If 0 - the valid input is
4430 disregarded; acknowledge output is deasserted; all other signals are
4431 treated as usual; if 1 - normal activity. */
4432 #define XCM_REG_CSEM_IFEN 0x20028
4433 /* [RC 1] Set at message length mismatch (relative to last indication) at
4434 the csem interface. */
4435 #define XCM_REG_CSEM_LENGTH_MIS 0x20228
4436 /* [RW 3] The weight of the input csem in the WRR mechanism. 0 stands for
4437 weight 8 (the most prioritised); 1 stands for weight 1(least
4438 prioritised); 2 stands for weight 2; tc. */
4439 #define XCM_REG_CSEM_WEIGHT 0x200c4
4440 /* [RW 1] Input dorq Interface enable. If 0 - the valid input is
4441 disregarded; acknowledge output is deasserted; all other signals are
4442 treated as usual; if 1 - normal activity. */
4443 #define XCM_REG_DORQ_IFEN 0x20030
4444 /* [RC 1] Set at message length mismatch (relative to last indication) at
4445 the dorq interface. */
4446 #define XCM_REG_DORQ_LENGTH_MIS 0x20230
4447 /* [RW 3] The weight of the input dorq in the WRR mechanism. 0 stands for
4448 weight 8 (the most prioritised); 1 stands for weight 1(least
4449 prioritised); 2 stands for weight 2; tc. */
4450 #define XCM_REG_DORQ_WEIGHT 0x200cc
4451 /* [RW 8] The Event ID in case the ErrorFlg input message bit is set. */
4452 #define XCM_REG_ERR_EVNT_ID 0x200b0
4453 /* [RW 28] The CM erroneous header for QM and Timers formatting. */
4454 #define XCM_REG_ERR_XCM_HDR 0x200ac
4455 /* [RW 8] The Event ID for Timers expiration. */
4456 #define XCM_REG_EXPR_EVNT_ID 0x200b4
4457 /* [RW 8] FIC0 output initial credit. Max credit available - 255.Write
4458 writes the initial credit value; read returns the current value of the
4459 credit counter. Must be initialized to 64 at start-up. */
4460 #define XCM_REG_FIC0_INIT_CRD 0x2040c
4461 /* [RW 8] FIC1 output initial credit. Max credit available - 255.Write
4462 writes the initial credit value; read returns the current value of the
4463 credit counter. Must be initialized to 64 at start-up. */
4464 #define XCM_REG_FIC1_INIT_CRD 0x20410
4465 #define XCM_REG_GLB_DEL_ACK_MAX_CNT_0 0x20118
4466 #define XCM_REG_GLB_DEL_ACK_MAX_CNT_1 0x2011c
4467 #define XCM_REG_GLB_DEL_ACK_TMR_VAL_0 0x20108
4468 #define XCM_REG_GLB_DEL_ACK_TMR_VAL_1 0x2010c
4469 /* [RW 1] Arbitratiojn between Input Arbiter groups: 0 - fair Round-Robin; 1
4470 - strict priority defined by ~xcm_registers_gr_ag_pr.gr_ag_pr;
4471 ~xcm_registers_gr_ld0_pr.gr_ld0_pr and
4472 ~xcm_registers_gr_ld1_pr.gr_ld1_pr. */
4473 #define XCM_REG_GR_ARB_TYPE 0x2020c
4474 /* [RW 2] Load (FIC0) channel group priority. The lowest priority is 0; the
4475 highest priority is 3. It is supposed that the Channel group is the
4476 compliment of the other 3 groups. */
4477 #define XCM_REG_GR_LD0_PR 0x20214
4478 /* [RW 2] Load (FIC1) channel group priority. The lowest priority is 0; the
4479 highest priority is 3. It is supposed that the Channel group is the
4480 compliment of the other 3 groups. */
4481 #define XCM_REG_GR_LD1_PR 0x20218
4482 /* [RW 1] Input nig0 Interface enable. If 0 - the valid input is
4483 disregarded; acknowledge output is deasserted; all other signals are
4484 treated as usual; if 1 - normal activity. */
4485 #define XCM_REG_NIG0_IFEN 0x20038
4486 /* [RC 1] Set at message length mismatch (relative to last indication) at
4487 the nig0 interface. */
4488 #define XCM_REG_NIG0_LENGTH_MIS 0x20238
4489 /* [RW 3] The weight of the input nig0 in the WRR mechanism. 0 stands for
4490 weight 8 (the most prioritised); 1 stands for weight 1(least
4491 prioritised); 2 stands for weight 2; tc. */
4492 #define XCM_REG_NIG0_WEIGHT 0x200d4
4493 /* [RW 1] Input nig1 Interface enable. If 0 - the valid input is
4494 disregarded; acknowledge output is deasserted; all other signals are
4495 treated as usual; if 1 - normal activity. */
4496 #define XCM_REG_NIG1_IFEN 0x2003c
4497 /* [RC 1] Set at message length mismatch (relative to last indication) at
4498 the nig1 interface. */
4499 #define XCM_REG_NIG1_LENGTH_MIS 0x2023c
4500 /* [RW 5] The number of double REG-pairs; loaded from the STORM context and
4501 sent to STORM; for a specific connection type. The double REG-pairs are
4502 used in order to align to STORM context row size of 128 bits. The offset
4503 of these data in the STORM context is always 0. Index _i stands for the
4504 connection type (one of 16). */
4505 #define XCM_REG_N_SM_CTX_LD_0 0x20060
4506 #define XCM_REG_N_SM_CTX_LD_1 0x20064
4507 #define XCM_REG_N_SM_CTX_LD_2 0x20068
4508 #define XCM_REG_N_SM_CTX_LD_3 0x2006c
4509 #define XCM_REG_N_SM_CTX_LD_4 0x20070
4510 #define XCM_REG_N_SM_CTX_LD_5 0x20074
4511 /* [RW 1] Input pbf Interface enable. If 0 - the valid input is disregarded;
4512 acknowledge output is deasserted; all other signals are treated as usual;
4513 if 1 - normal activity. */
4514 #define XCM_REG_PBF_IFEN 0x20034
4515 /* [RC 1] Set at message length mismatch (relative to last indication) at
4516 the pbf interface. */
4517 #define XCM_REG_PBF_LENGTH_MIS 0x20234
4518 /* [RW 3] The weight of the input pbf in the WRR mechanism. 0 stands for
4519 weight 8 (the most prioritised); 1 stands for weight 1(least
4520 prioritised); 2 stands for weight 2; tc. */
4521 #define XCM_REG_PBF_WEIGHT 0x200d0
4522 #define XCM_REG_PHYS_QNUM3_0 0x20100
4523 #define XCM_REG_PHYS_QNUM3_1 0x20104
4524 /* [RW 8] The Event ID for Timers formatting in case of stop done. */
4525 #define XCM_REG_STOP_EVNT_ID 0x200b8
4526 /* [RC 1] Set at message length mismatch (relative to last indication) at
4527 the STORM interface. */
4528 #define XCM_REG_STORM_LENGTH_MIS 0x2021c
4529 /* [RW 3] The weight of the STORM input in the WRR mechanism. 0 stands for
4530 weight 8 (the most prioritised); 1 stands for weight 1(least
4531 prioritised); 2 stands for weight 2; tc. */
4532 #define XCM_REG_STORM_WEIGHT 0x200bc
4533 /* [RW 1] STORM - CM Interface enable. If 0 - the valid input is
4534 disregarded; acknowledge output is deasserted; all other signals are
4535 treated as usual; if 1 - normal activity. */
4536 #define XCM_REG_STORM_XCM_IFEN 0x20010
4537 /* [RW 4] Timers output initial credit. Max credit available - 15.Write
4538 writes the initial credit value; read returns the current value of the
4539 credit counter. Must be initialized to 4 at start-up. */
4540 #define XCM_REG_TM_INIT_CRD 0x2041c
4541 /* [RW 3] The weight of the Timers input in the WRR mechanism. 0 stands for
4542 weight 8 (the most prioritised); 1 stands for weight 1(least
4543 prioritised); 2 stands for weight 2; tc. */
4544 #define XCM_REG_TM_WEIGHT 0x200ec
4545 /* [RW 28] The CM header for Timers expiration command. */
4546 #define XCM_REG_TM_XCM_HDR 0x200a8
4547 /* [RW 1] Timers - CM Interface enable. If 0 - the valid input is
4548 disregarded; acknowledge output is deasserted; all other signals are
4549 treated as usual; if 1 - normal activity. */
4550 #define XCM_REG_TM_XCM_IFEN 0x2001c
4551 /* [RW 1] Input tsem Interface enable. If 0 - the valid input is
4552 disregarded; acknowledge output is deasserted; all other signals are
4553 treated as usual; if 1 - normal activity. */
4554 #define XCM_REG_TSEM_IFEN 0x20024
4555 /* [RC 1] Set at message length mismatch (relative to last indication) at
4556 the tsem interface. */
4557 #define XCM_REG_TSEM_LENGTH_MIS 0x20224
4558 /* [RW 3] The weight of the input tsem in the WRR mechanism. 0 stands for
4559 weight 8 (the most prioritised); 1 stands for weight 1(least
4560 prioritised); 2 stands for weight 2; tc. */
4561 #define XCM_REG_TSEM_WEIGHT 0x200c0
4562 /* [RW 2] The queue index for registration on UNA greater NXT decision rule. */
4563 #define XCM_REG_UNA_GT_NXT_Q 0x20120
4564 /* [RW 1] Input usem Interface enable. If 0 - the valid input is
4565 disregarded; acknowledge output is deasserted; all other signals are
4566 treated as usual; if 1 - normal activity. */
4567 #define XCM_REG_USEM_IFEN 0x2002c
4568 /* [RC 1] Message length mismatch (relative to last indication) at the usem
4569 interface. */
4570 #define XCM_REG_USEM_LENGTH_MIS 0x2022c
4571 /* [RW 3] The weight of the input usem in the WRR mechanism. 0 stands for
4572 weight 8 (the most prioritised); 1 stands for weight 1(least
4573 prioritised); 2 stands for weight 2; tc. */
4574 #define XCM_REG_USEM_WEIGHT 0x200c8
4575 #define XCM_REG_WU_DA_CNT_CMD00 0x201d4
4576 #define XCM_REG_WU_DA_CNT_CMD01 0x201d8
4577 #define XCM_REG_WU_DA_CNT_CMD10 0x201dc
4578 #define XCM_REG_WU_DA_CNT_CMD11 0x201e0
4579 #define XCM_REG_WU_DA_CNT_UPD_VAL00 0x201e4
4580 #define XCM_REG_WU_DA_CNT_UPD_VAL01 0x201e8
4581 #define XCM_REG_WU_DA_CNT_UPD_VAL10 0x201ec
4582 #define XCM_REG_WU_DA_CNT_UPD_VAL11 0x201f0
4583 #define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD00 0x201c4
4584 #define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD01 0x201c8
4585 #define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD10 0x201cc
4586 #define XCM_REG_WU_DA_SET_TMR_CNT_FLG_CMD11 0x201d0
4587 /* [RW 1] CM - CFC Interface enable. If 0 - the valid input is disregarded;
4588 acknowledge output is deasserted; all other signals are treated as usual;
4589 if 1 - normal activity. */
4590 #define XCM_REG_XCM_CFC_IFEN 0x20050
4591 /* [RW 14] Interrupt mask register #0 read/write */
4592 #define XCM_REG_XCM_INT_MASK 0x202b4
4593 /* [R 14] Interrupt register #0 read */
4594 #define XCM_REG_XCM_INT_STS 0x202a8
4595 /* [R 30] Parity register #0 read */
4596 #define XCM_REG_XCM_PRTY_STS 0x202b8
4597 /* [RW 4] The size of AG context region 0 in REG-pairs. Designates the MS
4598 REG-pair number (e.g. if region 0 is 6 REG-pairs; the value should be 5).
4599 Is used to determine the number of the AG context REG-pairs written back;
4600 when the Reg1WbFlg isn't set. */
4601 #define XCM_REG_XCM_REG0_SZ 0x200f4
4602 /* [RW 1] CM - STORM 0 Interface enable. If 0 - the acknowledge input is
4603 disregarded; valid is deasserted; all other signals are treated as usual;
4604 if 1 - normal activity. */
4605 #define XCM_REG_XCM_STORM0_IFEN 0x20004
4606 /* [RW 1] CM - STORM 1 Interface enable. If 0 - the acknowledge input is
4607 disregarded; valid is deasserted; all other signals are treated as usual;
4608 if 1 - normal activity. */
4609 #define XCM_REG_XCM_STORM1_IFEN 0x20008
4610 /* [RW 1] CM - Timers Interface enable. If 0 - the valid input is
4611 disregarded; acknowledge output is deasserted; all other signals are
4612 treated as usual; if 1 - normal activity. */
4613 #define XCM_REG_XCM_TM_IFEN 0x20020
4614 /* [RW 1] CM - QM Interface enable. If 0 - the acknowledge input is
4615 disregarded; valid is deasserted; all other signals are treated as usual;
4616 if 1 - normal activity. */
4617 #define XCM_REG_XCM_XQM_IFEN 0x2000c
4618 /* [RW 1] If set the Q index; received from the QM is inserted to event ID. */
4619 #define XCM_REG_XCM_XQM_USE_Q 0x200f0
4620 /* [RW 4] The value by which CFC updates the activity counter at QM bypass. */
4621 #define XCM_REG_XQM_BYP_ACT_UPD 0x200fc
4622 /* [RW 6] QM output initial credit. Max credit available - 32.Write writes
4623 the initial credit value; read returns the current value of the credit
4624 counter. Must be initialized to 32 at start-up. */
4625 #define XCM_REG_XQM_INIT_CRD 0x20420
4626 /* [RW 3] The weight of the QM (primary) input in the WRR mechanism. 0
4627 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4628 prioritised); 2 stands for weight 2; tc. */
4629 #define XCM_REG_XQM_P_WEIGHT 0x200e4
4630 /* [RW 3] The weight of the QM (secondary) input in the WRR mechanism. 0
4631 stands for weight 8 (the most prioritised); 1 stands for weight 1(least
4632 prioritised); 2 stands for weight 2; tc. */
4633 #define XCM_REG_XQM_S_WEIGHT 0x200e8
4634 /* [RW 28] The CM header value for QM request (primary). */
4635 #define XCM_REG_XQM_XCM_HDR_P 0x200a0
4636 /* [RW 28] The CM header value for QM request (secondary). */
4637 #define XCM_REG_XQM_XCM_HDR_S 0x200a4
4638 /* [RW 1] QM - CM Interface enable. If 0 - the valid input is disregarded;
4639 acknowledge output is deasserted; all other signals are treated as usual;
4640 if 1 - normal activity. */
4641 #define XCM_REG_XQM_XCM_IFEN 0x20014
4642 /* [RW 1] Input SDM Interface enable. If 0 - the valid input is disregarded;
4643 acknowledge output is deasserted; all other signals are treated as usual;
4644 if 1 - normal activity. */
4645 #define XCM_REG_XSDM_IFEN 0x20018
4646 /* [RC 1] Set at message length mismatch (relative to last indication) at
4647 the SDM interface. */
4648 #define XCM_REG_XSDM_LENGTH_MIS 0x20220
4649 /* [RW 3] The weight of the SDM input in the WRR mechanism. 0 stands for
4650 weight 8 (the most prioritised); 1 stands for weight 1(least
4651 prioritised); 2 stands for weight 2; tc. */
4652 #define XCM_REG_XSDM_WEIGHT 0x200e0
4653 /* [RW 17] Indirect access to the descriptor table of the XX protection
4654 mechanism. The fields are: [5:0] - message length; 11:6] - message
4655 pointer; 16:12] - next pointer. */
4656 #define XCM_REG_XX_DESCR_TABLE 0x20480
4657 #define XCM_REG_XX_DESCR_TABLE_SIZE 32
4658 /* [R 6] Used to read the XX protection Free counter. */
4659 #define XCM_REG_XX_FREE 0x20240
4660 /* [RW 6] Initial value for the credit counter; responsible for fulfilling
4661 of the Input Stage XX protection buffer by the XX protection pending
4662 messages. Max credit available - 3.Write writes the initial credit value;
4663 read returns the current value of the credit counter. Must be initialized
4664 to 2 at start-up. */
4665 #define XCM_REG_XX_INIT_CRD 0x20424
4666 /* [RW 6] The maximum number of pending messages; which may be stored in XX
4667 protection. ~xcm_registers_xx_free.xx_free read on read. */
4668 #define XCM_REG_XX_MSG_NUM 0x20428
4669 /* [RW 8] The Event ID; sent to the STORM in case of XX overflow. */
4670 #define XCM_REG_XX_OVFL_EVNT_ID 0x20058
4671 /* [RW 16] Indirect access to the XX table of the XX protection mechanism.
4672 The fields are:[4:0] - tail pointer; 9:5] - Link List size; 14:10] -
4673 header pointer. */
4674 #define XCM_REG_XX_TABLE 0x20500
4675 /* [RW 8] The event id for aggregated interrupt 0 */
4676 #define XSDM_REG_AGG_INT_EVENT_0 0x166038
4677 #define XSDM_REG_AGG_INT_EVENT_1 0x16603c
4678 #define XSDM_REG_AGG_INT_EVENT_10 0x166060
4679 #define XSDM_REG_AGG_INT_EVENT_11 0x166064
4680 #define XSDM_REG_AGG_INT_EVENT_12 0x166068
4681 #define XSDM_REG_AGG_INT_EVENT_13 0x16606c
4682 #define XSDM_REG_AGG_INT_EVENT_14 0x166070
4683 #define XSDM_REG_AGG_INT_EVENT_2 0x166040
4684 #define XSDM_REG_AGG_INT_EVENT_3 0x166044
4685 #define XSDM_REG_AGG_INT_EVENT_4 0x166048
4686 #define XSDM_REG_AGG_INT_EVENT_5 0x16604c
4687 #define XSDM_REG_AGG_INT_EVENT_6 0x166050
4688 #define XSDM_REG_AGG_INT_EVENT_7 0x166054
4689 #define XSDM_REG_AGG_INT_EVENT_8 0x166058
4690 #define XSDM_REG_AGG_INT_EVENT_9 0x16605c
4691 /* [RW 1] For each aggregated interrupt index whether the mode is normal (0)
4692 or auto-mask-mode (1) */
4693 #define XSDM_REG_AGG_INT_MODE_0 0x1661b8
4694 #define XSDM_REG_AGG_INT_MODE_1 0x1661bc
4695 /* [RW 13] The start address in the internal RAM for the cfc_rsp lcid */
4696 #define XSDM_REG_CFC_RSP_START_ADDR 0x166008
4697 /* [RW 16] The maximum value of the competion counter #0 */
4698 #define XSDM_REG_CMP_COUNTER_MAX0 0x16601c
4699 /* [RW 16] The maximum value of the competion counter #1 */
4700 #define XSDM_REG_CMP_COUNTER_MAX1 0x166020
4701 /* [RW 16] The maximum value of the competion counter #2 */
4702 #define XSDM_REG_CMP_COUNTER_MAX2 0x166024
4703 /* [RW 16] The maximum value of the competion counter #3 */
4704 #define XSDM_REG_CMP_COUNTER_MAX3 0x166028
4705 /* [RW 13] The start address in the internal RAM for the completion
4706 counters. */
4707 #define XSDM_REG_CMP_COUNTER_START_ADDR 0x16600c
4708 #define XSDM_REG_ENABLE_IN1 0x166238
4709 #define XSDM_REG_ENABLE_IN2 0x16623c
4710 #define XSDM_REG_ENABLE_OUT1 0x166240
4711 #define XSDM_REG_ENABLE_OUT2 0x166244
4712 /* [RW 4] The initial number of messages that can be sent to the pxp control
4713 interface without receiving any ACK. */
4714 #define XSDM_REG_INIT_CREDIT_PXP_CTRL 0x1664bc
4715 /* [ST 32] The number of ACK after placement messages received */
4716 #define XSDM_REG_NUM_OF_ACK_AFTER_PLACE 0x16627c
4717 /* [ST 32] The number of packet end messages received from the parser */
4718 #define XSDM_REG_NUM_OF_PKT_END_MSG 0x166274
4719 /* [ST 32] The number of requests received from the pxp async if */
4720 #define XSDM_REG_NUM_OF_PXP_ASYNC_REQ 0x166278
4721 /* [ST 32] The number of commands received in queue 0 */
4722 #define XSDM_REG_NUM_OF_Q0_CMD 0x166248
4723 /* [ST 32] The number of commands received in queue 10 */
4724 #define XSDM_REG_NUM_OF_Q10_CMD 0x16626c
4725 /* [ST 32] The number of commands received in queue 11 */
4726 #define XSDM_REG_NUM_OF_Q11_CMD 0x166270
4727 /* [ST 32] The number of commands received in queue 1 */
4728 #define XSDM_REG_NUM_OF_Q1_CMD 0x16624c
4729 /* [ST 32] The number of commands received in queue 3 */
4730 #define XSDM_REG_NUM_OF_Q3_CMD 0x166250
4731 /* [ST 32] The number of commands received in queue 4 */
4732 #define XSDM_REG_NUM_OF_Q4_CMD 0x166254
4733 /* [ST 32] The number of commands received in queue 5 */
4734 #define XSDM_REG_NUM_OF_Q5_CMD 0x166258
4735 /* [ST 32] The number of commands received in queue 6 */
4736 #define XSDM_REG_NUM_OF_Q6_CMD 0x16625c
4737 /* [ST 32] The number of commands received in queue 7 */
4738 #define XSDM_REG_NUM_OF_Q7_CMD 0x166260
4739 /* [ST 32] The number of commands received in queue 8 */
4740 #define XSDM_REG_NUM_OF_Q8_CMD 0x166264
4741 /* [ST 32] The number of commands received in queue 9 */
4742 #define XSDM_REG_NUM_OF_Q9_CMD 0x166268
4743 /* [RW 13] The start address in the internal RAM for queue counters */
4744 #define XSDM_REG_Q_COUNTER_START_ADDR 0x166010
4745 /* [R 1] pxp_ctrl rd_data fifo empty in sdm_dma_rsp block */
4746 #define XSDM_REG_RSP_PXP_CTRL_RDATA_EMPTY 0x166548
4747 /* [R 1] parser fifo empty in sdm_sync block */
4748 #define XSDM_REG_SYNC_PARSER_EMPTY 0x166550
4749 /* [R 1] parser serial fifo empty in sdm_sync block */
4750 #define XSDM_REG_SYNC_SYNC_EMPTY 0x166558
4751 /* [RW 32] Tick for timer counter. Applicable only when
4752 ~xsdm_registers_timer_tick_enable.timer_tick_enable =1 */
4753 #define XSDM_REG_TIMER_TICK 0x166000
4754 /* [RW 32] Interrupt mask register #0 read/write */
4755 #define XSDM_REG_XSDM_INT_MASK_0 0x16629c
4756 #define XSDM_REG_XSDM_INT_MASK_1 0x1662ac
4757 /* [R 32] Interrupt register #0 read */
4758 #define XSDM_REG_XSDM_INT_STS_0 0x166290
4759 #define XSDM_REG_XSDM_INT_STS_1 0x1662a0
4760 /* [RW 11] Parity mask register #0 read/write */
4761 #define XSDM_REG_XSDM_PRTY_MASK 0x1662bc
4762 /* [R 11] Parity register #0 read */
4763 #define XSDM_REG_XSDM_PRTY_STS 0x1662b0
4764 /* [RW 5] The number of time_slots in the arbitration cycle */
4765 #define XSEM_REG_ARB_CYCLE_SIZE 0x280034
4766 /* [RW 3] The source that is associated with arbitration element 0. Source
4767 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4768 sleeping thread with priority 1; 4- sleeping thread with priority 2 */
4769 #define XSEM_REG_ARB_ELEMENT0 0x280020
4770 /* [RW 3] The source that is associated with arbitration element 1. Source
4771 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4772 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4773 Could not be equal to register ~xsem_registers_arb_element0.arb_element0 */
4774 #define XSEM_REG_ARB_ELEMENT1 0x280024
4775 /* [RW 3] The source that is associated with arbitration element 2. Source
4776 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4777 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4778 Could not be equal to register ~xsem_registers_arb_element0.arb_element0
4779 and ~xsem_registers_arb_element1.arb_element1 */
4780 #define XSEM_REG_ARB_ELEMENT2 0x280028
4781 /* [RW 3] The source that is associated with arbitration element 3. Source
4782 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4783 sleeping thread with priority 1; 4- sleeping thread with priority 2.Could
4784 not be equal to register ~xsem_registers_arb_element0.arb_element0 and
4785 ~xsem_registers_arb_element1.arb_element1 and
4786 ~xsem_registers_arb_element2.arb_element2 */
4787 #define XSEM_REG_ARB_ELEMENT3 0x28002c
4788 /* [RW 3] The source that is associated with arbitration element 4. Source
4789 decoding is: 0- foc0; 1-fic1; 2-sleeping thread with priority 0; 3-
4790 sleeping thread with priority 1; 4- sleeping thread with priority 2.
4791 Could not be equal to register ~xsem_registers_arb_element0.arb_element0
4792 and ~xsem_registers_arb_element1.arb_element1 and
4793 ~xsem_registers_arb_element2.arb_element2 and
4794 ~xsem_registers_arb_element3.arb_element3 */
4795 #define XSEM_REG_ARB_ELEMENT4 0x280030
4796 #define XSEM_REG_ENABLE_IN 0x2800a4
4797 #define XSEM_REG_ENABLE_OUT 0x2800a8
4798 /* [RW 32] This address space contains all registers and memories that are
4799 placed in SEM_FAST block. The SEM_FAST registers are described in
4800 appendix B. In order to access the sem_fast registers the base address
4801 ~fast_memory.fast_memory should be added to eachsem_fast register offset. */
4802 #define XSEM_REG_FAST_MEMORY 0x2a0000
4803 /* [RW 1] Disables input messages from FIC0 May be updated during run_time
4804 by the microcode */
4805 #define XSEM_REG_FIC0_DISABLE 0x280224
4806 /* [RW 1] Disables input messages from FIC1 May be updated during run_time
4807 by the microcode */
4808 #define XSEM_REG_FIC1_DISABLE 0x280234
4809 /* [RW 15] Interrupt table Read and write access to it is not possible in
4810 the middle of the work */
4811 #define XSEM_REG_INT_TABLE 0x280400
4812 /* [ST 24] Statistics register. The number of messages that entered through
4813 FIC0 */
4814 #define XSEM_REG_MSG_NUM_FIC0 0x280000
4815 /* [ST 24] Statistics register. The number of messages that entered through
4816 FIC1 */
4817 #define XSEM_REG_MSG_NUM_FIC1 0x280004
4818 /* [ST 24] Statistics register. The number of messages that were sent to
4819 FOC0 */
4820 #define XSEM_REG_MSG_NUM_FOC0 0x280008
4821 /* [ST 24] Statistics register. The number of messages that were sent to
4822 FOC1 */
4823 #define XSEM_REG_MSG_NUM_FOC1 0x28000c
4824 /* [ST 24] Statistics register. The number of messages that were sent to
4825 FOC2 */
4826 #define XSEM_REG_MSG_NUM_FOC2 0x280010
4827 /* [ST 24] Statistics register. The number of messages that were sent to
4828 FOC3 */
4829 #define XSEM_REG_MSG_NUM_FOC3 0x280014
4830 /* [RW 1] Disables input messages from the passive buffer May be updated
4831 during run_time by the microcode */
4832 #define XSEM_REG_PAS_DISABLE 0x28024c
4833 /* [WB 128] Debug only. Passive buffer memory */
4834 #define XSEM_REG_PASSIVE_BUFFER 0x282000
4835 /* [WB 46] pram memory. B45 is parity; b[44:0] - data. */
4836 #define XSEM_REG_PRAM 0x2c0000
4837 /* [R 16] Valid sleeping threads indication have bit per thread */
4838 #define XSEM_REG_SLEEP_THREADS_VALID 0x28026c
4839 /* [R 1] EXT_STORE FIFO is empty in sem_slow_ls_ext */
4840 #define XSEM_REG_SLOW_EXT_STORE_EMPTY 0x2802a0
4841 /* [RW 16] List of free threads . There is a bit per thread. */
4842 #define XSEM_REG_THREADS_LIST 0x2802e4
4843 /* [RW 3] The arbitration scheme of time_slot 0 */
4844 #define XSEM_REG_TS_0_AS 0x280038
4845 /* [RW 3] The arbitration scheme of time_slot 10 */
4846 #define XSEM_REG_TS_10_AS 0x280060
4847 /* [RW 3] The arbitration scheme of time_slot 11 */
4848 #define XSEM_REG_TS_11_AS 0x280064
4849 /* [RW 3] The arbitration scheme of time_slot 12 */
4850 #define XSEM_REG_TS_12_AS 0x280068
4851 /* [RW 3] The arbitration scheme of time_slot 13 */
4852 #define XSEM_REG_TS_13_AS 0x28006c
4853 /* [RW 3] The arbitration scheme of time_slot 14 */
4854 #define XSEM_REG_TS_14_AS 0x280070
4855 /* [RW 3] The arbitration scheme of time_slot 15 */
4856 #define XSEM_REG_TS_15_AS 0x280074
4857 /* [RW 3] The arbitration scheme of time_slot 16 */
4858 #define XSEM_REG_TS_16_AS 0x280078
4859 /* [RW 3] The arbitration scheme of time_slot 17 */
4860 #define XSEM_REG_TS_17_AS 0x28007c
4861 /* [RW 3] The arbitration scheme of time_slot 18 */
4862 #define XSEM_REG_TS_18_AS 0x280080
4863 /* [RW 3] The arbitration scheme of time_slot 1 */
4864 #define XSEM_REG_TS_1_AS 0x28003c
4865 /* [RW 3] The arbitration scheme of time_slot 2 */
4866 #define XSEM_REG_TS_2_AS 0x280040
4867 /* [RW 3] The arbitration scheme of time_slot 3 */
4868 #define XSEM_REG_TS_3_AS 0x280044
4869 /* [RW 3] The arbitration scheme of time_slot 4 */
4870 #define XSEM_REG_TS_4_AS 0x280048
4871 /* [RW 3] The arbitration scheme of time_slot 5 */
4872 #define XSEM_REG_TS_5_AS 0x28004c
4873 /* [RW 3] The arbitration scheme of time_slot 6 */
4874 #define XSEM_REG_TS_6_AS 0x280050
4875 /* [RW 3] The arbitration scheme of time_slot 7 */
4876 #define XSEM_REG_TS_7_AS 0x280054
4877 /* [RW 3] The arbitration scheme of time_slot 8 */
4878 #define XSEM_REG_TS_8_AS 0x280058
4879 /* [RW 3] The arbitration scheme of time_slot 9 */
4880 #define XSEM_REG_TS_9_AS 0x28005c
4881 /* [W 7] VF or PF ID for reset error bit. Values 0-63 reset error bit for 64
4882 * VF; values 64-67 reset error for 4 PF; values 68-127 are not valid. */
4883 #define XSEM_REG_VFPF_ERR_NUM 0x280380
4884 /* [RW 32] Interrupt mask register #0 read/write */
4885 #define XSEM_REG_XSEM_INT_MASK_0 0x280110
4886 #define XSEM_REG_XSEM_INT_MASK_1 0x280120
4887 /* [R 32] Interrupt register #0 read */
4888 #define XSEM_REG_XSEM_INT_STS_0 0x280104
4889 #define XSEM_REG_XSEM_INT_STS_1 0x280114
4890 /* [RW 32] Parity mask register #0 read/write */
4891 #define XSEM_REG_XSEM_PRTY_MASK_0 0x280130
4892 #define XSEM_REG_XSEM_PRTY_MASK_1 0x280140
4893 /* [R 32] Parity register #0 read */
4894 #define XSEM_REG_XSEM_PRTY_STS_0 0x280124
4895 #define XSEM_REG_XSEM_PRTY_STS_1 0x280134
4896 #define MCPR_NVM_ACCESS_ENABLE_EN (1L<<0)
4897 #define MCPR_NVM_ACCESS_ENABLE_WR_EN (1L<<1)
4898 #define MCPR_NVM_ADDR_NVM_ADDR_VALUE (0xffffffL<<0)
4899 #define MCPR_NVM_CFG4_FLASH_SIZE (0x7L<<0)
4900 #define MCPR_NVM_COMMAND_DOIT (1L<<4)
4901 #define MCPR_NVM_COMMAND_DONE (1L<<3)
4902 #define MCPR_NVM_COMMAND_FIRST (1L<<7)
4903 #define MCPR_NVM_COMMAND_LAST (1L<<8)
4904 #define MCPR_NVM_COMMAND_WR (1L<<5)
4905 #define MCPR_NVM_SW_ARB_ARB_ARB1 (1L<<9)
4906 #define MCPR_NVM_SW_ARB_ARB_REQ_CLR1 (1L<<5)
4907 #define MCPR_NVM_SW_ARB_ARB_REQ_SET1 (1L<<1)
4908 #define BIGMAC_REGISTER_BMAC_CONTROL (0x00<<3)
4909 #define BIGMAC_REGISTER_BMAC_XGXS_CONTROL (0x01<<3)
4910 #define BIGMAC_REGISTER_CNT_MAX_SIZE (0x05<<3)
4911 #define BIGMAC_REGISTER_RX_CONTROL (0x21<<3)
4912 #define BIGMAC_REGISTER_RX_LLFC_MSG_FLDS (0x46<<3)
4913 #define BIGMAC_REGISTER_RX_MAX_SIZE (0x23<<3)
4914 #define BIGMAC_REGISTER_RX_STAT_GR64 (0x26<<3)
4915 #define BIGMAC_REGISTER_RX_STAT_GRIPJ (0x42<<3)
4916 #define BIGMAC_REGISTER_TX_CONTROL (0x07<<3)
4917 #define BIGMAC_REGISTER_TX_MAX_SIZE (0x09<<3)
4918 #define BIGMAC_REGISTER_TX_PAUSE_THRESHOLD (0x0A<<3)
4919 #define BIGMAC_REGISTER_TX_SOURCE_ADDR (0x08<<3)
4920 #define BIGMAC_REGISTER_TX_STAT_GTBYT (0x20<<3)
4921 #define BIGMAC_REGISTER_TX_STAT_GTPKT (0x0C<<3)
4922 #define BIGMAC2_REGISTER_BMAC_CONTROL (0x00<<3)
4923 #define BIGMAC2_REGISTER_BMAC_XGXS_CONTROL (0x01<<3)
4924 #define BIGMAC2_REGISTER_CNT_MAX_SIZE (0x05<<3)
4925 #define BIGMAC2_REGISTER_PFC_CONTROL (0x06<<3)
4926 #define BIGMAC2_REGISTER_RX_CONTROL (0x3A<<3)
4927 #define BIGMAC2_REGISTER_RX_LLFC_MSG_FLDS (0x62<<3)
4928 #define BIGMAC2_REGISTER_RX_MAX_SIZE (0x3C<<3)
4929 #define BIGMAC2_REGISTER_RX_STAT_GR64 (0x40<<3)
4930 #define BIGMAC2_REGISTER_RX_STAT_GRIPJ (0x5f<<3)
4931 #define BIGMAC2_REGISTER_RX_STAT_GRPP (0x51<<3)
4932 #define BIGMAC2_REGISTER_TX_CONTROL (0x1C<<3)
4933 #define BIGMAC2_REGISTER_TX_MAX_SIZE (0x1E<<3)
4934 #define BIGMAC2_REGISTER_TX_PAUSE_CONTROL (0x20<<3)
4935 #define BIGMAC2_REGISTER_TX_SOURCE_ADDR (0x1D<<3)
4936 #define BIGMAC2_REGISTER_TX_STAT_GTBYT (0x39<<3)
4937 #define BIGMAC2_REGISTER_TX_STAT_GTPOK (0x22<<3)
4938 #define BIGMAC2_REGISTER_TX_STAT_GTPP (0x24<<3)
4939 #define EMAC_LED_1000MB_OVERRIDE (1L<<1)
4940 #define EMAC_LED_100MB_OVERRIDE (1L<<2)
4941 #define EMAC_LED_10MB_OVERRIDE (1L<<3)
4942 #define EMAC_LED_2500MB_OVERRIDE (1L<<12)
4943 #define EMAC_LED_OVERRIDE (1L<<0)
4944 #define EMAC_LED_TRAFFIC (1L<<6)
4945 #define EMAC_MDIO_COMM_COMMAND_ADDRESS (0L<<26)
4946 #define EMAC_MDIO_COMM_COMMAND_READ_45 (3L<<26)
4947 #define EMAC_MDIO_COMM_COMMAND_WRITE_45 (1L<<26)
4948 #define EMAC_MDIO_COMM_DATA (0xffffL<<0)
4949 #define EMAC_MDIO_COMM_START_BUSY (1L<<29)
4950 #define EMAC_MDIO_MODE_AUTO_POLL (1L<<4)
4951 #define EMAC_MDIO_MODE_CLAUSE_45 (1L<<31)
4952 #define EMAC_MDIO_MODE_CLOCK_CNT (0x3fL<<16)
4953 #define EMAC_MDIO_MODE_CLOCK_CNT_BITSHIFT 16
4954 #define EMAC_MODE_25G_MODE (1L<<5)
4955 #define EMAC_MODE_HALF_DUPLEX (1L<<1)
4956 #define EMAC_MODE_PORT_GMII (2L<<2)
4957 #define EMAC_MODE_PORT_MII (1L<<2)
4958 #define EMAC_MODE_PORT_MII_10M (3L<<2)
4959 #define EMAC_MODE_RESET (1L<<0)
4960 #define EMAC_REG_EMAC_LED 0xc
4961 #define EMAC_REG_EMAC_MAC_MATCH 0x10
4962 #define EMAC_REG_EMAC_MDIO_COMM 0xac
4963 #define EMAC_REG_EMAC_MDIO_MODE 0xb4
4964 #define EMAC_REG_EMAC_MODE 0x0
4965 #define EMAC_REG_EMAC_RX_MODE 0xc8
4966 #define EMAC_REG_EMAC_RX_MTU_SIZE 0x9c
4967 #define EMAC_REG_EMAC_RX_STAT_AC 0x180
4968 #define EMAC_REG_EMAC_RX_STAT_AC_28 0x1f4
4969 #define EMAC_REG_EMAC_RX_STAT_AC_COUNT 23
4970 #define EMAC_REG_EMAC_TX_MODE 0xbc
4971 #define EMAC_REG_EMAC_TX_STAT_AC 0x280
4972 #define EMAC_REG_EMAC_TX_STAT_AC_COUNT 22
4973 #define EMAC_RX_MODE_FLOW_EN (1L<<2)
4974 #define EMAC_RX_MODE_KEEP_VLAN_TAG (1L<<10)
4975 #define EMAC_RX_MODE_PROMISCUOUS (1L<<8)
4976 #define EMAC_RX_MODE_RESET (1L<<0)
4977 #define EMAC_RX_MTU_SIZE_JUMBO_ENA (1L<<31)
4978 #define EMAC_TX_MODE_EXT_PAUSE_EN (1L<<3)
4979 #define EMAC_TX_MODE_FLOW_EN (1L<<4)
4980 #define EMAC_TX_MODE_RESET (1L<<0)
4981 #define MISC_REGISTERS_GPIO_0 0
4982 #define MISC_REGISTERS_GPIO_1 1
4983 #define MISC_REGISTERS_GPIO_2 2
4984 #define MISC_REGISTERS_GPIO_3 3
4985 #define MISC_REGISTERS_GPIO_CLR_POS 16
4986 #define MISC_REGISTERS_GPIO_FLOAT (0xffL<<24)
4987 #define MISC_REGISTERS_GPIO_FLOAT_POS 24
4988 #define MISC_REGISTERS_GPIO_HIGH 1
4989 #define MISC_REGISTERS_GPIO_INPUT_HI_Z 2
4990 #define MISC_REGISTERS_GPIO_INT_CLR_POS 24
4991 #define MISC_REGISTERS_GPIO_INT_OUTPUT_CLR 0
4992 #define MISC_REGISTERS_GPIO_INT_OUTPUT_SET 1
4993 #define MISC_REGISTERS_GPIO_INT_SET_POS 16
4994 #define MISC_REGISTERS_GPIO_LOW 0
4995 #define MISC_REGISTERS_GPIO_OUTPUT_HIGH 1
4996 #define MISC_REGISTERS_GPIO_OUTPUT_LOW 0
4997 #define MISC_REGISTERS_GPIO_PORT_SHIFT 4
4998 #define MISC_REGISTERS_GPIO_SET_POS 8
4999 #define MISC_REGISTERS_RESET_REG_1_CLEAR 0x588
5000 #define MISC_REGISTERS_RESET_REG_1_RST_HC (0x1<<29)
5001 #define MISC_REGISTERS_RESET_REG_1_RST_NIG (0x1<<7)
5002 #define MISC_REGISTERS_RESET_REG_1_RST_PXP (0x1<<26)
5003 #define MISC_REGISTERS_RESET_REG_1_RST_PXPV (0x1<<27)
5004 #define MISC_REGISTERS_RESET_REG_1_SET 0x584
5005 #define MISC_REGISTERS_RESET_REG_2_CLEAR 0x598
5006 #define MISC_REGISTERS_RESET_REG_2_RST_BMAC0 (0x1<<0)
5007 #define MISC_REGISTERS_RESET_REG_2_RST_EMAC0_HARD_CORE (0x1<<14)
5008 #define MISC_REGISTERS_RESET_REG_2_RST_EMAC1_HARD_CORE (0x1<<15)
5009 #define MISC_REGISTERS_RESET_REG_2_RST_GRC (0x1<<4)
5010 #define MISC_REGISTERS_RESET_REG_2_RST_MCP_N_HARD_CORE_RST_B (0x1<<6)
5011 #define MISC_REGISTERS_RESET_REG_2_RST_MCP_N_RESET_REG_HARD_CORE (0x1<<5)
5012 #define MISC_REGISTERS_RESET_REG_2_RST_MDIO (0x1<<13)
5013 #define MISC_REGISTERS_RESET_REG_2_RST_MISC_CORE (0x1<<11)
5014 #define MISC_REGISTERS_RESET_REG_2_RST_RBCN (0x1<<9)
5015 #define MISC_REGISTERS_RESET_REG_2_SET 0x594
5016 #define MISC_REGISTERS_RESET_REG_3_CLEAR 0x5a8
5017 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_IDDQ (0x1<<1)
5018 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_PWRDWN (0x1<<2)
5019 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_PWRDWN_SD (0x1<<3)
5020 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_SERDES0_RSTB_HW (0x1<<0)
5021 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_IDDQ (0x1<<5)
5022 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_PWRDWN (0x1<<6)
5023 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_PWRDWN_SD (0x1<<7)
5024 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_RSTB_HW (0x1<<4)
5025 #define MISC_REGISTERS_RESET_REG_3_MISC_NIG_MUX_XGXS0_TXD_FIFO_RSTB (0x1<<8)
5026 #define MISC_REGISTERS_RESET_REG_3_SET 0x5a4
5027 #define MISC_REGISTERS_SPIO_4 4
5028 #define MISC_REGISTERS_SPIO_5 5
5029 #define MISC_REGISTERS_SPIO_7 7
5030 #define MISC_REGISTERS_SPIO_CLR_POS 16
5031 #define MISC_REGISTERS_SPIO_FLOAT (0xffL<<24)
5032 #define MISC_REGISTERS_SPIO_FLOAT_POS 24
5033 #define MISC_REGISTERS_SPIO_INPUT_HI_Z 2
5034 #define MISC_REGISTERS_SPIO_INT_OLD_SET_POS 16
5035 #define MISC_REGISTERS_SPIO_OUTPUT_HIGH 1
5036 #define MISC_REGISTERS_SPIO_OUTPUT_LOW 0
5037 #define MISC_REGISTERS_SPIO_SET_POS 8
5038 #define HW_LOCK_MAX_RESOURCE_VALUE 31
5039 #define HW_LOCK_RESOURCE_GPIO 1
5040 #define HW_LOCK_RESOURCE_MDIO 0
5041 #define HW_LOCK_RESOURCE_PORT0_ATT_MASK 3
5042 #define HW_LOCK_RESOURCE_RESERVED_08 8
5043 #define HW_LOCK_RESOURCE_SPIO 2
5044 #define HW_LOCK_RESOURCE_UNDI 5
5045 #define PRS_FLAG_OVERETH_IPV4 1
5046 #define AEU_INPUTS_ATTN_BITS_ATC_HW_INTERRUPT (0x1<<4)
5047 #define AEU_INPUTS_ATTN_BITS_ATC_PARITY_ERROR (0x1<<5)
5048 #define AEU_INPUTS_ATTN_BITS_BRB_PARITY_ERROR (1<<18)
5049 #define AEU_INPUTS_ATTN_BITS_CCM_HW_INTERRUPT (1<<31)
5050 #define AEU_INPUTS_ATTN_BITS_CDU_HW_INTERRUPT (1<<9)
5051 #define AEU_INPUTS_ATTN_BITS_CDU_PARITY_ERROR (1<<8)
5052 #define AEU_INPUTS_ATTN_BITS_CFC_HW_INTERRUPT (1<<7)
5053 #define AEU_INPUTS_ATTN_BITS_CFC_PARITY_ERROR (1<<6)
5054 #define AEU_INPUTS_ATTN_BITS_CSDM_HW_INTERRUPT (1<<29)
5055 #define AEU_INPUTS_ATTN_BITS_CSDM_PARITY_ERROR (1<<28)
5056 #define AEU_INPUTS_ATTN_BITS_CSEMI_HW_INTERRUPT (1<<1)
5057 #define AEU_INPUTS_ATTN_BITS_CSEMI_PARITY_ERROR (1<<0)
5058 #define AEU_INPUTS_ATTN_BITS_DEBUG_PARITY_ERROR (1<<18)
5059 #define AEU_INPUTS_ATTN_BITS_DMAE_HW_INTERRUPT (1<<11)
5060 #define AEU_INPUTS_ATTN_BITS_DOORBELLQ_HW_INTERRUPT (1<<13)
5061 #define AEU_INPUTS_ATTN_BITS_DOORBELLQ_PARITY_ERROR (1<<12)
5062 #define AEU_INPUTS_ATTN_BITS_GPIO3_FUNCTION_0 (1<<5)
5063 #define AEU_INPUTS_ATTN_BITS_GPIO3_FUNCTION_1 (1<<9)
5064 #define AEU_INPUTS_ATTN_BITS_IGU_PARITY_ERROR (1<<12)
5065 #define AEU_INPUTS_ATTN_BITS_MCP_LATCHED_ROM_PARITY (1<<28)
5066 #define AEU_INPUTS_ATTN_BITS_MCP_LATCHED_SCPAD_PARITY (1<<31)
5067 #define AEU_INPUTS_ATTN_BITS_MCP_LATCHED_UMP_RX_PARITY (1<<29)
5068 #define AEU_INPUTS_ATTN_BITS_MCP_LATCHED_UMP_TX_PARITY (1<<30)
5069 #define AEU_INPUTS_ATTN_BITS_MISC_HW_INTERRUPT (1<<15)
5070 #define AEU_INPUTS_ATTN_BITS_MISC_PARITY_ERROR (1<<14)
5071 #define AEU_INPUTS_ATTN_BITS_PARSER_PARITY_ERROR (1<<20)
5072 #define AEU_INPUTS_ATTN_BITS_PBCLIENT_PARITY_ERROR (1<<0)
5073 #define AEU_INPUTS_ATTN_BITS_PBF_HW_INTERRUPT (1<<31)
5074 #define AEU_INPUTS_ATTN_BITS_PGLUE_HW_INTERRUPT (0x1<<2)
5075 #define AEU_INPUTS_ATTN_BITS_PGLUE_PARITY_ERROR (0x1<<3)
5076 #define AEU_INPUTS_ATTN_BITS_PXP_HW_INTERRUPT (1<<3)
5077 #define AEU_INPUTS_ATTN_BITS_PXP_PARITY_ERROR (1<<2)
5078 #define AEU_INPUTS_ATTN_BITS_PXPPCICLOCKCLIENT_HW_INTERRUPT (1<<5)
5079 #define AEU_INPUTS_ATTN_BITS_PXPPCICLOCKCLIENT_PARITY_ERROR (1<<4)
5080 #define AEU_INPUTS_ATTN_BITS_QM_HW_INTERRUPT (1<<3)
5081 #define AEU_INPUTS_ATTN_BITS_QM_PARITY_ERROR (1<<2)
5082 #define AEU_INPUTS_ATTN_BITS_SEARCHER_PARITY_ERROR (1<<22)
5083 #define AEU_INPUTS_ATTN_BITS_SPIO5 (1<<15)
5084 #define AEU_INPUTS_ATTN_BITS_TCM_HW_INTERRUPT (1<<27)
5085 #define AEU_INPUTS_ATTN_BITS_TIMERS_HW_INTERRUPT (1<<5)
5086 #define AEU_INPUTS_ATTN_BITS_TSDM_HW_INTERRUPT (1<<25)
5087 #define AEU_INPUTS_ATTN_BITS_TSDM_PARITY_ERROR (1<<24)
5088 #define AEU_INPUTS_ATTN_BITS_TSEMI_HW_INTERRUPT (1<<29)
5089 #define AEU_INPUTS_ATTN_BITS_TSEMI_PARITY_ERROR (1<<28)
5090 #define AEU_INPUTS_ATTN_BITS_UCM_HW_INTERRUPT (1<<23)
5091 #define AEU_INPUTS_ATTN_BITS_UPB_HW_INTERRUPT (1<<27)
5092 #define AEU_INPUTS_ATTN_BITS_UPB_PARITY_ERROR (1<<26)
5093 #define AEU_INPUTS_ATTN_BITS_USDM_HW_INTERRUPT (1<<21)
5094 #define AEU_INPUTS_ATTN_BITS_USDM_PARITY_ERROR (1<<20)
5095 #define AEU_INPUTS_ATTN_BITS_USEMI_HW_INTERRUPT (1<<25)
5096 #define AEU_INPUTS_ATTN_BITS_USEMI_PARITY_ERROR (1<<24)
5097 #define AEU_INPUTS_ATTN_BITS_VAUX_PCI_CORE_PARITY_ERROR (1<<16)
5098 #define AEU_INPUTS_ATTN_BITS_XCM_HW_INTERRUPT (1<<9)
5099 #define AEU_INPUTS_ATTN_BITS_XSDM_HW_INTERRUPT (1<<7)
5100 #define AEU_INPUTS_ATTN_BITS_XSDM_PARITY_ERROR (1<<6)
5101 #define AEU_INPUTS_ATTN_BITS_XSEMI_HW_INTERRUPT (1<<11)
5102 #define AEU_INPUTS_ATTN_BITS_XSEMI_PARITY_ERROR (1<<10)
5103 #define RESERVED_GENERAL_ATTENTION_BIT_0 0
5104
5105 #define EVEREST_GEN_ATTN_IN_USE_MASK 0x3ffe0
5106 #define EVEREST_LATCHED_ATTN_IN_USE_MASK 0xffe00000
5107
5108 #define RESERVED_GENERAL_ATTENTION_BIT_6 6
5109 #define RESERVED_GENERAL_ATTENTION_BIT_7 7
5110 #define RESERVED_GENERAL_ATTENTION_BIT_8 8
5111 #define RESERVED_GENERAL_ATTENTION_BIT_9 9
5112 #define RESERVED_GENERAL_ATTENTION_BIT_10 10
5113 #define RESERVED_GENERAL_ATTENTION_BIT_11 11
5114 #define RESERVED_GENERAL_ATTENTION_BIT_12 12
5115 #define RESERVED_GENERAL_ATTENTION_BIT_13 13
5116 #define RESERVED_GENERAL_ATTENTION_BIT_14 14
5117 #define RESERVED_GENERAL_ATTENTION_BIT_15 15
5118 #define RESERVED_GENERAL_ATTENTION_BIT_16 16
5119 #define RESERVED_GENERAL_ATTENTION_BIT_17 17
5120 #define RESERVED_GENERAL_ATTENTION_BIT_18 18
5121 #define RESERVED_GENERAL_ATTENTION_BIT_19 19
5122 #define RESERVED_GENERAL_ATTENTION_BIT_20 20
5123 #define RESERVED_GENERAL_ATTENTION_BIT_21 21
5124
5125 /* storm asserts attention bits */
5126 #define TSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_7
5127 #define USTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_8
5128 #define CSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_9
5129 #define XSTORM_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_10
5130
5131 /* mcp error attention bit */
5132 #define MCP_FATAL_ASSERT_ATTENTION_BIT RESERVED_GENERAL_ATTENTION_BIT_11
5133
5134 /*E1H NIG status sync attention mapped to group 4-7*/
5135 #define LINK_SYNC_ATTENTION_BIT_FUNC_0 RESERVED_GENERAL_ATTENTION_BIT_12
5136 #define LINK_SYNC_ATTENTION_BIT_FUNC_1 RESERVED_GENERAL_ATTENTION_BIT_13
5137 #define LINK_SYNC_ATTENTION_BIT_FUNC_2 RESERVED_GENERAL_ATTENTION_BIT_14
5138 #define LINK_SYNC_ATTENTION_BIT_FUNC_3 RESERVED_GENERAL_ATTENTION_BIT_15
5139 #define LINK_SYNC_ATTENTION_BIT_FUNC_4 RESERVED_GENERAL_ATTENTION_BIT_16
5140 #define LINK_SYNC_ATTENTION_BIT_FUNC_5 RESERVED_GENERAL_ATTENTION_BIT_17
5141 #define LINK_SYNC_ATTENTION_BIT_FUNC_6 RESERVED_GENERAL_ATTENTION_BIT_18
5142 #define LINK_SYNC_ATTENTION_BIT_FUNC_7 RESERVED_GENERAL_ATTENTION_BIT_19
5143
5144
5145 #define LATCHED_ATTN_RBCR 23
5146 #define LATCHED_ATTN_RBCT 24
5147 #define LATCHED_ATTN_RBCN 25
5148 #define LATCHED_ATTN_RBCU 26
5149 #define LATCHED_ATTN_RBCP 27
5150 #define LATCHED_ATTN_TIMEOUT_GRC 28
5151 #define LATCHED_ATTN_RSVD_GRC 29
5152 #define LATCHED_ATTN_ROM_PARITY_MCP 30
5153 #define LATCHED_ATTN_UM_RX_PARITY_MCP 31
5154 #define LATCHED_ATTN_UM_TX_PARITY_MCP 32
5155 #define LATCHED_ATTN_SCPAD_PARITY_MCP 33
5156
5157 #define GENERAL_ATTEN_WORD(atten_name) ((94 + atten_name) / 32)
5158 #define GENERAL_ATTEN_OFFSET(atten_name)\
5159 (1UL << ((94 + atten_name) % 32))
5160 /*
5161 * This file defines GRC base address for every block.
5162 * This file is included by chipsim, asm microcode and cpp microcode.
5163 * These values are used in Design.xml on regBase attribute
5164 * Use the base with the generated offsets of specific registers.
5165 */
5166
5167 #define GRCBASE_PXPCS 0x000000
5168 #define GRCBASE_PCICONFIG 0x002000
5169 #define GRCBASE_PCIREG 0x002400
5170 #define GRCBASE_EMAC0 0x008000
5171 #define GRCBASE_EMAC1 0x008400
5172 #define GRCBASE_DBU 0x008800
5173 #define GRCBASE_MISC 0x00A000
5174 #define GRCBASE_DBG 0x00C000
5175 #define GRCBASE_NIG 0x010000
5176 #define GRCBASE_XCM 0x020000
5177 #define GRCBASE_PRS 0x040000
5178 #define GRCBASE_SRCH 0x040400
5179 #define GRCBASE_TSDM 0x042000
5180 #define GRCBASE_TCM 0x050000
5181 #define GRCBASE_BRB1 0x060000
5182 #define GRCBASE_MCP 0x080000
5183 #define GRCBASE_UPB 0x0C1000
5184 #define GRCBASE_CSDM 0x0C2000
5185 #define GRCBASE_USDM 0x0C4000
5186 #define GRCBASE_CCM 0x0D0000
5187 #define GRCBASE_UCM 0x0E0000
5188 #define GRCBASE_CDU 0x101000
5189 #define GRCBASE_DMAE 0x102000
5190 #define GRCBASE_PXP 0x103000
5191 #define GRCBASE_CFC 0x104000
5192 #define GRCBASE_HC 0x108000
5193 #define GRCBASE_PXP2 0x120000
5194 #define GRCBASE_PBF 0x140000
5195 #define GRCBASE_XPB 0x161000
5196 #define GRCBASE_TIMERS 0x164000
5197 #define GRCBASE_XSDM 0x166000
5198 #define GRCBASE_QM 0x168000
5199 #define GRCBASE_DQ 0x170000
5200 #define GRCBASE_TSEM 0x180000
5201 #define GRCBASE_CSEM 0x200000
5202 #define GRCBASE_XSEM 0x280000
5203 #define GRCBASE_USEM 0x300000
5204 #define GRCBASE_MISC_AEU GRCBASE_MISC
5205
5206
5207 /* offset of configuration space in the pci core register */
5208 #define PCICFG_OFFSET 0x2000
5209 #define PCICFG_VENDOR_ID_OFFSET 0x00
5210 #define PCICFG_DEVICE_ID_OFFSET 0x02
5211 #define PCICFG_COMMAND_OFFSET 0x04
5212 #define PCICFG_COMMAND_IO_SPACE (1<<0)
5213 #define PCICFG_COMMAND_MEM_SPACE (1<<1)
5214 #define PCICFG_COMMAND_BUS_MASTER (1<<2)
5215 #define PCICFG_COMMAND_SPECIAL_CYCLES (1<<3)
5216 #define PCICFG_COMMAND_MWI_CYCLES (1<<4)
5217 #define PCICFG_COMMAND_VGA_SNOOP (1<<5)
5218 #define PCICFG_COMMAND_PERR_ENA (1<<6)
5219 #define PCICFG_COMMAND_STEPPING (1<<7)
5220 #define PCICFG_COMMAND_SERR_ENA (1<<8)
5221 #define PCICFG_COMMAND_FAST_B2B (1<<9)
5222 #define PCICFG_COMMAND_INT_DISABLE (1<<10)
5223 #define PCICFG_COMMAND_RESERVED (0x1f<<11)
5224 #define PCICFG_STATUS_OFFSET 0x06
5225 #define PCICFG_REVESION_ID_OFFSET 0x08
5226 #define PCICFG_CACHE_LINE_SIZE 0x0c
5227 #define PCICFG_LATENCY_TIMER 0x0d
5228 #define PCICFG_BAR_1_LOW 0x10
5229 #define PCICFG_BAR_1_HIGH 0x14
5230 #define PCICFG_BAR_2_LOW 0x18
5231 #define PCICFG_BAR_2_HIGH 0x1c
5232 #define PCICFG_SUBSYSTEM_VENDOR_ID_OFFSET 0x2c
5233 #define PCICFG_SUBSYSTEM_ID_OFFSET 0x2e
5234 #define PCICFG_INT_LINE 0x3c
5235 #define PCICFG_INT_PIN 0x3d
5236 #define PCICFG_PM_CAPABILITY 0x48
5237 #define PCICFG_PM_CAPABILITY_VERSION (0x3<<16)
5238 #define PCICFG_PM_CAPABILITY_CLOCK (1<<19)
5239 #define PCICFG_PM_CAPABILITY_RESERVED (1<<20)
5240 #define PCICFG_PM_CAPABILITY_DSI (1<<21)
5241 #define PCICFG_PM_CAPABILITY_AUX_CURRENT (0x7<<22)
5242 #define PCICFG_PM_CAPABILITY_D1_SUPPORT (1<<25)
5243 #define PCICFG_PM_CAPABILITY_D2_SUPPORT (1<<26)
5244 #define PCICFG_PM_CAPABILITY_PME_IN_D0 (1<<27)
5245 #define PCICFG_PM_CAPABILITY_PME_IN_D1 (1<<28)
5246 #define PCICFG_PM_CAPABILITY_PME_IN_D2 (1<<29)
5247 #define PCICFG_PM_CAPABILITY_PME_IN_D3_HOT (1<<30)
5248 #define PCICFG_PM_CAPABILITY_PME_IN_D3_COLD (1<<31)
5249 #define PCICFG_PM_CSR_OFFSET 0x4c
5250 #define PCICFG_PM_CSR_STATE (0x3<<0)
5251 #define PCICFG_PM_CSR_PME_ENABLE (1<<8)
5252 #define PCICFG_PM_CSR_PME_STATUS (1<<15)
5253 #define PCICFG_MSI_CAP_ID_OFFSET 0x58
5254 #define PCICFG_MSI_CONTROL_ENABLE (0x1<<16)
5255 #define PCICFG_MSI_CONTROL_MCAP (0x7<<17)
5256 #define PCICFG_MSI_CONTROL_MENA (0x7<<20)
5257 #define PCICFG_MSI_CONTROL_64_BIT_ADDR_CAP (0x1<<23)
5258 #define PCICFG_MSI_CONTROL_MSI_PVMASK_CAPABLE (0x1<<24)
5259 #define PCICFG_GRC_ADDRESS 0x78
5260 #define PCICFG_GRC_DATA 0x80
5261 #define PCICFG_MSIX_CAP_ID_OFFSET 0xa0
5262 #define PCICFG_MSIX_CONTROL_TABLE_SIZE (0x7ff<<16)
5263 #define PCICFG_MSIX_CONTROL_RESERVED (0x7<<27)
5264 #define PCICFG_MSIX_CONTROL_FUNC_MASK (0x1<<30)
5265 #define PCICFG_MSIX_CONTROL_MSIX_ENABLE (0x1<<31)
5266
5267 #define PCICFG_DEVICE_CONTROL 0xb4
5268 #define PCICFG_DEVICE_STATUS 0xb6
5269 #define PCICFG_DEVICE_STATUS_CORR_ERR_DET (1<<0)
5270 #define PCICFG_DEVICE_STATUS_NON_FATAL_ERR_DET (1<<1)
5271 #define PCICFG_DEVICE_STATUS_FATAL_ERR_DET (1<<2)
5272 #define PCICFG_DEVICE_STATUS_UNSUP_REQ_DET (1<<3)
5273 #define PCICFG_DEVICE_STATUS_AUX_PWR_DET (1<<4)
5274 #define PCICFG_DEVICE_STATUS_NO_PEND (1<<5)
5275 #define PCICFG_LINK_CONTROL 0xbc
5276
5277
5278 #define BAR_USTRORM_INTMEM 0x400000
5279 #define BAR_CSTRORM_INTMEM 0x410000
5280 #define BAR_XSTRORM_INTMEM 0x420000
5281 #define BAR_TSTRORM_INTMEM 0x430000
5282
5283 /* for accessing the IGU in case of status block ACK */
5284 #define BAR_IGU_INTMEM 0x440000
5285
5286 #define BAR_DOORBELL_OFFSET 0x800000
5287
5288 #define BAR_ME_REGISTER 0x450000
5289
5290 /* config_2 offset */
5291 #define GRC_CONFIG_2_SIZE_REG 0x408
5292 #define PCI_CONFIG_2_BAR1_SIZE (0xfL<<0)
5293 #define PCI_CONFIG_2_BAR1_SIZE_DISABLED (0L<<0)
5294 #define PCI_CONFIG_2_BAR1_SIZE_64K (1L<<0)
5295 #define PCI_CONFIG_2_BAR1_SIZE_128K (2L<<0)
5296 #define PCI_CONFIG_2_BAR1_SIZE_256K (3L<<0)
5297 #define PCI_CONFIG_2_BAR1_SIZE_512K (4L<<0)
5298 #define PCI_CONFIG_2_BAR1_SIZE_1M (5L<<0)
5299 #define PCI_CONFIG_2_BAR1_SIZE_2M (6L<<0)
5300 #define PCI_CONFIG_2_BAR1_SIZE_4M (7L<<0)
5301 #define PCI_CONFIG_2_BAR1_SIZE_8M (8L<<0)
5302 #define PCI_CONFIG_2_BAR1_SIZE_16M (9L<<0)
5303 #define PCI_CONFIG_2_BAR1_SIZE_32M (10L<<0)
5304 #define PCI_CONFIG_2_BAR1_SIZE_64M (11L<<0)
5305 #define PCI_CONFIG_2_BAR1_SIZE_128M (12L<<0)
5306 #define PCI_CONFIG_2_BAR1_SIZE_256M (13L<<0)
5307 #define PCI_CONFIG_2_BAR1_SIZE_512M (14L<<0)
5308 #define PCI_CONFIG_2_BAR1_SIZE_1G (15L<<0)
5309 #define PCI_CONFIG_2_BAR1_64ENA (1L<<4)
5310 #define PCI_CONFIG_2_EXP_ROM_RETRY (1L<<5)
5311 #define PCI_CONFIG_2_CFG_CYCLE_RETRY (1L<<6)
5312 #define PCI_CONFIG_2_FIRST_CFG_DONE (1L<<7)
5313 #define PCI_CONFIG_2_EXP_ROM_SIZE (0xffL<<8)
5314 #define PCI_CONFIG_2_EXP_ROM_SIZE_DISABLED (0L<<8)
5315 #define PCI_CONFIG_2_EXP_ROM_SIZE_2K (1L<<8)
5316 #define PCI_CONFIG_2_EXP_ROM_SIZE_4K (2L<<8)
5317 #define PCI_CONFIG_2_EXP_ROM_SIZE_8K (3L<<8)
5318 #define PCI_CONFIG_2_EXP_ROM_SIZE_16K (4L<<8)
5319 #define PCI_CONFIG_2_EXP_ROM_SIZE_32K (5L<<8)
5320 #define PCI_CONFIG_2_EXP_ROM_SIZE_64K (6L<<8)
5321 #define PCI_CONFIG_2_EXP_ROM_SIZE_128K (7L<<8)
5322 #define PCI_CONFIG_2_EXP_ROM_SIZE_256K (8L<<8)
5323 #define PCI_CONFIG_2_EXP_ROM_SIZE_512K (9L<<8)
5324 #define PCI_CONFIG_2_EXP_ROM_SIZE_1M (10L<<8)
5325 #define PCI_CONFIG_2_EXP_ROM_SIZE_2M (11L<<8)
5326 #define PCI_CONFIG_2_EXP_ROM_SIZE_4M (12L<<8)
5327 #define PCI_CONFIG_2_EXP_ROM_SIZE_8M (13L<<8)
5328 #define PCI_CONFIG_2_EXP_ROM_SIZE_16M (14L<<8)
5329 #define PCI_CONFIG_2_EXP_ROM_SIZE_32M (15L<<8)
5330 #define PCI_CONFIG_2_BAR_PREFETCH (1L<<16)
5331 #define PCI_CONFIG_2_RESERVED0 (0x7fffL<<17)
5332
5333 /* config_3 offset */
5334 #define GRC_CONFIG_3_SIZE_REG 0x40c
5335 #define PCI_CONFIG_3_STICKY_BYTE (0xffL<<0)
5336 #define PCI_CONFIG_3_FORCE_PME (1L<<24)
5337 #define PCI_CONFIG_3_PME_STATUS (1L<<25)
5338 #define PCI_CONFIG_3_PME_ENABLE (1L<<26)
5339 #define PCI_CONFIG_3_PM_STATE (0x3L<<27)
5340 #define PCI_CONFIG_3_VAUX_PRESET (1L<<30)
5341 #define PCI_CONFIG_3_PCI_POWER (1L<<31)
5342
5343 #define GRC_BAR2_CONFIG 0x4e0
5344 #define PCI_CONFIG_2_BAR2_SIZE (0xfL<<0)
5345 #define PCI_CONFIG_2_BAR2_SIZE_DISABLED (0L<<0)
5346 #define PCI_CONFIG_2_BAR2_SIZE_64K (1L<<0)
5347 #define PCI_CONFIG_2_BAR2_SIZE_128K (2L<<0)
5348 #define PCI_CONFIG_2_BAR2_SIZE_256K (3L<<0)
5349 #define PCI_CONFIG_2_BAR2_SIZE_512K (4L<<0)
5350 #define PCI_CONFIG_2_BAR2_SIZE_1M (5L<<0)
5351 #define PCI_CONFIG_2_BAR2_SIZE_2M (6L<<0)
5352 #define PCI_CONFIG_2_BAR2_SIZE_4M (7L<<0)
5353 #define PCI_CONFIG_2_BAR2_SIZE_8M (8L<<0)
5354 #define PCI_CONFIG_2_BAR2_SIZE_16M (9L<<0)
5355 #define PCI_CONFIG_2_BAR2_SIZE_32M (10L<<0)
5356 #define PCI_CONFIG_2_BAR2_SIZE_64M (11L<<0)
5357 #define PCI_CONFIG_2_BAR2_SIZE_128M (12L<<0)
5358 #define PCI_CONFIG_2_BAR2_SIZE_256M (13L<<0)
5359 #define PCI_CONFIG_2_BAR2_SIZE_512M (14L<<0)
5360 #define PCI_CONFIG_2_BAR2_SIZE_1G (15L<<0)
5361 #define PCI_CONFIG_2_BAR2_64ENA (1L<<4)
5362
5363 #define PCI_PM_DATA_A 0x410
5364 #define PCI_PM_DATA_B 0x414
5365 #define PCI_ID_VAL1 0x434
5366 #define PCI_ID_VAL2 0x438
5367
5368 #define PXPCS_TL_CONTROL_5 0x814
5369 #define PXPCS_TL_CONTROL_5_UNKNOWNTYPE_ERR_ATTN (1 << 29) /*WC*/
5370 #define PXPCS_TL_CONTROL_5_BOUNDARY4K_ERR_ATTN (1 << 28) /*WC*/
5371 #define PXPCS_TL_CONTROL_5_MRRS_ERR_ATTN (1 << 27) /*WC*/
5372 #define PXPCS_TL_CONTROL_5_MPS_ERR_ATTN (1 << 26) /*WC*/
5373 #define PXPCS_TL_CONTROL_5_TTX_BRIDGE_FORWARD_ERR (1 << 25) /*WC*/
5374 #define PXPCS_TL_CONTROL_5_TTX_TXINTF_OVERFLOW (1 << 24) /*WC*/
5375 #define PXPCS_TL_CONTROL_5_PHY_ERR_ATTN (1 << 23) /*RO*/
5376 #define PXPCS_TL_CONTROL_5_DL_ERR_ATTN (1 << 22) /*RO*/
5377 #define PXPCS_TL_CONTROL_5_TTX_ERR_NP_TAG_IN_USE (1 << 21) /*WC*/
5378 #define PXPCS_TL_CONTROL_5_TRX_ERR_UNEXP_RTAG (1 << 20) /*WC*/
5379 #define PXPCS_TL_CONTROL_5_PRI_SIG_TARGET_ABORT1 (1 << 19) /*WC*/
5380 #define PXPCS_TL_CONTROL_5_ERR_UNSPPORT1 (1 << 18) /*WC*/
5381 #define PXPCS_TL_CONTROL_5_ERR_ECRC1 (1 << 17) /*WC*/
5382 #define PXPCS_TL_CONTROL_5_ERR_MALF_TLP1 (1 << 16) /*WC*/
5383 #define PXPCS_TL_CONTROL_5_ERR_RX_OFLOW1 (1 << 15) /*WC*/
5384 #define PXPCS_TL_CONTROL_5_ERR_UNEXP_CPL1 (1 << 14) /*WC*/
5385 #define PXPCS_TL_CONTROL_5_ERR_MASTER_ABRT1 (1 << 13) /*WC*/
5386 #define PXPCS_TL_CONTROL_5_ERR_CPL_TIMEOUT1 (1 << 12) /*WC*/
5387 #define PXPCS_TL_CONTROL_5_ERR_FC_PRTL1 (1 << 11) /*WC*/
5388 #define PXPCS_TL_CONTROL_5_ERR_PSND_TLP1 (1 << 10) /*WC*/
5389 #define PXPCS_TL_CONTROL_5_PRI_SIG_TARGET_ABORT (1 << 9) /*WC*/
5390 #define PXPCS_TL_CONTROL_5_ERR_UNSPPORT (1 << 8) /*WC*/
5391 #define PXPCS_TL_CONTROL_5_ERR_ECRC (1 << 7) /*WC*/
5392 #define PXPCS_TL_CONTROL_5_ERR_MALF_TLP (1 << 6) /*WC*/
5393 #define PXPCS_TL_CONTROL_5_ERR_RX_OFLOW (1 << 5) /*WC*/
5394 #define PXPCS_TL_CONTROL_5_ERR_UNEXP_CPL (1 << 4) /*WC*/
5395 #define PXPCS_TL_CONTROL_5_ERR_MASTER_ABRT (1 << 3) /*WC*/
5396 #define PXPCS_TL_CONTROL_5_ERR_CPL_TIMEOUT (1 << 2) /*WC*/
5397 #define PXPCS_TL_CONTROL_5_ERR_FC_PRTL (1 << 1) /*WC*/
5398 #define PXPCS_TL_CONTROL_5_ERR_PSND_TLP (1 << 0) /*WC*/
5399
5400
5401 #define PXPCS_TL_FUNC345_STAT 0x854
5402 #define PXPCS_TL_FUNC345_STAT_PRI_SIG_TARGET_ABORT4 (1 << 29) /* WC */
5403 #define PXPCS_TL_FUNC345_STAT_ERR_UNSPPORT4\
5404 (1 << 28) /* Unsupported Request Error Status in function4, if \
5405 set, generate pcie_err_attn output when this error is seen. WC */
5406 #define PXPCS_TL_FUNC345_STAT_ERR_ECRC4\
5407 (1 << 27) /* ECRC Error TLP Status Status in function 4, if set, \
5408 generate pcie_err_attn output when this error is seen.. WC */
5409 #define PXPCS_TL_FUNC345_STAT_ERR_MALF_TLP4\
5410 (1 << 26) /* Malformed TLP Status Status in function 4, if set, \
5411 generate pcie_err_attn output when this error is seen.. WC */
5412 #define PXPCS_TL_FUNC345_STAT_ERR_RX_OFLOW4\
5413 (1 << 25) /* Receiver Overflow Status Status in function 4, if \
5414 set, generate pcie_err_attn output when this error is seen.. WC \
5415 */
5416 #define PXPCS_TL_FUNC345_STAT_ERR_UNEXP_CPL4\
5417 (1 << 24) /* Unexpected Completion Status Status in function 4, \
5418 if set, generate pcie_err_attn output when this error is seen. WC \
5419 */
5420 #define PXPCS_TL_FUNC345_STAT_ERR_MASTER_ABRT4\
5421 (1 << 23) /* Receive UR Statusin function 4. If set, generate \
5422 pcie_err_attn output when this error is seen. WC */
5423 #define PXPCS_TL_FUNC345_STAT_ERR_CPL_TIMEOUT4\
5424 (1 << 22) /* Completer Timeout Status Status in function 4, if \
5425 set, generate pcie_err_attn output when this error is seen. WC */
5426 #define PXPCS_TL_FUNC345_STAT_ERR_FC_PRTL4\
5427 (1 << 21) /* Flow Control Protocol Error Status Status in \
5428 function 4, if set, generate pcie_err_attn output when this error \
5429 is seen. WC */
5430 #define PXPCS_TL_FUNC345_STAT_ERR_PSND_TLP4\
5431 (1 << 20) /* Poisoned Error Status Status in function 4, if set, \
5432 generate pcie_err_attn output when this error is seen.. WC */
5433 #define PXPCS_TL_FUNC345_STAT_PRI_SIG_TARGET_ABORT3 (1 << 19) /* WC */
5434 #define PXPCS_TL_FUNC345_STAT_ERR_UNSPPORT3\
5435 (1 << 18) /* Unsupported Request Error Status in function3, if \
5436 set, generate pcie_err_attn output when this error is seen. WC */
5437 #define PXPCS_TL_FUNC345_STAT_ERR_ECRC3\
5438 (1 << 17) /* ECRC Error TLP Status Status in function 3, if set, \
5439 generate pcie_err_attn output when this error is seen.. WC */
5440 #define PXPCS_TL_FUNC345_STAT_ERR_MALF_TLP3\
5441 (1 << 16) /* Malformed TLP Status Status in function 3, if set, \
5442 generate pcie_err_attn output when this error is seen.. WC */
5443 #define PXPCS_TL_FUNC345_STAT_ERR_RX_OFLOW3\
5444 (1 << 15) /* Receiver Overflow Status Status in function 3, if \
5445 set, generate pcie_err_attn output when this error is seen.. WC \
5446 */
5447 #define PXPCS_TL_FUNC345_STAT_ERR_UNEXP_CPL3\
5448 (1 << 14) /* Unexpected Completion Status Status in function 3, \
5449 if set, generate pcie_err_attn output when this error is seen. WC \
5450 */
5451 #define PXPCS_TL_FUNC345_STAT_ERR_MASTER_ABRT3\
5452 (1 << 13) /* Receive UR Statusin function 3. If set, generate \
5453 pcie_err_attn output when this error is seen. WC */
5454 #define PXPCS_TL_FUNC345_STAT_ERR_CPL_TIMEOUT3\
5455 (1 << 12) /* Completer Timeout Status Status in function 3, if \
5456 set, generate pcie_err_attn output when this error is seen. WC */
5457 #define PXPCS_TL_FUNC345_STAT_ERR_FC_PRTL3\
5458 (1 << 11) /* Flow Control Protocol Error Status Status in \
5459 function 3, if set, generate pcie_err_attn output when this error \
5460 is seen. WC */
5461 #define PXPCS_TL_FUNC345_STAT_ERR_PSND_TLP3\
5462 (1 << 10) /* Poisoned Error Status Status in function 3, if set, \
5463 generate pcie_err_attn output when this error is seen.. WC */
5464 #define PXPCS_TL_FUNC345_STAT_PRI_SIG_TARGET_ABORT2 (1 << 9) /* WC */
5465 #define PXPCS_TL_FUNC345_STAT_ERR_UNSPPORT2\
5466 (1 << 8) /* Unsupported Request Error Status for Function 2, if \
5467 set, generate pcie_err_attn output when this error is seen. WC */
5468 #define PXPCS_TL_FUNC345_STAT_ERR_ECRC2\
5469 (1 << 7) /* ECRC Error TLP Status Status for Function 2, if set, \
5470 generate pcie_err_attn output when this error is seen.. WC */
5471 #define PXPCS_TL_FUNC345_STAT_ERR_MALF_TLP2\
5472 (1 << 6) /* Malformed TLP Status Status for Function 2, if set, \
5473 generate pcie_err_attn output when this error is seen.. WC */
5474 #define PXPCS_TL_FUNC345_STAT_ERR_RX_OFLOW2\
5475 (1 << 5) /* Receiver Overflow Status Status for Function 2, if \
5476 set, generate pcie_err_attn output when this error is seen.. WC \
5477 */
5478 #define PXPCS_TL_FUNC345_STAT_ERR_UNEXP_CPL2\
5479 (1 << 4) /* Unexpected Completion Status Status for Function 2, \
5480 if set, generate pcie_err_attn output when this error is seen. WC \
5481 */
5482 #define PXPCS_TL_FUNC345_STAT_ERR_MASTER_ABRT2\
5483 (1 << 3) /* Receive UR Statusfor Function 2. If set, generate \
5484 pcie_err_attn output when this error is seen. WC */
5485 #define PXPCS_TL_FUNC345_STAT_ERR_CPL_TIMEOUT2\
5486 (1 << 2) /* Completer Timeout Status Status for Function 2, if \
5487 set, generate pcie_err_attn output when this error is seen. WC */
5488 #define PXPCS_TL_FUNC345_STAT_ERR_FC_PRTL2\
5489 (1 << 1) /* Flow Control Protocol Error Status Status for \
5490 Function 2, if set, generate pcie_err_attn output when this error \
5491 is seen. WC */
5492 #define PXPCS_TL_FUNC345_STAT_ERR_PSND_TLP2\
5493 (1 << 0) /* Poisoned Error Status Status for Function 2, if set, \
5494 generate pcie_err_attn output when this error is seen.. WC */
5495
5496
5497 #define PXPCS_TL_FUNC678_STAT 0x85C
5498 #define PXPCS_TL_FUNC678_STAT_PRI_SIG_TARGET_ABORT7 (1 << 29) /* WC */
5499 #define PXPCS_TL_FUNC678_STAT_ERR_UNSPPORT7\
5500 (1 << 28) /* Unsupported Request Error Status in function7, if \
5501 set, generate pcie_err_attn output when this error is seen. WC */
5502 #define PXPCS_TL_FUNC678_STAT_ERR_ECRC7\
5503 (1 << 27) /* ECRC Error TLP Status Status in function 7, if set, \
5504 generate pcie_err_attn output when this error is seen.. WC */
5505 #define PXPCS_TL_FUNC678_STAT_ERR_MALF_TLP7\
5506 (1 << 26) /* Malformed TLP Status Status in function 7, if set, \
5507 generate pcie_err_attn output when this error is seen.. WC */
5508 #define PXPCS_TL_FUNC678_STAT_ERR_RX_OFLOW7\
5509 (1 << 25) /* Receiver Overflow Status Status in function 7, if \
5510 set, generate pcie_err_attn output when this error is seen.. WC \
5511 */
5512 #define PXPCS_TL_FUNC678_STAT_ERR_UNEXP_CPL7\
5513 (1 << 24) /* Unexpected Completion Status Status in function 7, \
5514 if set, generate pcie_err_attn output when this error is seen. WC \
5515 */
5516 #define PXPCS_TL_FUNC678_STAT_ERR_MASTER_ABRT7\
5517 (1 << 23) /* Receive UR Statusin function 7. If set, generate \
5518 pcie_err_attn output when this error is seen. WC */
5519 #define PXPCS_TL_FUNC678_STAT_ERR_CPL_TIMEOUT7\
5520 (1 << 22) /* Completer Timeout Status Status in function 7, if \
5521 set, generate pcie_err_attn output when this error is seen. WC */
5522 #define PXPCS_TL_FUNC678_STAT_ERR_FC_PRTL7\
5523 (1 << 21) /* Flow Control Protocol Error Status Status in \
5524 function 7, if set, generate pcie_err_attn output when this error \
5525 is seen. WC */
5526 #define PXPCS_TL_FUNC678_STAT_ERR_PSND_TLP7\
5527 (1 << 20) /* Poisoned Error Status Status in function 7, if set, \
5528 generate pcie_err_attn output when this error is seen.. WC */
5529 #define PXPCS_TL_FUNC678_STAT_PRI_SIG_TARGET_ABORT6 (1 << 19) /* WC */
5530 #define PXPCS_TL_FUNC678_STAT_ERR_UNSPPORT6\
5531 (1 << 18) /* Unsupported Request Error Status in function6, if \
5532 set, generate pcie_err_attn output when this error is seen. WC */
5533 #define PXPCS_TL_FUNC678_STAT_ERR_ECRC6\
5534 (1 << 17) /* ECRC Error TLP Status Status in function 6, if set, \
5535 generate pcie_err_attn output when this error is seen.. WC */
5536 #define PXPCS_TL_FUNC678_STAT_ERR_MALF_TLP6\
5537 (1 << 16) /* Malformed TLP Status Status in function 6, if set, \
5538 generate pcie_err_attn output when this error is seen.. WC */
5539 #define PXPCS_TL_FUNC678_STAT_ERR_RX_OFLOW6\
5540 (1 << 15) /* Receiver Overflow Status Status in function 6, if \
5541 set, generate pcie_err_attn output when this error is seen.. WC \
5542 */
5543 #define PXPCS_TL_FUNC678_STAT_ERR_UNEXP_CPL6\
5544 (1 << 14) /* Unexpected Completion Status Status in function 6, \
5545 if set, generate pcie_err_attn output when this error is seen. WC \
5546 */
5547 #define PXPCS_TL_FUNC678_STAT_ERR_MASTER_ABRT6\
5548 (1 << 13) /* Receive UR Statusin function 6. If set, generate \
5549 pcie_err_attn output when this error is seen. WC */
5550 #define PXPCS_TL_FUNC678_STAT_ERR_CPL_TIMEOUT6\
5551 (1 << 12) /* Completer Timeout Status Status in function 6, if \
5552 set, generate pcie_err_attn output when this error is seen. WC */
5553 #define PXPCS_TL_FUNC678_STAT_ERR_FC_PRTL6\
5554 (1 << 11) /* Flow Control Protocol Error Status Status in \
5555 function 6, if set, generate pcie_err_attn output when this error \
5556 is seen. WC */
5557 #define PXPCS_TL_FUNC678_STAT_ERR_PSND_TLP6\
5558 (1 << 10) /* Poisoned Error Status Status in function 6, if set, \
5559 generate pcie_err_attn output when this error is seen.. WC */
5560 #define PXPCS_TL_FUNC678_STAT_PRI_SIG_TARGET_ABORT5 (1 << 9) /* WC */
5561 #define PXPCS_TL_FUNC678_STAT_ERR_UNSPPORT5\
5562 (1 << 8) /* Unsupported Request Error Status for Function 5, if \
5563 set, generate pcie_err_attn output when this error is seen. WC */
5564 #define PXPCS_TL_FUNC678_STAT_ERR_ECRC5\
5565 (1 << 7) /* ECRC Error TLP Status Status for Function 5, if set, \
5566 generate pcie_err_attn output when this error is seen.. WC */
5567 #define PXPCS_TL_FUNC678_STAT_ERR_MALF_TLP5\
5568 (1 << 6) /* Malformed TLP Status Status for Function 5, if set, \
5569 generate pcie_err_attn output when this error is seen.. WC */
5570 #define PXPCS_TL_FUNC678_STAT_ERR_RX_OFLOW5\
5571 (1 << 5) /* Receiver Overflow Status Status for Function 5, if \
5572 set, generate pcie_err_attn output when this error is seen.. WC \
5573 */
5574 #define PXPCS_TL_FUNC678_STAT_ERR_UNEXP_CPL5\
5575 (1 << 4) /* Unexpected Completion Status Status for Function 5, \
5576 if set, generate pcie_err_attn output when this error is seen. WC \
5577 */
5578 #define PXPCS_TL_FUNC678_STAT_ERR_MASTER_ABRT5\
5579 (1 << 3) /* Receive UR Statusfor Function 5. If set, generate \
5580 pcie_err_attn output when this error is seen. WC */
5581 #define PXPCS_TL_FUNC678_STAT_ERR_CPL_TIMEOUT5\
5582 (1 << 2) /* Completer Timeout Status Status for Function 5, if \
5583 set, generate pcie_err_attn output when this error is seen. WC */
5584 #define PXPCS_TL_FUNC678_STAT_ERR_FC_PRTL5\
5585 (1 << 1) /* Flow Control Protocol Error Status Status for \
5586 Function 5, if set, generate pcie_err_attn output when this error \
5587 is seen. WC */
5588 #define PXPCS_TL_FUNC678_STAT_ERR_PSND_TLP5\
5589 (1 << 0) /* Poisoned Error Status Status for Function 5, if set, \
5590 generate pcie_err_attn output when this error is seen.. WC */
5591
5592
5593 #define BAR_USTRORM_INTMEM 0x400000
5594 #define BAR_CSTRORM_INTMEM 0x410000
5595 #define BAR_XSTRORM_INTMEM 0x420000
5596 #define BAR_TSTRORM_INTMEM 0x430000
5597
5598 /* for accessing the IGU in case of status block ACK */
5599 #define BAR_IGU_INTMEM 0x440000
5600
5601 #define BAR_DOORBELL_OFFSET 0x800000
5602
5603 #define BAR_ME_REGISTER 0x450000
5604 #define ME_REG_PF_NUM_SHIFT 0
5605 #define ME_REG_PF_NUM\
5606 (7L<<ME_REG_PF_NUM_SHIFT) /* Relative PF Num */
5607 #define ME_REG_VF_VALID (1<<8)
5608 #define ME_REG_VF_NUM_SHIFT 9
5609 #define ME_REG_VF_NUM_MASK (0x3f<<ME_REG_VF_NUM_SHIFT)
5610 #define ME_REG_VF_ERR (0x1<<3)
5611 #define ME_REG_ABS_PF_NUM_SHIFT 16
5612 #define ME_REG_ABS_PF_NUM\
5613 (7L<<ME_REG_ABS_PF_NUM_SHIFT) /* Absolute PF Num */
5614
5615
5616 #define MDIO_REG_BANK_CL73_IEEEB0 0x0
5617 #define MDIO_CL73_IEEEB0_CL73_AN_CONTROL 0x0
5618 #define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_RESTART_AN 0x0200
5619 #define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_AN_EN 0x1000
5620 #define MDIO_CL73_IEEEB0_CL73_AN_CONTROL_MAIN_RST 0x8000
5621
5622 #define MDIO_REG_BANK_CL73_IEEEB1 0x10
5623 #define MDIO_CL73_IEEEB1_AN_ADV1 0x00
5624 #define MDIO_CL73_IEEEB1_AN_ADV1_PAUSE 0x0400
5625 #define MDIO_CL73_IEEEB1_AN_ADV1_ASYMMETRIC 0x0800
5626 #define MDIO_CL73_IEEEB1_AN_ADV1_PAUSE_BOTH 0x0C00
5627 #define MDIO_CL73_IEEEB1_AN_ADV1_PAUSE_MASK 0x0C00
5628 #define MDIO_CL73_IEEEB1_AN_ADV2 0x01
5629 #define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_1000M 0x0000
5630 #define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_1000M_KX 0x0020
5631 #define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_10G_KX4 0x0040
5632 #define MDIO_CL73_IEEEB1_AN_ADV2_ADVR_10G_KR 0x0080
5633 #define MDIO_CL73_IEEEB1_AN_LP_ADV1 0x03
5634 #define MDIO_CL73_IEEEB1_AN_LP_ADV1_PAUSE 0x0400
5635 #define MDIO_CL73_IEEEB1_AN_LP_ADV1_ASYMMETRIC 0x0800
5636 #define MDIO_CL73_IEEEB1_AN_LP_ADV1_PAUSE_BOTH 0x0C00
5637 #define MDIO_CL73_IEEEB1_AN_LP_ADV1_PAUSE_MASK 0x0C00
5638
5639 #define MDIO_REG_BANK_RX0 0x80b0
5640 #define MDIO_RX0_RX_STATUS 0x10
5641 #define MDIO_RX0_RX_STATUS_SIGDET 0x8000
5642 #define MDIO_RX0_RX_STATUS_RX_SEQ_DONE 0x1000
5643 #define MDIO_RX0_RX_EQ_BOOST 0x1c
5644 #define MDIO_RX0_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5645 #define MDIO_RX0_RX_EQ_BOOST_OFFSET_CTRL 0x10
5646
5647 #define MDIO_REG_BANK_RX1 0x80c0
5648 #define MDIO_RX1_RX_EQ_BOOST 0x1c
5649 #define MDIO_RX1_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5650 #define MDIO_RX1_RX_EQ_BOOST_OFFSET_CTRL 0x10
5651
5652 #define MDIO_REG_BANK_RX2 0x80d0
5653 #define MDIO_RX2_RX_EQ_BOOST 0x1c
5654 #define MDIO_RX2_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5655 #define MDIO_RX2_RX_EQ_BOOST_OFFSET_CTRL 0x10
5656
5657 #define MDIO_REG_BANK_RX3 0x80e0
5658 #define MDIO_RX3_RX_EQ_BOOST 0x1c
5659 #define MDIO_RX3_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5660 #define MDIO_RX3_RX_EQ_BOOST_OFFSET_CTRL 0x10
5661
5662 #define MDIO_REG_BANK_RX_ALL 0x80f0
5663 #define MDIO_RX_ALL_RX_EQ_BOOST 0x1c
5664 #define MDIO_RX_ALL_RX_EQ_BOOST_EQUALIZER_CTRL_MASK 0x7
5665 #define MDIO_RX_ALL_RX_EQ_BOOST_OFFSET_CTRL 0x10
5666
5667 #define MDIO_REG_BANK_TX0 0x8060
5668 #define MDIO_TX0_TX_DRIVER 0x17
5669 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_MASK 0xf000
5670 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_SHIFT 12
5671 #define MDIO_TX0_TX_DRIVER_IDRIVER_MASK 0x0f00
5672 #define MDIO_TX0_TX_DRIVER_IDRIVER_SHIFT 8
5673 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_MASK 0x00f0
5674 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_SHIFT 4
5675 #define MDIO_TX0_TX_DRIVER_IFULLSPD_MASK 0x000e
5676 #define MDIO_TX0_TX_DRIVER_IFULLSPD_SHIFT 1
5677 #define MDIO_TX0_TX_DRIVER_ICBUF1T 1
5678
5679 #define MDIO_REG_BANK_TX1 0x8070
5680 #define MDIO_TX1_TX_DRIVER 0x17
5681 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_MASK 0xf000
5682 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_SHIFT 12
5683 #define MDIO_TX0_TX_DRIVER_IDRIVER_MASK 0x0f00
5684 #define MDIO_TX0_TX_DRIVER_IDRIVER_SHIFT 8
5685 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_MASK 0x00f0
5686 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_SHIFT 4
5687 #define MDIO_TX0_TX_DRIVER_IFULLSPD_MASK 0x000e
5688 #define MDIO_TX0_TX_DRIVER_IFULLSPD_SHIFT 1
5689 #define MDIO_TX0_TX_DRIVER_ICBUF1T 1
5690
5691 #define MDIO_REG_BANK_TX2 0x8080
5692 #define MDIO_TX2_TX_DRIVER 0x17
5693 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_MASK 0xf000
5694 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_SHIFT 12
5695 #define MDIO_TX0_TX_DRIVER_IDRIVER_MASK 0x0f00
5696 #define MDIO_TX0_TX_DRIVER_IDRIVER_SHIFT 8
5697 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_MASK 0x00f0
5698 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_SHIFT 4
5699 #define MDIO_TX0_TX_DRIVER_IFULLSPD_MASK 0x000e
5700 #define MDIO_TX0_TX_DRIVER_IFULLSPD_SHIFT 1
5701 #define MDIO_TX0_TX_DRIVER_ICBUF1T 1
5702
5703 #define MDIO_REG_BANK_TX3 0x8090
5704 #define MDIO_TX3_TX_DRIVER 0x17
5705 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_MASK 0xf000
5706 #define MDIO_TX0_TX_DRIVER_PREEMPHASIS_SHIFT 12
5707 #define MDIO_TX0_TX_DRIVER_IDRIVER_MASK 0x0f00
5708 #define MDIO_TX0_TX_DRIVER_IDRIVER_SHIFT 8
5709 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_MASK 0x00f0
5710 #define MDIO_TX0_TX_DRIVER_IPREDRIVER_SHIFT 4
5711 #define MDIO_TX0_TX_DRIVER_IFULLSPD_MASK 0x000e
5712 #define MDIO_TX0_TX_DRIVER_IFULLSPD_SHIFT 1
5713 #define MDIO_TX0_TX_DRIVER_ICBUF1T 1
5714
5715 #define MDIO_REG_BANK_XGXS_BLOCK0 0x8000
5716 #define MDIO_BLOCK0_XGXS_CONTROL 0x10
5717
5718 #define MDIO_REG_BANK_XGXS_BLOCK1 0x8010
5719 #define MDIO_BLOCK1_LANE_CTRL0 0x15
5720 #define MDIO_BLOCK1_LANE_CTRL1 0x16
5721 #define MDIO_BLOCK1_LANE_CTRL2 0x17
5722 #define MDIO_BLOCK1_LANE_PRBS 0x19
5723
5724 #define MDIO_REG_BANK_XGXS_BLOCK2 0x8100
5725 #define MDIO_XGXS_BLOCK2_RX_LN_SWAP 0x10
5726 #define MDIO_XGXS_BLOCK2_RX_LN_SWAP_ENABLE 0x8000
5727 #define MDIO_XGXS_BLOCK2_RX_LN_SWAP_FORCE_ENABLE 0x4000
5728 #define MDIO_XGXS_BLOCK2_TX_LN_SWAP 0x11
5729 #define MDIO_XGXS_BLOCK2_TX_LN_SWAP_ENABLE 0x8000
5730 #define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G 0x14
5731 #define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G_CX4_XGXS 0x0001
5732 #define MDIO_XGXS_BLOCK2_UNICORE_MODE_10G_HIGIG_XGXS 0x0010
5733 #define MDIO_XGXS_BLOCK2_TEST_MODE_LANE 0x15
5734
5735 #define MDIO_REG_BANK_GP_STATUS 0x8120
5736 #define MDIO_GP_STATUS_TOP_AN_STATUS1 0x1B
5737 #define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_AUTONEG_COMPLETE 0x0001
5738 #define MDIO_GP_STATUS_TOP_AN_STATUS1_CL37_AUTONEG_COMPLETE 0x0002
5739 #define MDIO_GP_STATUS_TOP_AN_STATUS1_LINK_STATUS 0x0004
5740 #define MDIO_GP_STATUS_TOP_AN_STATUS1_DUPLEX_STATUS 0x0008
5741 #define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_MR_LP_NP_AN_ABLE 0x0010
5742 #define MDIO_GP_STATUS_TOP_AN_STATUS1_CL73_LP_NP_BAM_ABLE 0x0020
5743 #define MDIO_GP_STATUS_TOP_AN_STATUS1_PAUSE_RSOLUTION_TXSIDE 0x0040
5744 #define MDIO_GP_STATUS_TOP_AN_STATUS1_PAUSE_RSOLUTION_RXSIDE 0x0080
5745 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_MASK 0x3f00
5746 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10M 0x0000
5747 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_100M 0x0100
5748 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_1G 0x0200
5749 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_2_5G 0x0300
5750 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_5G 0x0400
5751 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_6G 0x0500
5752 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_HIG 0x0600
5753 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_CX4 0x0700
5754 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_12G_HIG 0x0800
5755 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_12_5G 0x0900
5756 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_13G 0x0A00
5757 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_15G 0x0B00
5758 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_16G 0x0C00
5759 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_1G_KX 0x0D00
5760 #define MDIO_GP_STATUS_TOP_AN_STATUS1_ACTUAL_SPEED_10G_KX4 0x0E00
5761
5762
5763 #define MDIO_REG_BANK_10G_PARALLEL_DETECT 0x8130
5764 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_STATUS 0x10
5765 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_STATUS_PD_LINK 0x8000
5766 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_CONTROL 0x11
5767 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_CONTROL_PARDET10G_EN 0x1
5768 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_LINK 0x13
5769 #define MDIO_10G_PARALLEL_DETECT_PAR_DET_10G_LINK_CNT (0xb71<<1)
5770
5771 #define MDIO_REG_BANK_SERDES_DIGITAL 0x8300
5772 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1 0x10
5773 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_FIBER_MODE 0x0001
5774 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_TBI_IF 0x0002
5775 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_SIGNAL_DETECT_EN 0x0004
5776 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_INVERT_SIGNAL_DETECT 0x0008
5777 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_AUTODET 0x0010
5778 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL1_MSTR_MODE 0x0020
5779 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2 0x11
5780 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2_PRL_DT_EN 0x0001
5781 #define MDIO_SERDES_DIGITAL_A_1000X_CONTROL2_AN_FST_TMR 0x0040
5782 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1 0x14
5783 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SGMII 0x0001
5784 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_LINK 0x0002
5785 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_DUPLEX 0x0004
5786 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_MASK 0x0018
5787 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_SHIFT 3
5788 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_2_5G 0x0018
5789 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_1G 0x0010
5790 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_100M 0x0008
5791 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS1_SPEED_10M 0x0000
5792 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS2 0x15
5793 #define MDIO_SERDES_DIGITAL_A_1000X_STATUS2_AN_DISABLED 0x0002
5794 #define MDIO_SERDES_DIGITAL_MISC1 0x18
5795 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_MASK 0xE000
5796 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_25M 0x0000
5797 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_100M 0x2000
5798 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_125M 0x4000
5799 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_156_25M 0x6000
5800 #define MDIO_SERDES_DIGITAL_MISC1_REFCLK_SEL_187_5M 0x8000
5801 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_SEL 0x0010
5802 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_MASK 0x000f
5803 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_2_5G 0x0000
5804 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_5G 0x0001
5805 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_6G 0x0002
5806 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_10G_HIG 0x0003
5807 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_10G_CX4 0x0004
5808 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_12G 0x0005
5809 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_12_5G 0x0006
5810 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_13G 0x0007
5811 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_15G 0x0008
5812 #define MDIO_SERDES_DIGITAL_MISC1_FORCE_SPEED_16G 0x0009
5813
5814 #define MDIO_REG_BANK_OVER_1G 0x8320
5815 #define MDIO_OVER_1G_DIGCTL_3_4 0x14
5816 #define MDIO_OVER_1G_DIGCTL_3_4_MP_ID_MASK 0xffe0
5817 #define MDIO_OVER_1G_DIGCTL_3_4_MP_ID_SHIFT 5
5818 #define MDIO_OVER_1G_UP1 0x19
5819 #define MDIO_OVER_1G_UP1_2_5G 0x0001
5820 #define MDIO_OVER_1G_UP1_5G 0x0002
5821 #define MDIO_OVER_1G_UP1_6G 0x0004
5822 #define MDIO_OVER_1G_UP1_10G 0x0010
5823 #define MDIO_OVER_1G_UP1_10GH 0x0008
5824 #define MDIO_OVER_1G_UP1_12G 0x0020
5825 #define MDIO_OVER_1G_UP1_12_5G 0x0040
5826 #define MDIO_OVER_1G_UP1_13G 0x0080
5827 #define MDIO_OVER_1G_UP1_15G 0x0100
5828 #define MDIO_OVER_1G_UP1_16G 0x0200
5829 #define MDIO_OVER_1G_UP2 0x1A
5830 #define MDIO_OVER_1G_UP2_IPREDRIVER_MASK 0x0007
5831 #define MDIO_OVER_1G_UP2_IDRIVER_MASK 0x0038
5832 #define MDIO_OVER_1G_UP2_PREEMPHASIS_MASK 0x03C0
5833 #define MDIO_OVER_1G_UP3 0x1B
5834 #define MDIO_OVER_1G_UP3_HIGIG2 0x0001
5835 #define MDIO_OVER_1G_LP_UP1 0x1C
5836 #define MDIO_OVER_1G_LP_UP2 0x1D
5837 #define MDIO_OVER_1G_LP_UP2_MR_ADV_OVER_1G_MASK 0x03ff
5838 #define MDIO_OVER_1G_LP_UP2_PREEMPHASIS_MASK 0x0780
5839 #define MDIO_OVER_1G_LP_UP2_PREEMPHASIS_SHIFT 7
5840 #define MDIO_OVER_1G_LP_UP3 0x1E
5841
5842 #define MDIO_REG_BANK_REMOTE_PHY 0x8330
5843 #define MDIO_REMOTE_PHY_MISC_RX_STATUS 0x10
5844 #define MDIO_REMOTE_PHY_MISC_RX_STATUS_CL37_FSM_RECEIVED_OVER1G_MSG 0x0010
5845 #define MDIO_REMOTE_PHY_MISC_RX_STATUS_CL37_FSM_RECEIVED_BRCM_OUI_MSG 0x0600
5846
5847 #define MDIO_REG_BANK_BAM_NEXT_PAGE 0x8350
5848 #define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL 0x10
5849 #define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL_BAM_MODE 0x0001
5850 #define MDIO_BAM_NEXT_PAGE_MP5_NEXT_PAGE_CTRL_TETON_AN 0x0002
5851
5852 #define MDIO_REG_BANK_CL73_USERB0 0x8370
5853 #define MDIO_CL73_USERB0_CL73_UCTRL 0x10
5854 #define MDIO_CL73_USERB0_CL73_UCTRL_USTAT1_MUXSEL 0x0002
5855 #define MDIO_CL73_USERB0_CL73_USTAT1 0x11
5856 #define MDIO_CL73_USERB0_CL73_USTAT1_LINK_STATUS_CHECK 0x0100
5857 #define MDIO_CL73_USERB0_CL73_USTAT1_AN_GOOD_CHECK_BAM37 0x0400
5858 #define MDIO_CL73_USERB0_CL73_BAM_CTRL1 0x12
5859 #define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_EN 0x8000
5860 #define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_STATION_MNGR_EN 0x4000
5861 #define MDIO_CL73_USERB0_CL73_BAM_CTRL1_BAM_NP_AFTER_BP_EN 0x2000
5862 #define MDIO_CL73_USERB0_CL73_BAM_CTRL3 0x14
5863 #define MDIO_CL73_USERB0_CL73_BAM_CTRL3_USE_CL73_HCD_MR 0x0001
5864
5865 #define MDIO_REG_BANK_AER_BLOCK 0xFFD0
5866 #define MDIO_AER_BLOCK_AER_REG 0x1E
5867
5868 #define MDIO_REG_BANK_COMBO_IEEE0 0xFFE0
5869 #define MDIO_COMBO_IEEE0_MII_CONTROL 0x10
5870 #define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_MASK 0x2040
5871 #define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_10 0x0000
5872 #define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_100 0x2000
5873 #define MDIO_COMBO_IEEO_MII_CONTROL_MAN_SGMII_SP_1000 0x0040
5874 #define MDIO_COMBO_IEEO_MII_CONTROL_FULL_DUPLEX 0x0100
5875 #define MDIO_COMBO_IEEO_MII_CONTROL_RESTART_AN 0x0200
5876 #define MDIO_COMBO_IEEO_MII_CONTROL_AN_EN 0x1000
5877 #define MDIO_COMBO_IEEO_MII_CONTROL_LOOPBACK 0x4000
5878 #define MDIO_COMBO_IEEO_MII_CONTROL_RESET 0x8000
5879 #define MDIO_COMBO_IEEE0_MII_STATUS 0x11
5880 #define MDIO_COMBO_IEEE0_MII_STATUS_LINK_PASS 0x0004
5881 #define MDIO_COMBO_IEEE0_MII_STATUS_AUTONEG_COMPLETE 0x0020
5882 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV 0x14
5883 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_FULL_DUPLEX 0x0020
5884 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_HALF_DUPLEX 0x0040
5885 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_MASK 0x0180
5886 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_NONE 0x0000
5887 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_SYMMETRIC 0x0080
5888 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_ASYMMETRIC 0x0100
5889 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_PAUSE_BOTH 0x0180
5890 #define MDIO_COMBO_IEEE0_AUTO_NEG_ADV_NEXT_PAGE 0x8000
5891 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1 0x15
5892 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_NEXT_PAGE 0x8000
5893 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_ACK 0x4000
5894 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_MASK 0x0180
5895 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_NONE 0x0000
5896 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_PAUSE_BOTH 0x0180
5897 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_HALF_DUP_CAP 0x0040
5898 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_FULL_DUP_CAP 0x0020
5899 /*WhenthelinkpartnerisinSGMIImode(bit0=1),then
5900 bit15=link,bit12=duplex,bits11:10=speed,bit14=acknowledge.
5901 Theotherbitsarereservedandshouldbezero*/
5902 #define MDIO_COMBO_IEEE0_AUTO_NEG_LINK_PARTNER_ABILITY1_SGMII_MODE 0x0001
5903
5904
5905 #define MDIO_PMA_DEVAD 0x1
5906 /*ieee*/
5907 #define MDIO_PMA_REG_CTRL 0x0
5908 #define MDIO_PMA_REG_STATUS 0x1
5909 #define MDIO_PMA_REG_10G_CTRL2 0x7
5910 #define MDIO_PMA_REG_RX_SD 0xa
5911 /*bcm*/
5912 #define MDIO_PMA_REG_BCM_CTRL 0x0096
5913 #define MDIO_PMA_REG_FEC_CTRL 0x00ab
5914 #define MDIO_PMA_REG_RX_ALARM_CTRL 0x9000
5915 #define MDIO_PMA_REG_LASI_CTRL 0x9002
5916 #define MDIO_PMA_REG_RX_ALARM 0x9003
5917 #define MDIO_PMA_REG_TX_ALARM 0x9004
5918 #define MDIO_PMA_REG_LASI_STATUS 0x9005
5919 #define MDIO_PMA_REG_PHY_IDENTIFIER 0xc800
5920 #define MDIO_PMA_REG_DIGITAL_CTRL 0xc808
5921 #define MDIO_PMA_REG_DIGITAL_STATUS 0xc809
5922 #define MDIO_PMA_REG_TX_POWER_DOWN 0xca02
5923 #define MDIO_PMA_REG_CMU_PLL_BYPASS 0xca09
5924 #define MDIO_PMA_REG_MISC_CTRL 0xca0a
5925 #define MDIO_PMA_REG_GEN_CTRL 0xca10
5926 #define MDIO_PMA_REG_GEN_CTRL_ROM_RESET_INTERNAL_MP 0x0188
5927 #define MDIO_PMA_REG_GEN_CTRL_ROM_MICRO_RESET 0x018a
5928 #define MDIO_PMA_REG_M8051_MSGIN_REG 0xca12
5929 #define MDIO_PMA_REG_M8051_MSGOUT_REG 0xca13
5930 #define MDIO_PMA_REG_ROM_VER1 0xca19
5931 #define MDIO_PMA_REG_ROM_VER2 0xca1a
5932 #define MDIO_PMA_REG_EDC_FFE_MAIN 0xca1b
5933 #define MDIO_PMA_REG_PLL_BANDWIDTH 0xca1d
5934 #define MDIO_PMA_REG_PLL_CTRL 0xca1e
5935 #define MDIO_PMA_REG_MISC_CTRL0 0xca23
5936 #define MDIO_PMA_REG_LRM_MODE 0xca3f
5937 #define MDIO_PMA_REG_CDR_BANDWIDTH 0xca46
5938 #define MDIO_PMA_REG_MISC_CTRL1 0xca85
5939
5940 #define MDIO_PMA_REG_SFP_TWO_WIRE_CTRL 0x8000
5941 #define MDIO_PMA_REG_SFP_TWO_WIRE_CTRL_STATUS_MASK 0x000c
5942 #define MDIO_PMA_REG_SFP_TWO_WIRE_STATUS_IDLE 0x0000
5943 #define MDIO_PMA_REG_SFP_TWO_WIRE_STATUS_COMPLETE 0x0004
5944 #define MDIO_PMA_REG_SFP_TWO_WIRE_STATUS_IN_PROGRESS 0x0008
5945 #define MDIO_PMA_REG_SFP_TWO_WIRE_STATUS_FAILED 0x000c
5946 #define MDIO_PMA_REG_SFP_TWO_WIRE_BYTE_CNT 0x8002
5947 #define MDIO_PMA_REG_SFP_TWO_WIRE_MEM_ADDR 0x8003
5948 #define MDIO_PMA_REG_8726_TWO_WIRE_DATA_BUF 0xc820
5949 #define MDIO_PMA_REG_8726_TWO_WIRE_DATA_MASK 0xff
5950 #define MDIO_PMA_REG_8726_TX_CTRL1 0xca01
5951 #define MDIO_PMA_REG_8726_TX_CTRL2 0xca05
5952
5953 #define MDIO_PMA_REG_8727_TWO_WIRE_SLAVE_ADDR 0x8005
5954 #define MDIO_PMA_REG_8727_TWO_WIRE_DATA_BUF 0x8007
5955 #define MDIO_PMA_REG_8727_TWO_WIRE_DATA_MASK 0xff
5956 #define MDIO_PMA_REG_8727_TX_CTRL1 0xca02
5957 #define MDIO_PMA_REG_8727_TX_CTRL2 0xca05
5958 #define MDIO_PMA_REG_8727_PCS_OPT_CTRL 0xc808
5959 #define MDIO_PMA_REG_8727_GPIO_CTRL 0xc80e
5960 #define MDIO_PMA_REG_8727_PCS_GP 0xc842
5961
5962 #define MDIO_AN_REG_8727_MISC_CTRL 0x8309
5963
5964 #define MDIO_PMA_REG_8073_CHIP_REV 0xc801
5965 #define MDIO_PMA_REG_8073_SPEED_LINK_STATUS 0xc820
5966 #define MDIO_PMA_REG_8073_XAUI_WA 0xc841
5967 #define MDIO_PMA_REG_8073_OPT_DIGITAL_CTRL 0xcd08
5968
5969 #define MDIO_PMA_REG_7101_RESET 0xc000
5970 #define MDIO_PMA_REG_7107_LED_CNTL 0xc007
5971 #define MDIO_PMA_REG_7107_LINK_LED_CNTL 0xc009
5972 #define MDIO_PMA_REG_7101_VER1 0xc026
5973 #define MDIO_PMA_REG_7101_VER2 0xc027
5974
5975 #define MDIO_PMA_REG_8481_PMD_SIGNAL 0xa811
5976 #define MDIO_PMA_REG_8481_LED1_MASK 0xa82c
5977 #define MDIO_PMA_REG_8481_LED2_MASK 0xa82f
5978 #define MDIO_PMA_REG_8481_LED3_MASK 0xa832
5979 #define MDIO_PMA_REG_8481_LED3_BLINK 0xa834
5980 #define MDIO_PMA_REG_8481_LED5_MASK 0xa838
5981 #define MDIO_PMA_REG_8481_SIGNAL_MASK 0xa835
5982 #define MDIO_PMA_REG_8481_LINK_SIGNAL 0xa83b
5983 #define MDIO_PMA_REG_8481_LINK_SIGNAL_LED4_ENABLE_MASK 0x800
5984 #define MDIO_PMA_REG_8481_LINK_SIGNAL_LED4_ENABLE_SHIFT 11
5985
5986
5987 #define MDIO_WIS_DEVAD 0x2
5988 /*bcm*/
5989 #define MDIO_WIS_REG_LASI_CNTL 0x9002
5990 #define MDIO_WIS_REG_LASI_STATUS 0x9005
5991
5992 #define MDIO_PCS_DEVAD 0x3
5993 #define MDIO_PCS_REG_STATUS 0x0020
5994 #define MDIO_PCS_REG_LASI_STATUS 0x9005
5995 #define MDIO_PCS_REG_7101_DSP_ACCESS 0xD000
5996 #define MDIO_PCS_REG_7101_SPI_MUX 0xD008
5997 #define MDIO_PCS_REG_7101_SPI_CTRL_ADDR 0xE12A
5998 #define MDIO_PCS_REG_7101_SPI_RESET_BIT (5)
5999 #define MDIO_PCS_REG_7101_SPI_FIFO_ADDR 0xE02A
6000 #define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_WRITE_ENABLE_CMD (6)
6001 #define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_BULK_ERASE_CMD (0xC7)
6002 #define MDIO_PCS_REG_7101_SPI_FIFO_ADDR_PAGE_PROGRAM_CMD (2)
6003 #define MDIO_PCS_REG_7101_SPI_BYTES_TO_TRANSFER_ADDR 0xE028
6004
6005
6006 #define MDIO_XS_DEVAD 0x4
6007 #define MDIO_XS_PLL_SEQUENCER 0x8000
6008 #define MDIO_XS_SFX7101_XGXS_TEST1 0xc00a
6009
6010 #define MDIO_XS_8706_REG_BANK_RX0 0x80bc
6011 #define MDIO_XS_8706_REG_BANK_RX1 0x80cc
6012 #define MDIO_XS_8706_REG_BANK_RX2 0x80dc
6013 #define MDIO_XS_8706_REG_BANK_RX3 0x80ec
6014 #define MDIO_XS_8706_REG_BANK_RXA 0x80fc
6015
6016 #define MDIO_XS_REG_8073_RX_CTRL_PCIE 0x80FA
6017
6018 #define MDIO_AN_DEVAD 0x7
6019 /*ieee*/
6020 #define MDIO_AN_REG_CTRL 0x0000
6021 #define MDIO_AN_REG_STATUS 0x0001
6022 #define MDIO_AN_REG_STATUS_AN_COMPLETE 0x0020
6023 #define MDIO_AN_REG_ADV_PAUSE 0x0010
6024 #define MDIO_AN_REG_ADV_PAUSE_PAUSE 0x0400
6025 #define MDIO_AN_REG_ADV_PAUSE_ASYMMETRIC 0x0800
6026 #define MDIO_AN_REG_ADV_PAUSE_BOTH 0x0C00
6027 #define MDIO_AN_REG_ADV_PAUSE_MASK 0x0C00
6028 #define MDIO_AN_REG_ADV 0x0011
6029 #define MDIO_AN_REG_ADV2 0x0012
6030 #define MDIO_AN_REG_LP_AUTO_NEG 0x0013
6031 #define MDIO_AN_REG_MASTER_STATUS 0x0021
6032 /*bcm*/
6033 #define MDIO_AN_REG_LINK_STATUS 0x8304
6034 #define MDIO_AN_REG_CL37_CL73 0x8370
6035 #define MDIO_AN_REG_CL37_AN 0xffe0
6036 #define MDIO_AN_REG_CL37_FC_LD 0xffe4
6037 #define MDIO_AN_REG_CL37_FC_LP 0xffe5
6038
6039 #define MDIO_AN_REG_8073_2_5G 0x8329
6040 #define MDIO_AN_REG_8073_BAM 0x8350
6041
6042 #define MDIO_AN_REG_8481_10GBASE_T_AN_CTRL 0x0020
6043 #define MDIO_AN_REG_8481_LEGACY_MII_CTRL 0xffe0
6044 #define MDIO_AN_REG_8481_LEGACY_MII_STATUS 0xffe1
6045 #define MDIO_AN_REG_8481_LEGACY_AN_ADV 0xffe4
6046 #define MDIO_AN_REG_8481_LEGACY_AN_EXPANSION 0xffe6
6047 #define MDIO_AN_REG_8481_1000T_CTRL 0xffe9
6048 #define MDIO_AN_REG_8481_EXPANSION_REG_RD_RW 0xfff5
6049 #define MDIO_AN_REG_8481_EXPANSION_REG_ACCESS 0xfff7
6050 #define MDIO_AN_REG_8481_AUX_CTRL 0xfff8
6051 #define MDIO_AN_REG_8481_LEGACY_SHADOW 0xfffc
6052
6053 /* BCM84823 only */
6054 #define MDIO_CTL_DEVAD 0x1e
6055 #define MDIO_CTL_REG_84823_MEDIA 0x401a
6056 #define MDIO_CTL_REG_84823_MEDIA_MAC_MASK 0x0018
6057 /* These pins configure the BCM84823 interface to MAC after reset. */
6058 #define MDIO_CTL_REG_84823_CTRL_MAC_XFI 0x0008
6059 #define MDIO_CTL_REG_84823_MEDIA_MAC_XAUI_M 0x0010
6060 /* These pins configure the BCM84823 interface to Line after reset. */
6061 #define MDIO_CTL_REG_84823_MEDIA_LINE_MASK 0x0060
6062 #define MDIO_CTL_REG_84823_MEDIA_LINE_XAUI_L 0x0020
6063 #define MDIO_CTL_REG_84823_MEDIA_LINE_XFI 0x0040
6064 /* When this pin is active high during reset, 10GBASE-T core is power
6065 * down, When it is active low the 10GBASE-T is power up
6066 */
6067 #define MDIO_CTL_REG_84823_MEDIA_COPPER_CORE_DOWN 0x0080
6068 #define MDIO_CTL_REG_84823_MEDIA_PRIORITY_MASK 0x0100
6069 #define MDIO_CTL_REG_84823_MEDIA_PRIORITY_COPPER 0x0000
6070 #define MDIO_CTL_REG_84823_MEDIA_PRIORITY_FIBER 0x0100
6071 #define MDIO_CTL_REG_84823_MEDIA_FIBER_1G 0x1000
6072
6073
6074 #define IGU_FUNC_BASE 0x0400
6075
6076 #define IGU_ADDR_MSIX 0x0000
6077 #define IGU_ADDR_INT_ACK 0x0200
6078 #define IGU_ADDR_PROD_UPD 0x0201
6079 #define IGU_ADDR_ATTN_BITS_UPD 0x0202
6080 #define IGU_ADDR_ATTN_BITS_SET 0x0203
6081 #define IGU_ADDR_ATTN_BITS_CLR 0x0204
6082 #define IGU_ADDR_COALESCE_NOW 0x0205
6083 #define IGU_ADDR_SIMD_MASK 0x0206
6084 #define IGU_ADDR_SIMD_NOMASK 0x0207
6085 #define IGU_ADDR_MSI_CTL 0x0210
6086 #define IGU_ADDR_MSI_ADDR_LO 0x0211
6087 #define IGU_ADDR_MSI_ADDR_HI 0x0212
6088 #define IGU_ADDR_MSI_DATA 0x0213
6089
6090 #define IGU_INT_ENABLE 0
6091 #define IGU_INT_DISABLE 1
6092 #define IGU_INT_NOP 2
6093 #define IGU_INT_NOP2 3
6094
6095 #define IGU_USE_REGISTER_ustorm_type_0_sb_cleanup 0
6096 #define IGU_USE_REGISTER_ustorm_type_1_sb_cleanup 1
6097 #define IGU_USE_REGISTER_cstorm_type_0_sb_cleanup 2
6098 #define IGU_USE_REGISTER_cstorm_type_1_sb_cleanup 3
6099
6100 #define COMMAND_REG_INT_ACK 0x0
6101 #define COMMAND_REG_PROD_UPD 0x4
6102 #define COMMAND_REG_ATTN_BITS_UPD 0x8
6103 #define COMMAND_REG_ATTN_BITS_SET 0xc
6104 #define COMMAND_REG_ATTN_BITS_CLR 0x10
6105 #define COMMAND_REG_COALESCE_NOW 0x14
6106 #define COMMAND_REG_SIMD_MASK 0x18
6107 #define COMMAND_REG_SIMD_NOMASK 0x1c
6108
6109
6110 #define IGU_MEM_BASE 0x0000
6111
6112 #define IGU_MEM_MSIX_BASE 0x0000
6113 #define IGU_MEM_MSIX_UPPER 0x007f
6114 #define IGU_MEM_MSIX_RESERVED_UPPER 0x01ff
6115
6116 #define IGU_MEM_PBA_MSIX_BASE 0x0200
6117 #define IGU_MEM_PBA_MSIX_UPPER 0x0200
6118
6119 #define IGU_CMD_BACKWARD_COMP_PROD_UPD 0x0201
6120 #define IGU_MEM_PBA_MSIX_RESERVED_UPPER 0x03ff
6121
6122 #define IGU_CMD_INT_ACK_BASE 0x0400
6123 #define IGU_CMD_INT_ACK_UPPER\
6124 (IGU_CMD_INT_ACK_BASE + MAX_SB_PER_PORT * NUM_OF_PORTS_PER_PATH - 1)
6125 #define IGU_CMD_INT_ACK_RESERVED_UPPER 0x04ff
6126
6127 #define IGU_CMD_E2_PROD_UPD_BASE 0x0500
6128 #define IGU_CMD_E2_PROD_UPD_UPPER\
6129 (IGU_CMD_E2_PROD_UPD_BASE + MAX_SB_PER_PORT * NUM_OF_PORTS_PER_PATH - 1)
6130 #define IGU_CMD_E2_PROD_UPD_RESERVED_UPPER 0x059f
6131
6132 #define IGU_CMD_ATTN_BIT_UPD_UPPER 0x05a0
6133 #define IGU_CMD_ATTN_BIT_SET_UPPER 0x05a1
6134 #define IGU_CMD_ATTN_BIT_CLR_UPPER 0x05a2
6135
6136 #define IGU_REG_SISR_MDPC_WMASK_UPPER 0x05a3
6137 #define IGU_REG_SISR_MDPC_WMASK_LSB_UPPER 0x05a4
6138 #define IGU_REG_SISR_MDPC_WMASK_MSB_UPPER 0x05a5
6139 #define IGU_REG_SISR_MDPC_WOMASK_UPPER 0x05a6
6140
6141 #define IGU_REG_RESERVED_UPPER 0x05ff
6142 /* Fields of IGU PF CONFIGRATION REGISTER */
6143 #define IGU_PF_CONF_FUNC_EN (0x1<<0) /* function enable */
6144 #define IGU_PF_CONF_MSI_MSIX_EN (0x1<<1) /* MSI/MSIX enable */
6145 #define IGU_PF_CONF_INT_LINE_EN (0x1<<2) /* INT enable */
6146 #define IGU_PF_CONF_ATTN_BIT_EN (0x1<<3) /* attention enable */
6147 #define IGU_PF_CONF_SINGLE_ISR_EN (0x1<<4) /* single ISR mode enable */
6148 #define IGU_PF_CONF_SIMD_MODE (0x1<<5) /* simd all ones mode */
6149
6150 /* Fields of IGU VF CONFIGRATION REGISTER */
6151 #define IGU_VF_CONF_FUNC_EN (0x1<<0) /* function enable */
6152 #define IGU_VF_CONF_MSI_MSIX_EN (0x1<<1) /* MSI/MSIX enable */
6153 #define IGU_VF_CONF_PARENT_MASK (0x3<<2) /* Parent PF */
6154 #define IGU_VF_CONF_PARENT_SHIFT 2 /* Parent PF */
6155 #define IGU_VF_CONF_SINGLE_ISR_EN (0x1<<4) /* single ISR mode enable */
6156
6157
6158 #define IGU_BC_DSB_NUM_SEGS 5
6159 #define IGU_BC_NDSB_NUM_SEGS 2
6160 #define IGU_NORM_DSB_NUM_SEGS 2
6161 #define IGU_NORM_NDSB_NUM_SEGS 1
6162 #define IGU_BC_BASE_DSB_PROD 128
6163 #define IGU_NORM_BASE_DSB_PROD 136
6164
6165 #define IGU_CTRL_CMD_TYPE_WR\
6166 1
6167 #define IGU_CTRL_CMD_TYPE_RD\
6168 0
6169
6170 #define IGU_SEG_ACCESS_NORM 0
6171 #define IGU_SEG_ACCESS_DEF 1
6172 #define IGU_SEG_ACCESS_ATTN 2
6173
6174 /* FID (if VF - [6] = 0; [5:0] = VF number; if PF - [6] = 1; \
6175 [5:2] = 0; [1:0] = PF number) */
6176 #define IGU_FID_ENCODE_IS_PF (0x1<<6)
6177 #define IGU_FID_ENCODE_IS_PF_SHIFT 6
6178 #define IGU_FID_VF_NUM_MASK (0x3f)
6179 #define IGU_FID_PF_NUM_MASK (0x7)
6180
6181 #define IGU_REG_MAPPING_MEMORY_VALID (1<<0)
6182 #define IGU_REG_MAPPING_MEMORY_VECTOR_MASK (0x3F<<1)
6183 #define IGU_REG_MAPPING_MEMORY_VECTOR_SHIFT 1
6184 #define IGU_REG_MAPPING_MEMORY_FID_MASK (0x7F<<7)
6185 #define IGU_REG_MAPPING_MEMORY_FID_SHIFT 7
6186
6187
6188 #define CDU_REGION_NUMBER_XCM_AG 2
6189 #define CDU_REGION_NUMBER_UCM_AG 4
6190
6191
6192 /**
6193 * String-to-compress [31:8] = CID (all 24 bits)
6194 * String-to-compress [7:4] = Region
6195 * String-to-compress [3:0] = Type
6196 */
6197 #define CDU_VALID_DATA(_cid, _region, _type)\
6198 (((_cid) << 8) | (((_region)&0xf)<<4) | (((_type)&0xf)))
6199 #define CDU_CRC8(_cid, _region, _type)\
6200 (calc_crc8(CDU_VALID_DATA(_cid, _region, _type), 0xff))
6201 #define CDU_RSRVD_VALUE_TYPE_A(_cid, _region, _type)\
6202 (0x80 | ((CDU_CRC8(_cid, _region, _type)) & 0x7f))
6203 #define CDU_RSRVD_VALUE_TYPE_B(_crc, _type)\
6204 (0x80 | ((_type)&0xf << 3) | ((CDU_CRC8(_cid, _region, _type)) & 0x7))
6205 #define CDU_RSRVD_INVALIDATE_CONTEXT_VALUE(_val) ((_val) & ~0x80)
6206
6207 /******************************************************************************
6208 * Description:
6209 * Calculates crc 8 on a word value: polynomial 0-1-2-8
6210 * Code was translated from Verilog.
6211 * Return:
6212 *****************************************************************************/
6213 static inline u8 calc_crc8(u32 data, u8 crc)
6214 {
6215 u8 D[32];
6216 u8 NewCRC[8];
6217 u8 C[8];
6218 u8 crc_res;
6219 u8 i;
6220
6221 /* split the data into 31 bits */
6222 for (i = 0; i < 32; i++) {
6223 D[i] = (u8)(data & 1);
6224 data = data >> 1;
6225 }
6226
6227 /* split the crc into 8 bits */
6228 for (i = 0; i < 8; i++) {
6229 C[i] = crc & 1;
6230 crc = crc >> 1;
6231 }
6232
6233 NewCRC[0] = D[31] ^ D[30] ^ D[28] ^ D[23] ^ D[21] ^ D[19] ^ D[18] ^
6234 D[16] ^ D[14] ^ D[12] ^ D[8] ^ D[7] ^ D[6] ^ D[0] ^ C[4] ^
6235 C[6] ^ C[7];
6236 NewCRC[1] = D[30] ^ D[29] ^ D[28] ^ D[24] ^ D[23] ^ D[22] ^ D[21] ^
6237 D[20] ^ D[18] ^ D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[13] ^
6238 D[12] ^ D[9] ^ D[6] ^ D[1] ^ D[0] ^ C[0] ^ C[4] ^ C[5] ^
6239 C[6];
6240 NewCRC[2] = D[29] ^ D[28] ^ D[25] ^ D[24] ^ D[22] ^ D[17] ^ D[15] ^
6241 D[13] ^ D[12] ^ D[10] ^ D[8] ^ D[6] ^ D[2] ^ D[1] ^ D[0] ^
6242 C[0] ^ C[1] ^ C[4] ^ C[5];
6243 NewCRC[3] = D[30] ^ D[29] ^ D[26] ^ D[25] ^ D[23] ^ D[18] ^ D[16] ^
6244 D[14] ^ D[13] ^ D[11] ^ D[9] ^ D[7] ^ D[3] ^ D[2] ^ D[1] ^
6245 C[1] ^ C[2] ^ C[5] ^ C[6];
6246 NewCRC[4] = D[31] ^ D[30] ^ D[27] ^ D[26] ^ D[24] ^ D[19] ^ D[17] ^
6247 D[15] ^ D[14] ^ D[12] ^ D[10] ^ D[8] ^ D[4] ^ D[3] ^ D[2] ^
6248 C[0] ^ C[2] ^ C[3] ^ C[6] ^ C[7];
6249 NewCRC[5] = D[31] ^ D[28] ^ D[27] ^ D[25] ^ D[20] ^ D[18] ^ D[16] ^
6250 D[15] ^ D[13] ^ D[11] ^ D[9] ^ D[5] ^ D[4] ^ D[3] ^ C[1] ^
6251 C[3] ^ C[4] ^ C[7];
6252 NewCRC[6] = D[29] ^ D[28] ^ D[26] ^ D[21] ^ D[19] ^ D[17] ^ D[16] ^
6253 D[14] ^ D[12] ^ D[10] ^ D[6] ^ D[5] ^ D[4] ^ C[2] ^ C[4] ^
6254 C[5];
6255 NewCRC[7] = D[30] ^ D[29] ^ D[27] ^ D[22] ^ D[20] ^ D[18] ^ D[17] ^
6256 D[15] ^ D[13] ^ D[11] ^ D[7] ^ D[6] ^ D[5] ^ C[3] ^ C[5] ^
6257 C[6];
6258
6259 crc_res = 0;
6260 for (i = 0; i < 8; i++)
6261 crc_res |= (NewCRC[i] << i);
6262
6263 return crc_res;
6264 }
6265
6266