]> git.proxmox.com Git - mirror_ubuntu-jammy-kernel.git/blobdiff - include/media/v4l2-clk.h
treewide: Replace GPLv2 boilerplate/reference with SPDX - rule 500
[mirror_ubuntu-jammy-kernel.git] / include / media / v4l2-clk.h
index 7ec857f805a6c724fbd06d3c1708fb1c6be8fabc..d9d21a43a834a4818171c79111baeb4ef2857f7b 100644 (file)
@@ -1,12 +1,9 @@
+/* SPDX-License-Identifier: GPL-2.0-only */
 /*
  * V4L2 clock service
  *
  * Copyright (C) 2012-2013, Guennadi Liakhovetski <g.liakhovetski@gmx.de>
  *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License version 2 as
- * published by the Free Software Foundation.
- *
  * ATTENTION: This is a temporary API and it shall be replaced by the generic
  * clock API, when the latter becomes widely available.
  */