]> git.proxmox.com Git - rustc.git/blobdiff - vendor/sharded-slab/.cargo-checksum.json
New upstream version 1.56.0~beta.4+dfsg1
[rustc.git] / vendor / sharded-slab / .cargo-checksum.json
index 10987012ac52253f7290de808d9f10ccc986670c..5a093c46e6df6016c54c24c29df8d42c064b2841 100644 (file)
@@ -1 +1 @@
-{"files":{"CHANGELOG.md":"7ac199c2f6512e789e4d700b97246a832b819b010c611bf8edca38bfe318e132","Cargo.toml":"40971377db5f8c2d544cf14e4999c763ff54ee03d2cf42751c6e5fa802ef87b0","LICENSE":"eafbfa606bc005ed7fd2f623d65af17ffe4ef7c017221ac14405bf4140771ea9","README.md":"cdd8f08eea1d91ef95a9dc626f7d43d0969543c43b230d2d1cb4052ee1bf5369","benches/bench.rs":"6fad53f1d01c988240e827e5ceff8cf78ce4ac7e3eb0a72d7ddc6306741dd619","bin/loom.sh":"903241204befb0f1f6e7ea98e2a24244c98e8567595c03af42f65b78a4b231c1","src/cfg.rs":"b26b129bd1e27f279f76b4ce3a7e2d22b092077be50dab3cfde195d6ea662d2d","src/clear.rs":"765537aa6a4c65d9b42d6eb46b3dd801c2723b5c5b6bcdcabf671d63278f938e","src/implementation.rs":"8c3abf4f37c432a9ecf841676055c929ab8043185fd8d39d0828f4605e608f4c","src/iter.rs":"a6880250c411471842cac8b9d28b7cbba4600ef33958530fd39ab54fbe2fdbe0","src/lib.rs":"53dfef3f9a237f2de7062e26a7c968a6772914d4102d0767127e17aed126ea9d","src/page/mod.rs":"1c61f1b42e2fab7e402ee22edac0dc71101da833fe4139651f4c79139e42d277","src/page/slot.rs":"64cbeb010931f7a90c427c04b4ae221e29633c3840d890f7f7a5413a89e3345a","src/page/stack.rs":"549853d1a2c1c15987eee89d4327c4592f274c3cc1c5b695e419bd460f61c5c4","src/pool.rs":"393f4326610f8686655dec2e722002f7dfb4a7ef4f7b33d442c392da90e50f17","src/shard.rs":"0fdcc20b710fcf5af7c04b6abcf4e87e61256692ae93658039b20296cb9e832c","src/sync.rs":"2dc02451d9e26c3c16e10b7ec21c00a3f0dabfc650e3cc4f58a75f69ab8aa4d5","src/tests/loom_pool.rs":"363bb7fbd6b99b870cc51ae3eb5b5a9cc02e5ef7ba0e5b83a495d7f1aab58dcc","src/tests/loom_slab.rs":"3d230cdf0048f02fc5046038e1574bc9c5f61972952aa21d86992e856161d3e6","src/tests/mod.rs":"44808a038c645f16ec26658a835fee815850f06563a2e680136b0fdbe6e44e39","src/tid.rs":"7ef2aa4ba59fa163ce1d28d33a123025097b60f6ccd9dc68e970ca37561f477a"},"package":"79c719719ee05df97490f80a45acfc99e5a30ce98a1e4fb67aee422745ae14e3"}
\ No newline at end of file
+{"files":{"CHANGELOG.md":"a3275390240da091be3007b24158444150d6e2256402f67a04ba60f9d91c3c0e","Cargo.toml":"55bbf8643f644e32cae7e41062293e99867d4b9277dd9fd2719cef37e0227da2","LICENSE":"eafbfa606bc005ed7fd2f623d65af17ffe4ef7c017221ac14405bf4140771ea9","README.md":"67d9378ca4eb6336a76616d74fe33f1bcf7fbafcff059efd6e11ddce1717c92d","benches/bench.rs":"6fad53f1d01c988240e827e5ceff8cf78ce4ac7e3eb0a72d7ddc6306741dd619","bin/loom.sh":"903241204befb0f1f6e7ea98e2a24244c98e8567595c03af42f65b78a4b231c1","src/cfg.rs":"b26b129bd1e27f279f76b4ce3a7e2d22b092077be50dab3cfde195d6ea662d2d","src/clear.rs":"765537aa6a4c65d9b42d6eb46b3dd801c2723b5c5b6bcdcabf671d63278f938e","src/implementation.rs":"8c3abf4f37c432a9ecf841676055c929ab8043185fd8d39d0828f4605e608f4c","src/iter.rs":"a6880250c411471842cac8b9d28b7cbba4600ef33958530fd39ab54fbe2fdbe0","src/lib.rs":"c30055e3162bf0a44b07df5aff922ac21aeb6767466360ae2b2d6a52230b5529","src/macros.rs":"3d22bc75b29c551e8a8cde347e61ebe7c981862190ca82bbf5f529ff7ec1d1dd","src/page/mod.rs":"1c61f1b42e2fab7e402ee22edac0dc71101da833fe4139651f4c79139e42d277","src/page/slot.rs":"053f3090af39e5d5205a969b808bf29eda34ce31e1c40c79b9e4ccfd60220332","src/page/stack.rs":"549853d1a2c1c15987eee89d4327c4592f274c3cc1c5b695e419bd460f61c5c4","src/pool.rs":"393f4326610f8686655dec2e722002f7dfb4a7ef4f7b33d442c392da90e50f17","src/shard.rs":"3938da1732b887238a0c4589f6748f35669fed26b6ad916debb2a890bf876b98","src/sync.rs":"9ad35bbb515f7e56c62b9e5e048a147a3490b419e2ebe79f66047674953f1689","src/tests/loom_pool.rs":"363bb7fbd6b99b870cc51ae3eb5b5a9cc02e5ef7ba0e5b83a495d7f1aab58dcc","src/tests/loom_slab.rs":"3d230cdf0048f02fc5046038e1574bc9c5f61972952aa21d86992e856161d3e6","src/tests/mod.rs":"44808a038c645f16ec26658a835fee815850f06563a2e680136b0fdbe6e44e39","src/tid.rs":"6a87728bebb947a47a9593d0274d1c332f4f6c4ffc733211546dc447de55d913"},"package":"740223c51853f3145fe7c90360d2d4232f2b62e3449489c207eccde818979982"}
\ No newline at end of file