]> git.proxmox.com Git - mirror_ubuntu-bionic-kernel.git/commit
clk: zx: Add audio and GPIO clock for zx296702
authorJun Nie <jun.nie@linaro.org>
Thu, 23 Jul 2015 07:02:52 +0000 (15:02 +0800)
committerStephen Boyd <sboyd@codeaurora.org>
Tue, 28 Jul 2015 18:59:37 +0000 (11:59 -0700)
commit105644e59a2b1c43fe2eeba6595d142c390552c2
tree2cb41bb2013f727af02066b3c2b3edee1aecafb6
parent4599dd2c926915b5e8c27e0ca21a6172f9d6881c
clk: zx: Add audio and GPIO clock for zx296702

Add SPDIF/I2S and GPIO clock for zx296702

Signed-off-by: Jun Nie <jun.nie@linaro.org>
Signed-off-by: Stephen Boyd <sboyd@codeaurora.org>
drivers/clk/zte/clk-zx296702.c
include/dt-bindings/clock/zx296702-clock.h