]> git.proxmox.com Git - mirror_ubuntu-artful-kernel.git/commitdiff
mmc: sdhci: fix data timeout (part 2)
authorRussell King <rmk+kernel@arm.linux.org.uk>
Tue, 26 Jan 2016 13:41:04 +0000 (13:41 +0000)
committerTim Gardner <tim.gardner@canonical.com>
Thu, 21 Apr 2016 12:53:41 +0000 (06:53 -0600)
BugLink: http://bugs.launchpad.net/bugs/1572722
commit 7f05538af71c7d30b5fc821cbe9f318edc645961 upstream.

The calculation for the timeout based on the number of card clocks is
incorrect.  The calculation assumed:

timeout in microseconds = clock cycles / clock in Hz

which is clearly a several orders of magnitude wrong.  Fix this by
multiplying the clock cycles by 1000000 prior to dividing by the Hz
based clock.  Also, as per part 1, ensure that the division rounds
up.

As this needs 64-bit math via do_div(), avoid it if the clock cycles
is zero.

Signed-off-by: Russell King <rmk+kernel@arm.linux.org.uk>
Signed-off-by: Adrian Hunter <adrian.hunter@intel.com>
Tested-by: Gregory CLEMENT <gregory.clement@free-electrons.com>
Signed-off-by: Ulf Hansson <ulf.hansson@linaro.org>
Signed-off-by: Greg Kroah-Hartman <gregkh@linuxfoundation.org>
Signed-off-by: Tim Gardner <tim.gardner@canonical.com>
drivers/mmc/host/sdhci.c

index f5053ef55f3c440fdb33f90a33f0a112ec789473..2146485fc4a385e5462d0ebe727096f24411f462 100644 (file)
@@ -667,8 +667,19 @@ static u8 sdhci_calc_timeout(struct sdhci_host *host, struct mmc_command *cmd)
                target_timeout = cmd->busy_timeout * 1000;
        else {
                target_timeout = DIV_ROUND_UP(data->timeout_ns, 1000);
-               if (host->clock)
-                       target_timeout += data->timeout_clks / host->clock;
+               if (host->clock && data->timeout_clks) {
+                       unsigned long long val;
+
+                       /*
+                        * data->timeout_clks is in units of clock cycles.
+                        * host->clock is in Hz.  target_timeout is in us.
+                        * Hence, us = 1000000 * cycles / Hz.  Round up.
+                        */
+                       val = 1000000 * data->timeout_clks;
+                       if (do_div(val, host->clock))
+                               target_timeout++;
+                       target_timeout += val;
+               }
        }
 
        /*