]> git.proxmox.com Git - mirror_qemu.git/blame - target/arm/helper.c
target/arm: Fix float16 to/from int16
[mirror_qemu.git] / target / arm / helper.c
CommitLineData
74c21bd0 1#include "qemu/osdep.h"
181962fd 2#include "target/arm/idau.h"
194cbc49 3#include "trace.h"
b5ff1b31 4#include "cpu.h"
ccd38087 5#include "internals.h"
022c62cb 6#include "exec/gdbstub.h"
2ef6175a 7#include "exec/helper-proto.h"
1de7afc9 8#include "qemu/host-utils.h"
78027bb6 9#include "sysemu/arch_init.h"
9c17d615 10#include "sysemu/sysemu.h"
1de7afc9 11#include "qemu/bitops.h"
eb0ecd5a 12#include "qemu/crc32c.h"
63c91552 13#include "exec/exec-all.h"
f08b6170 14#include "exec/cpu_ldst.h"
1d854765 15#include "arm_ldst.h"
eb0ecd5a 16#include <zlib.h> /* For crc32 */
cfe67cef 17#include "exec/semihost.h"
f3a9b694 18#include "sysemu/kvm.h"
24f91e81 19#include "fpu/softfloat.h"
0b03bdfc 20
352c98e5
LV
21#define ARM_CPU_FREQ 1000000000 /* FIXME: 1 GHz, should be configurable */
22
4a501606 23#ifndef CONFIG_USER_ONLY
5b2d261d
AB
24/* Cacheability and shareability attributes for a memory access */
25typedef struct ARMCacheAttrs {
26 unsigned int attrs:8; /* as in the MAIR register encoding */
27 unsigned int shareability:2; /* as in the SH field of the VMSAv8-64 PTEs */
28} ARMCacheAttrs;
29
af51f566 30static bool get_phys_addr(CPUARMState *env, target_ulong address,
03ae85f8 31 MMUAccessType access_type, ARMMMUIdx mmu_idx,
af51f566 32 hwaddr *phys_ptr, MemTxAttrs *attrs, int *prot,
bc52bfeb 33 target_ulong *page_size,
5b2d261d 34 ARMMMUFaultInfo *fi, ARMCacheAttrs *cacheattrs);
7c2cb42b 35
37785977 36static bool get_phys_addr_lpae(CPUARMState *env, target_ulong address,
03ae85f8 37 MMUAccessType access_type, ARMMMUIdx mmu_idx,
37785977 38 hwaddr *phys_ptr, MemTxAttrs *txattrs, int *prot,
da909b2c 39 target_ulong *page_size_ptr,
5b2d261d 40 ARMMMUFaultInfo *fi, ARMCacheAttrs *cacheattrs);
37785977 41
35337cc3
PM
42/* Security attributes for an address, as returned by v8m_security_lookup. */
43typedef struct V8M_SAttributes {
44 bool ns;
45 bool nsc;
46 uint8_t sregion;
47 bool srvalid;
48 uint8_t iregion;
49 bool irvalid;
50} V8M_SAttributes;
51
333e10c5
PM
52static void v8m_security_lookup(CPUARMState *env, uint32_t address,
53 MMUAccessType access_type, ARMMMUIdx mmu_idx,
54 V8M_SAttributes *sattrs);
55
7c2cb42b
AF
56/* Definitions for the PMCCNTR and PMCR registers */
57#define PMCRD 0x8
58#define PMCRC 0x4
59#define PMCRE 0x1
4a501606
PM
60#endif
61
0ecb72a5 62static int vfp_gdb_get_reg(CPUARMState *env, uint8_t *buf, int reg)
56aebc89
PB
63{
64 int nregs;
65
66 /* VFP data registers are always little-endian. */
67 nregs = arm_feature(env, ARM_FEATURE_VFP3) ? 32 : 16;
68 if (reg < nregs) {
9a2b5256 69 stq_le_p(buf, *aa32_vfp_dreg(env, reg));
56aebc89
PB
70 return 8;
71 }
72 if (arm_feature(env, ARM_FEATURE_NEON)) {
73 /* Aliases for Q regs. */
74 nregs += 16;
75 if (reg < nregs) {
9a2b5256
RH
76 uint64_t *q = aa32_vfp_qreg(env, reg - 32);
77 stq_le_p(buf, q[0]);
78 stq_le_p(buf + 8, q[1]);
56aebc89
PB
79 return 16;
80 }
81 }
82 switch (reg - nregs) {
83 case 0: stl_p(buf, env->vfp.xregs[ARM_VFP_FPSID]); return 4;
84 case 1: stl_p(buf, env->vfp.xregs[ARM_VFP_FPSCR]); return 4;
85 case 2: stl_p(buf, env->vfp.xregs[ARM_VFP_FPEXC]); return 4;
86 }
87 return 0;
88}
89
0ecb72a5 90static int vfp_gdb_set_reg(CPUARMState *env, uint8_t *buf, int reg)
56aebc89
PB
91{
92 int nregs;
93
94 nregs = arm_feature(env, ARM_FEATURE_VFP3) ? 32 : 16;
95 if (reg < nregs) {
9a2b5256 96 *aa32_vfp_dreg(env, reg) = ldq_le_p(buf);
56aebc89
PB
97 return 8;
98 }
99 if (arm_feature(env, ARM_FEATURE_NEON)) {
100 nregs += 16;
101 if (reg < nregs) {
9a2b5256
RH
102 uint64_t *q = aa32_vfp_qreg(env, reg - 32);
103 q[0] = ldq_le_p(buf);
104 q[1] = ldq_le_p(buf + 8);
56aebc89
PB
105 return 16;
106 }
107 }
108 switch (reg - nregs) {
109 case 0: env->vfp.xregs[ARM_VFP_FPSID] = ldl_p(buf); return 4;
110 case 1: env->vfp.xregs[ARM_VFP_FPSCR] = ldl_p(buf); return 4;
71b3c3de 111 case 2: env->vfp.xregs[ARM_VFP_FPEXC] = ldl_p(buf) & (1 << 30); return 4;
56aebc89
PB
112 }
113 return 0;
114}
115
6a669427
PM
116static int aarch64_fpu_gdb_get_reg(CPUARMState *env, uint8_t *buf, int reg)
117{
118 switch (reg) {
119 case 0 ... 31:
120 /* 128 bit FP register */
9a2b5256
RH
121 {
122 uint64_t *q = aa64_vfp_qreg(env, reg);
123 stq_le_p(buf, q[0]);
124 stq_le_p(buf + 8, q[1]);
125 return 16;
126 }
6a669427
PM
127 case 32:
128 /* FPSR */
129 stl_p(buf, vfp_get_fpsr(env));
130 return 4;
131 case 33:
132 /* FPCR */
133 stl_p(buf, vfp_get_fpcr(env));
134 return 4;
135 default:
136 return 0;
137 }
138}
139
140static int aarch64_fpu_gdb_set_reg(CPUARMState *env, uint8_t *buf, int reg)
141{
142 switch (reg) {
143 case 0 ... 31:
144 /* 128 bit FP register */
9a2b5256
RH
145 {
146 uint64_t *q = aa64_vfp_qreg(env, reg);
147 q[0] = ldq_le_p(buf);
148 q[1] = ldq_le_p(buf + 8);
149 return 16;
150 }
6a669427
PM
151 case 32:
152 /* FPSR */
153 vfp_set_fpsr(env, ldl_p(buf));
154 return 4;
155 case 33:
156 /* FPCR */
157 vfp_set_fpcr(env, ldl_p(buf));
158 return 4;
159 default:
160 return 0;
161 }
162}
163
c4241c7d 164static uint64_t raw_read(CPUARMState *env, const ARMCPRegInfo *ri)
d4e6df63 165{
375421cc 166 assert(ri->fieldoffset);
67ed771d 167 if (cpreg_field_is_64bit(ri)) {
c4241c7d 168 return CPREG_FIELD64(env, ri);
22d9e1a9 169 } else {
c4241c7d 170 return CPREG_FIELD32(env, ri);
22d9e1a9 171 }
d4e6df63
PM
172}
173
c4241c7d
PM
174static void raw_write(CPUARMState *env, const ARMCPRegInfo *ri,
175 uint64_t value)
d4e6df63 176{
375421cc 177 assert(ri->fieldoffset);
67ed771d 178 if (cpreg_field_is_64bit(ri)) {
22d9e1a9
PM
179 CPREG_FIELD64(env, ri) = value;
180 } else {
181 CPREG_FIELD32(env, ri) = value;
182 }
d4e6df63
PM
183}
184
11f136ee
FA
185static void *raw_ptr(CPUARMState *env, const ARMCPRegInfo *ri)
186{
187 return (char *)env + ri->fieldoffset;
188}
189
49a66191 190uint64_t read_raw_cp_reg(CPUARMState *env, const ARMCPRegInfo *ri)
721fae12 191{
59a1c327 192 /* Raw read of a coprocessor register (as needed for migration, etc). */
721fae12 193 if (ri->type & ARM_CP_CONST) {
59a1c327 194 return ri->resetvalue;
721fae12 195 } else if (ri->raw_readfn) {
59a1c327 196 return ri->raw_readfn(env, ri);
721fae12 197 } else if (ri->readfn) {
59a1c327 198 return ri->readfn(env, ri);
721fae12 199 } else {
59a1c327 200 return raw_read(env, ri);
721fae12 201 }
721fae12
PM
202}
203
59a1c327 204static void write_raw_cp_reg(CPUARMState *env, const ARMCPRegInfo *ri,
7900e9f1 205 uint64_t v)
721fae12
PM
206{
207 /* Raw write of a coprocessor register (as needed for migration, etc).
721fae12
PM
208 * Note that constant registers are treated as write-ignored; the
209 * caller should check for success by whether a readback gives the
210 * value written.
211 */
212 if (ri->type & ARM_CP_CONST) {
59a1c327 213 return;
721fae12 214 } else if (ri->raw_writefn) {
c4241c7d 215 ri->raw_writefn(env, ri, v);
721fae12 216 } else if (ri->writefn) {
c4241c7d 217 ri->writefn(env, ri, v);
721fae12 218 } else {
afb2530f 219 raw_write(env, ri, v);
721fae12 220 }
721fae12
PM
221}
222
375421cc
PM
223static bool raw_accessors_invalid(const ARMCPRegInfo *ri)
224{
225 /* Return true if the regdef would cause an assertion if you called
226 * read_raw_cp_reg() or write_raw_cp_reg() on it (ie if it is a
227 * program bug for it not to have the NO_RAW flag).
228 * NB that returning false here doesn't necessarily mean that calling
229 * read/write_raw_cp_reg() is safe, because we can't distinguish "has
230 * read/write access functions which are safe for raw use" from "has
231 * read/write access functions which have side effects but has forgotten
232 * to provide raw access functions".
233 * The tests here line up with the conditions in read/write_raw_cp_reg()
234 * and assertions in raw_read()/raw_write().
235 */
236 if ((ri->type & ARM_CP_CONST) ||
237 ri->fieldoffset ||
238 ((ri->raw_writefn || ri->writefn) && (ri->raw_readfn || ri->readfn))) {
239 return false;
240 }
241 return true;
242}
243
721fae12
PM
244bool write_cpustate_to_list(ARMCPU *cpu)
245{
246 /* Write the coprocessor state from cpu->env to the (index,value) list. */
247 int i;
248 bool ok = true;
249
250 for (i = 0; i < cpu->cpreg_array_len; i++) {
251 uint32_t regidx = kvm_to_cpreg_id(cpu->cpreg_indexes[i]);
252 const ARMCPRegInfo *ri;
59a1c327 253
60322b39 254 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
255 if (!ri) {
256 ok = false;
257 continue;
258 }
7a0e58fa 259 if (ri->type & ARM_CP_NO_RAW) {
721fae12
PM
260 continue;
261 }
59a1c327 262 cpu->cpreg_values[i] = read_raw_cp_reg(&cpu->env, ri);
721fae12
PM
263 }
264 return ok;
265}
266
267bool write_list_to_cpustate(ARMCPU *cpu)
268{
269 int i;
270 bool ok = true;
271
272 for (i = 0; i < cpu->cpreg_array_len; i++) {
273 uint32_t regidx = kvm_to_cpreg_id(cpu->cpreg_indexes[i]);
274 uint64_t v = cpu->cpreg_values[i];
721fae12
PM
275 const ARMCPRegInfo *ri;
276
60322b39 277 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12
PM
278 if (!ri) {
279 ok = false;
280 continue;
281 }
7a0e58fa 282 if (ri->type & ARM_CP_NO_RAW) {
721fae12
PM
283 continue;
284 }
285 /* Write value and confirm it reads back as written
286 * (to catch read-only registers and partially read-only
287 * registers where the incoming migration value doesn't match)
288 */
59a1c327
PM
289 write_raw_cp_reg(&cpu->env, ri, v);
290 if (read_raw_cp_reg(&cpu->env, ri) != v) {
721fae12
PM
291 ok = false;
292 }
293 }
294 return ok;
295}
296
297static void add_cpreg_to_list(gpointer key, gpointer opaque)
298{
299 ARMCPU *cpu = opaque;
300 uint64_t regidx;
301 const ARMCPRegInfo *ri;
302
303 regidx = *(uint32_t *)key;
60322b39 304 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12 305
7a0e58fa 306 if (!(ri->type & (ARM_CP_NO_RAW|ARM_CP_ALIAS))) {
721fae12
PM
307 cpu->cpreg_indexes[cpu->cpreg_array_len] = cpreg_to_kvm_id(regidx);
308 /* The value array need not be initialized at this point */
309 cpu->cpreg_array_len++;
310 }
311}
312
313static void count_cpreg(gpointer key, gpointer opaque)
314{
315 ARMCPU *cpu = opaque;
316 uint64_t regidx;
317 const ARMCPRegInfo *ri;
318
319 regidx = *(uint32_t *)key;
60322b39 320 ri = get_arm_cp_reginfo(cpu->cp_regs, regidx);
721fae12 321
7a0e58fa 322 if (!(ri->type & (ARM_CP_NO_RAW|ARM_CP_ALIAS))) {
721fae12
PM
323 cpu->cpreg_array_len++;
324 }
325}
326
327static gint cpreg_key_compare(gconstpointer a, gconstpointer b)
328{
cbf239b7
AR
329 uint64_t aidx = cpreg_to_kvm_id(*(uint32_t *)a);
330 uint64_t bidx = cpreg_to_kvm_id(*(uint32_t *)b);
721fae12 331
cbf239b7
AR
332 if (aidx > bidx) {
333 return 1;
334 }
335 if (aidx < bidx) {
336 return -1;
337 }
338 return 0;
721fae12
PM
339}
340
341void init_cpreg_list(ARMCPU *cpu)
342{
343 /* Initialise the cpreg_tuples[] array based on the cp_regs hash.
344 * Note that we require cpreg_tuples[] to be sorted by key ID.
345 */
57b6d95e 346 GList *keys;
721fae12
PM
347 int arraylen;
348
57b6d95e 349 keys = g_hash_table_get_keys(cpu->cp_regs);
721fae12
PM
350 keys = g_list_sort(keys, cpreg_key_compare);
351
352 cpu->cpreg_array_len = 0;
353
354 g_list_foreach(keys, count_cpreg, cpu);
355
356 arraylen = cpu->cpreg_array_len;
357 cpu->cpreg_indexes = g_new(uint64_t, arraylen);
358 cpu->cpreg_values = g_new(uint64_t, arraylen);
359 cpu->cpreg_vmstate_indexes = g_new(uint64_t, arraylen);
360 cpu->cpreg_vmstate_values = g_new(uint64_t, arraylen);
361 cpu->cpreg_vmstate_array_len = cpu->cpreg_array_len;
362 cpu->cpreg_array_len = 0;
363
364 g_list_foreach(keys, add_cpreg_to_list, cpu);
365
366 assert(cpu->cpreg_array_len == arraylen);
367
368 g_list_free(keys);
369}
370
68e9c2fe
EI
371/*
372 * Some registers are not accessible if EL3.NS=0 and EL3 is using AArch32 but
373 * they are accessible when EL3 is using AArch64 regardless of EL3.NS.
374 *
375 * access_el3_aa32ns: Used to check AArch32 register views.
376 * access_el3_aa32ns_aa64any: Used to check both AArch32/64 register views.
377 */
378static CPAccessResult access_el3_aa32ns(CPUARMState *env,
3f208fd7
PM
379 const ARMCPRegInfo *ri,
380 bool isread)
68e9c2fe
EI
381{
382 bool secure = arm_is_secure_below_el3(env);
383
384 assert(!arm_el_is_aa64(env, 3));
385 if (secure) {
386 return CP_ACCESS_TRAP_UNCATEGORIZED;
387 }
388 return CP_ACCESS_OK;
389}
390
391static CPAccessResult access_el3_aa32ns_aa64any(CPUARMState *env,
3f208fd7
PM
392 const ARMCPRegInfo *ri,
393 bool isread)
68e9c2fe
EI
394{
395 if (!arm_el_is_aa64(env, 3)) {
3f208fd7 396 return access_el3_aa32ns(env, ri, isread);
68e9c2fe
EI
397 }
398 return CP_ACCESS_OK;
399}
400
5513c3ab
PM
401/* Some secure-only AArch32 registers trap to EL3 if used from
402 * Secure EL1 (but are just ordinary UNDEF in other non-EL3 contexts).
403 * Note that an access from Secure EL1 can only happen if EL3 is AArch64.
404 * We assume that the .access field is set to PL1_RW.
405 */
406static CPAccessResult access_trap_aa32s_el1(CPUARMState *env,
3f208fd7
PM
407 const ARMCPRegInfo *ri,
408 bool isread)
5513c3ab
PM
409{
410 if (arm_current_el(env) == 3) {
411 return CP_ACCESS_OK;
412 }
413 if (arm_is_secure_below_el3(env)) {
414 return CP_ACCESS_TRAP_EL3;
415 }
416 /* This will be EL1 NS and EL2 NS, which just UNDEF */
417 return CP_ACCESS_TRAP_UNCATEGORIZED;
418}
419
187f678d
PM
420/* Check for traps to "powerdown debug" registers, which are controlled
421 * by MDCR.TDOSA
422 */
423static CPAccessResult access_tdosa(CPUARMState *env, const ARMCPRegInfo *ri,
424 bool isread)
425{
426 int el = arm_current_el(env);
427
428 if (el < 2 && (env->cp15.mdcr_el2 & MDCR_TDOSA)
429 && !arm_is_secure_below_el3(env)) {
430 return CP_ACCESS_TRAP_EL2;
431 }
432 if (el < 3 && (env->cp15.mdcr_el3 & MDCR_TDOSA)) {
433 return CP_ACCESS_TRAP_EL3;
434 }
435 return CP_ACCESS_OK;
436}
437
91b0a238
PM
438/* Check for traps to "debug ROM" registers, which are controlled
439 * by MDCR_EL2.TDRA for EL2 but by the more general MDCR_EL3.TDA for EL3.
440 */
441static CPAccessResult access_tdra(CPUARMState *env, const ARMCPRegInfo *ri,
442 bool isread)
443{
444 int el = arm_current_el(env);
445
446 if (el < 2 && (env->cp15.mdcr_el2 & MDCR_TDRA)
447 && !arm_is_secure_below_el3(env)) {
448 return CP_ACCESS_TRAP_EL2;
449 }
450 if (el < 3 && (env->cp15.mdcr_el3 & MDCR_TDA)) {
451 return CP_ACCESS_TRAP_EL3;
452 }
453 return CP_ACCESS_OK;
454}
455
d6c8cf81
PM
456/* Check for traps to general debug registers, which are controlled
457 * by MDCR_EL2.TDA for EL2 and MDCR_EL3.TDA for EL3.
458 */
459static CPAccessResult access_tda(CPUARMState *env, const ARMCPRegInfo *ri,
460 bool isread)
461{
462 int el = arm_current_el(env);
463
464 if (el < 2 && (env->cp15.mdcr_el2 & MDCR_TDA)
465 && !arm_is_secure_below_el3(env)) {
466 return CP_ACCESS_TRAP_EL2;
467 }
468 if (el < 3 && (env->cp15.mdcr_el3 & MDCR_TDA)) {
469 return CP_ACCESS_TRAP_EL3;
470 }
471 return CP_ACCESS_OK;
472}
473
1fce1ba9
PM
474/* Check for traps to performance monitor registers, which are controlled
475 * by MDCR_EL2.TPM for EL2 and MDCR_EL3.TPM for EL3.
476 */
477static CPAccessResult access_tpm(CPUARMState *env, const ARMCPRegInfo *ri,
478 bool isread)
479{
480 int el = arm_current_el(env);
481
482 if (el < 2 && (env->cp15.mdcr_el2 & MDCR_TPM)
483 && !arm_is_secure_below_el3(env)) {
484 return CP_ACCESS_TRAP_EL2;
485 }
486 if (el < 3 && (env->cp15.mdcr_el3 & MDCR_TPM)) {
487 return CP_ACCESS_TRAP_EL3;
488 }
489 return CP_ACCESS_OK;
490}
491
c4241c7d 492static void dacr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
c983fe6c 493{
00c8cb0a
AF
494 ARMCPU *cpu = arm_env_get_cpu(env);
495
8d5c773e 496 raw_write(env, ri, value);
d10eb08f 497 tlb_flush(CPU(cpu)); /* Flush TLB as domain not tracked in TLB */
c983fe6c
PM
498}
499
c4241c7d 500static void fcse_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
08de207b 501{
00c8cb0a
AF
502 ARMCPU *cpu = arm_env_get_cpu(env);
503
8d5c773e 504 if (raw_read(env, ri) != value) {
08de207b
PM
505 /* Unlike real hardware the qemu TLB uses virtual addresses,
506 * not modified virtual addresses, so this causes a TLB flush.
507 */
d10eb08f 508 tlb_flush(CPU(cpu));
8d5c773e 509 raw_write(env, ri, value);
08de207b 510 }
08de207b 511}
c4241c7d
PM
512
513static void contextidr_write(CPUARMState *env, const ARMCPRegInfo *ri,
514 uint64_t value)
08de207b 515{
00c8cb0a
AF
516 ARMCPU *cpu = arm_env_get_cpu(env);
517
452a0955 518 if (raw_read(env, ri) != value && !arm_feature(env, ARM_FEATURE_PMSA)
014406b5 519 && !extended_addresses_enabled(env)) {
08de207b
PM
520 /* For VMSA (when not using the LPAE long descriptor page table
521 * format) this register includes the ASID, so do a TLB flush.
522 * For PMSA it is purely a process ID and no action is needed.
523 */
d10eb08f 524 tlb_flush(CPU(cpu));
08de207b 525 }
8d5c773e 526 raw_write(env, ri, value);
08de207b
PM
527}
528
c4241c7d
PM
529static void tlbiall_write(CPUARMState *env, const ARMCPRegInfo *ri,
530 uint64_t value)
d929823f
PM
531{
532 /* Invalidate all (TLBIALL) */
00c8cb0a
AF
533 ARMCPU *cpu = arm_env_get_cpu(env);
534
d10eb08f 535 tlb_flush(CPU(cpu));
d929823f
PM
536}
537
c4241c7d
PM
538static void tlbimva_write(CPUARMState *env, const ARMCPRegInfo *ri,
539 uint64_t value)
d929823f
PM
540{
541 /* Invalidate single TLB entry by MVA and ASID (TLBIMVA) */
31b030d4
AF
542 ARMCPU *cpu = arm_env_get_cpu(env);
543
544 tlb_flush_page(CPU(cpu), value & TARGET_PAGE_MASK);
d929823f
PM
545}
546
c4241c7d
PM
547static void tlbiasid_write(CPUARMState *env, const ARMCPRegInfo *ri,
548 uint64_t value)
d929823f
PM
549{
550 /* Invalidate by ASID (TLBIASID) */
00c8cb0a
AF
551 ARMCPU *cpu = arm_env_get_cpu(env);
552
d10eb08f 553 tlb_flush(CPU(cpu));
d929823f
PM
554}
555
c4241c7d
PM
556static void tlbimvaa_write(CPUARMState *env, const ARMCPRegInfo *ri,
557 uint64_t value)
d929823f
PM
558{
559 /* Invalidate single entry by MVA, all ASIDs (TLBIMVAA) */
31b030d4
AF
560 ARMCPU *cpu = arm_env_get_cpu(env);
561
562 tlb_flush_page(CPU(cpu), value & TARGET_PAGE_MASK);
d929823f
PM
563}
564
fa439fc5
PM
565/* IS variants of TLB operations must affect all cores */
566static void tlbiall_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
567 uint64_t value)
568{
a67cf277 569 CPUState *cs = ENV_GET_CPU(env);
fa439fc5 570
a67cf277 571 tlb_flush_all_cpus_synced(cs);
fa439fc5
PM
572}
573
574static void tlbiasid_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
575 uint64_t value)
576{
a67cf277 577 CPUState *cs = ENV_GET_CPU(env);
fa439fc5 578
a67cf277 579 tlb_flush_all_cpus_synced(cs);
fa439fc5
PM
580}
581
582static void tlbimva_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
583 uint64_t value)
584{
a67cf277 585 CPUState *cs = ENV_GET_CPU(env);
fa439fc5 586
a67cf277 587 tlb_flush_page_all_cpus_synced(cs, value & TARGET_PAGE_MASK);
fa439fc5
PM
588}
589
590static void tlbimvaa_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
591 uint64_t value)
592{
a67cf277 593 CPUState *cs = ENV_GET_CPU(env);
fa439fc5 594
a67cf277 595 tlb_flush_page_all_cpus_synced(cs, value & TARGET_PAGE_MASK);
fa439fc5
PM
596}
597
541ef8c2
SS
598static void tlbiall_nsnh_write(CPUARMState *env, const ARMCPRegInfo *ri,
599 uint64_t value)
600{
601 CPUState *cs = ENV_GET_CPU(env);
602
0336cbf8 603 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
604 ARMMMUIdxBit_S12NSE1 |
605 ARMMMUIdxBit_S12NSE0 |
606 ARMMMUIdxBit_S2NS);
541ef8c2
SS
607}
608
609static void tlbiall_nsnh_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
610 uint64_t value)
611{
a67cf277 612 CPUState *cs = ENV_GET_CPU(env);
541ef8c2 613
a67cf277 614 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
615 ARMMMUIdxBit_S12NSE1 |
616 ARMMMUIdxBit_S12NSE0 |
617 ARMMMUIdxBit_S2NS);
541ef8c2
SS
618}
619
620static void tlbiipas2_write(CPUARMState *env, const ARMCPRegInfo *ri,
621 uint64_t value)
622{
623 /* Invalidate by IPA. This has to invalidate any structures that
624 * contain only stage 2 translation information, but does not need
625 * to apply to structures that contain combined stage 1 and stage 2
626 * translation information.
627 * This must NOP if EL2 isn't implemented or SCR_EL3.NS is zero.
628 */
629 CPUState *cs = ENV_GET_CPU(env);
630 uint64_t pageaddr;
631
632 if (!arm_feature(env, ARM_FEATURE_EL2) || !(env->cp15.scr_el3 & SCR_NS)) {
633 return;
634 }
635
636 pageaddr = sextract64(value << 12, 0, 40);
637
8bd5c820 638 tlb_flush_page_by_mmuidx(cs, pageaddr, ARMMMUIdxBit_S2NS);
541ef8c2
SS
639}
640
641static void tlbiipas2_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
642 uint64_t value)
643{
a67cf277 644 CPUState *cs = ENV_GET_CPU(env);
541ef8c2
SS
645 uint64_t pageaddr;
646
647 if (!arm_feature(env, ARM_FEATURE_EL2) || !(env->cp15.scr_el3 & SCR_NS)) {
648 return;
649 }
650
651 pageaddr = sextract64(value << 12, 0, 40);
652
a67cf277 653 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820 654 ARMMMUIdxBit_S2NS);
541ef8c2
SS
655}
656
657static void tlbiall_hyp_write(CPUARMState *env, const ARMCPRegInfo *ri,
658 uint64_t value)
659{
660 CPUState *cs = ENV_GET_CPU(env);
661
8bd5c820 662 tlb_flush_by_mmuidx(cs, ARMMMUIdxBit_S1E2);
541ef8c2
SS
663}
664
665static void tlbiall_hyp_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
666 uint64_t value)
667{
a67cf277 668 CPUState *cs = ENV_GET_CPU(env);
541ef8c2 669
8bd5c820 670 tlb_flush_by_mmuidx_all_cpus_synced(cs, ARMMMUIdxBit_S1E2);
541ef8c2
SS
671}
672
673static void tlbimva_hyp_write(CPUARMState *env, const ARMCPRegInfo *ri,
674 uint64_t value)
675{
676 CPUState *cs = ENV_GET_CPU(env);
677 uint64_t pageaddr = value & ~MAKE_64BIT_MASK(0, 12);
678
8bd5c820 679 tlb_flush_page_by_mmuidx(cs, pageaddr, ARMMMUIdxBit_S1E2);
541ef8c2
SS
680}
681
682static void tlbimva_hyp_is_write(CPUARMState *env, const ARMCPRegInfo *ri,
683 uint64_t value)
684{
a67cf277 685 CPUState *cs = ENV_GET_CPU(env);
541ef8c2
SS
686 uint64_t pageaddr = value & ~MAKE_64BIT_MASK(0, 12);
687
a67cf277 688 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820 689 ARMMMUIdxBit_S1E2);
541ef8c2
SS
690}
691
e9aa6c21 692static const ARMCPRegInfo cp_reginfo[] = {
54bf36ed
FA
693 /* Define the secure and non-secure FCSE identifier CP registers
694 * separately because there is no secure bank in V8 (no _EL3). This allows
695 * the secure register to be properly reset and migrated. There is also no
696 * v8 EL1 version of the register so the non-secure instance stands alone.
697 */
698 { .name = "FCSEIDR(NS)",
699 .cp = 15, .opc1 = 0, .crn = 13, .crm = 0, .opc2 = 0,
700 .access = PL1_RW, .secure = ARM_CP_SECSTATE_NS,
701 .fieldoffset = offsetof(CPUARMState, cp15.fcseidr_ns),
702 .resetvalue = 0, .writefn = fcse_write, .raw_writefn = raw_write, },
703 { .name = "FCSEIDR(S)",
704 .cp = 15, .opc1 = 0, .crn = 13, .crm = 0, .opc2 = 0,
705 .access = PL1_RW, .secure = ARM_CP_SECSTATE_S,
706 .fieldoffset = offsetof(CPUARMState, cp15.fcseidr_s),
d4e6df63 707 .resetvalue = 0, .writefn = fcse_write, .raw_writefn = raw_write, },
54bf36ed
FA
708 /* Define the secure and non-secure context identifier CP registers
709 * separately because there is no secure bank in V8 (no _EL3). This allows
710 * the secure register to be properly reset and migrated. In the
711 * non-secure case, the 32-bit register will have reset and migration
712 * disabled during registration as it is handled by the 64-bit instance.
713 */
714 { .name = "CONTEXTIDR_EL1", .state = ARM_CP_STATE_BOTH,
014406b5 715 .opc0 = 3, .opc1 = 0, .crn = 13, .crm = 0, .opc2 = 1,
54bf36ed
FA
716 .access = PL1_RW, .secure = ARM_CP_SECSTATE_NS,
717 .fieldoffset = offsetof(CPUARMState, cp15.contextidr_el[1]),
718 .resetvalue = 0, .writefn = contextidr_write, .raw_writefn = raw_write, },
719 { .name = "CONTEXTIDR(S)", .state = ARM_CP_STATE_AA32,
720 .cp = 15, .opc1 = 0, .crn = 13, .crm = 0, .opc2 = 1,
721 .access = PL1_RW, .secure = ARM_CP_SECSTATE_S,
722 .fieldoffset = offsetof(CPUARMState, cp15.contextidr_s),
d4e6df63 723 .resetvalue = 0, .writefn = contextidr_write, .raw_writefn = raw_write, },
9449fdf6
PM
724 REGINFO_SENTINEL
725};
726
727static const ARMCPRegInfo not_v8_cp_reginfo[] = {
728 /* NB: Some of these registers exist in v8 but with more precise
729 * definitions that don't use CP_ANY wildcards (mostly in v8_cp_reginfo[]).
730 */
731 /* MMU Domain access control / MPU write buffer control */
0c17d68c
FA
732 { .name = "DACR",
733 .cp = 15, .opc1 = CP_ANY, .crn = 3, .crm = CP_ANY, .opc2 = CP_ANY,
734 .access = PL1_RW, .resetvalue = 0,
735 .writefn = dacr_write, .raw_writefn = raw_write,
736 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.dacr_s),
737 offsetoflow32(CPUARMState, cp15.dacr_ns) } },
a903c449
EI
738 /* ARMv7 allocates a range of implementation defined TLB LOCKDOWN regs.
739 * For v6 and v5, these mappings are overly broad.
4fdd17dd 740 */
a903c449
EI
741 { .name = "TLB_LOCKDOWN", .cp = 15, .crn = 10, .crm = 0,
742 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_NOP },
743 { .name = "TLB_LOCKDOWN", .cp = 15, .crn = 10, .crm = 1,
744 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_NOP },
745 { .name = "TLB_LOCKDOWN", .cp = 15, .crn = 10, .crm = 4,
746 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_NOP },
747 { .name = "TLB_LOCKDOWN", .cp = 15, .crn = 10, .crm = 8,
4fdd17dd 748 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_NOP },
c4804214
PM
749 /* Cache maintenance ops; some of this space may be overridden later. */
750 { .name = "CACHEMAINT", .cp = 15, .crn = 7, .crm = CP_ANY,
751 .opc1 = 0, .opc2 = CP_ANY, .access = PL1_W,
752 .type = ARM_CP_NOP | ARM_CP_OVERRIDE },
e9aa6c21
PM
753 REGINFO_SENTINEL
754};
755
7d57f408
PM
756static const ARMCPRegInfo not_v6_cp_reginfo[] = {
757 /* Not all pre-v6 cores implemented this WFI, so this is slightly
758 * over-broad.
759 */
760 { .name = "WFI_v5", .cp = 15, .crn = 7, .crm = 8, .opc1 = 0, .opc2 = 2,
761 .access = PL1_W, .type = ARM_CP_WFI },
762 REGINFO_SENTINEL
763};
764
765static const ARMCPRegInfo not_v7_cp_reginfo[] = {
766 /* Standard v6 WFI (also used in some pre-v6 cores); not in v7 (which
767 * is UNPREDICTABLE; we choose to NOP as most implementations do).
768 */
769 { .name = "WFI_v6", .cp = 15, .crn = 7, .crm = 0, .opc1 = 0, .opc2 = 4,
770 .access = PL1_W, .type = ARM_CP_WFI },
34f90529
PM
771 /* L1 cache lockdown. Not architectural in v6 and earlier but in practice
772 * implemented in 926, 946, 1026, 1136, 1176 and 11MPCore. StrongARM and
773 * OMAPCP will override this space.
774 */
775 { .name = "DLOCKDOWN", .cp = 15, .crn = 9, .crm = 0, .opc1 = 0, .opc2 = 0,
776 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_data),
777 .resetvalue = 0 },
778 { .name = "ILOCKDOWN", .cp = 15, .crn = 9, .crm = 0, .opc1 = 0, .opc2 = 1,
779 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_insn),
780 .resetvalue = 0 },
776d4e5c
PM
781 /* v6 doesn't have the cache ID registers but Linux reads them anyway */
782 { .name = "DUMMY", .cp = 15, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = CP_ANY,
7a0e58fa 783 .access = PL1_R, .type = ARM_CP_CONST | ARM_CP_NO_RAW,
d4e6df63 784 .resetvalue = 0 },
50300698
PM
785 /* We don't implement pre-v7 debug but most CPUs had at least a DBGDIDR;
786 * implementing it as RAZ means the "debug architecture version" bits
787 * will read as a reserved value, which should cause Linux to not try
788 * to use the debug hardware.
789 */
790 { .name = "DBGDIDR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 0,
791 .access = PL0_R, .type = ARM_CP_CONST, .resetvalue = 0 },
995939a6
PM
792 /* MMU TLB control. Note that the wildcarding means we cover not just
793 * the unified TLB ops but also the dside/iside/inner-shareable variants.
794 */
795 { .name = "TLBIALL", .cp = 15, .crn = 8, .crm = CP_ANY,
796 .opc1 = CP_ANY, .opc2 = 0, .access = PL1_W, .writefn = tlbiall_write,
7a0e58fa 797 .type = ARM_CP_NO_RAW },
995939a6
PM
798 { .name = "TLBIMVA", .cp = 15, .crn = 8, .crm = CP_ANY,
799 .opc1 = CP_ANY, .opc2 = 1, .access = PL1_W, .writefn = tlbimva_write,
7a0e58fa 800 .type = ARM_CP_NO_RAW },
995939a6
PM
801 { .name = "TLBIASID", .cp = 15, .crn = 8, .crm = CP_ANY,
802 .opc1 = CP_ANY, .opc2 = 2, .access = PL1_W, .writefn = tlbiasid_write,
7a0e58fa 803 .type = ARM_CP_NO_RAW },
995939a6
PM
804 { .name = "TLBIMVAA", .cp = 15, .crn = 8, .crm = CP_ANY,
805 .opc1 = CP_ANY, .opc2 = 3, .access = PL1_W, .writefn = tlbimvaa_write,
7a0e58fa 806 .type = ARM_CP_NO_RAW },
a903c449
EI
807 { .name = "PRRR", .cp = 15, .crn = 10, .crm = 2,
808 .opc1 = 0, .opc2 = 0, .access = PL1_RW, .type = ARM_CP_NOP },
809 { .name = "NMRR", .cp = 15, .crn = 10, .crm = 2,
810 .opc1 = 0, .opc2 = 1, .access = PL1_RW, .type = ARM_CP_NOP },
7d57f408
PM
811 REGINFO_SENTINEL
812};
813
c4241c7d
PM
814static void cpacr_write(CPUARMState *env, const ARMCPRegInfo *ri,
815 uint64_t value)
2771db27 816{
f0aff255
FA
817 uint32_t mask = 0;
818
819 /* In ARMv8 most bits of CPACR_EL1 are RES0. */
820 if (!arm_feature(env, ARM_FEATURE_V8)) {
821 /* ARMv7 defines bits for unimplemented coprocessors as RAZ/WI.
822 * ASEDIS [31] and D32DIS [30] are both UNK/SBZP without VFP.
823 * TRCDIS [28] is RAZ/WI since we do not implement a trace macrocell.
824 */
825 if (arm_feature(env, ARM_FEATURE_VFP)) {
826 /* VFP coprocessor: cp10 & cp11 [23:20] */
827 mask |= (1 << 31) | (1 << 30) | (0xf << 20);
828
829 if (!arm_feature(env, ARM_FEATURE_NEON)) {
830 /* ASEDIS [31] bit is RAO/WI */
831 value |= (1 << 31);
832 }
833
834 /* VFPv3 and upwards with NEON implement 32 double precision
835 * registers (D0-D31).
836 */
837 if (!arm_feature(env, ARM_FEATURE_NEON) ||
838 !arm_feature(env, ARM_FEATURE_VFP3)) {
839 /* D32DIS [30] is RAO/WI if D16-31 are not implemented. */
840 value |= (1 << 30);
841 }
842 }
843 value &= mask;
2771db27 844 }
7ebd5f2e 845 env->cp15.cpacr_el1 = value;
2771db27
PM
846}
847
3f208fd7
PM
848static CPAccessResult cpacr_access(CPUARMState *env, const ARMCPRegInfo *ri,
849 bool isread)
c6f19164
GB
850{
851 if (arm_feature(env, ARM_FEATURE_V8)) {
852 /* Check if CPACR accesses are to be trapped to EL2 */
853 if (arm_current_el(env) == 1 &&
854 (env->cp15.cptr_el[2] & CPTR_TCPAC) && !arm_is_secure(env)) {
855 return CP_ACCESS_TRAP_EL2;
856 /* Check if CPACR accesses are to be trapped to EL3 */
857 } else if (arm_current_el(env) < 3 &&
858 (env->cp15.cptr_el[3] & CPTR_TCPAC)) {
859 return CP_ACCESS_TRAP_EL3;
860 }
861 }
862
863 return CP_ACCESS_OK;
864}
865
3f208fd7
PM
866static CPAccessResult cptr_access(CPUARMState *env, const ARMCPRegInfo *ri,
867 bool isread)
c6f19164
GB
868{
869 /* Check if CPTR accesses are set to trap to EL3 */
870 if (arm_current_el(env) == 2 && (env->cp15.cptr_el[3] & CPTR_TCPAC)) {
871 return CP_ACCESS_TRAP_EL3;
872 }
873
874 return CP_ACCESS_OK;
875}
876
7d57f408
PM
877static const ARMCPRegInfo v6_cp_reginfo[] = {
878 /* prefetch by MVA in v6, NOP in v7 */
879 { .name = "MVA_prefetch",
880 .cp = 15, .crn = 7, .crm = 13, .opc1 = 0, .opc2 = 1,
881 .access = PL1_W, .type = ARM_CP_NOP },
6df99dec
SS
882 /* We need to break the TB after ISB to execute self-modifying code
883 * correctly and also to take any pending interrupts immediately.
884 * So use arm_cp_write_ignore() function instead of ARM_CP_NOP flag.
885 */
7d57f408 886 { .name = "ISB", .cp = 15, .crn = 7, .crm = 5, .opc1 = 0, .opc2 = 4,
6df99dec 887 .access = PL0_W, .type = ARM_CP_NO_RAW, .writefn = arm_cp_write_ignore },
091fd17c 888 { .name = "DSB", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 4,
7d57f408 889 .access = PL0_W, .type = ARM_CP_NOP },
091fd17c 890 { .name = "DMB", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 5,
7d57f408 891 .access = PL0_W, .type = ARM_CP_NOP },
06d76f31 892 { .name = "IFAR", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 2,
6cd8a264 893 .access = PL1_RW,
b848ce2b
FA
894 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.ifar_s),
895 offsetof(CPUARMState, cp15.ifar_ns) },
06d76f31
PM
896 .resetvalue = 0, },
897 /* Watchpoint Fault Address Register : should actually only be present
898 * for 1136, 1176, 11MPCore.
899 */
900 { .name = "WFAR", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 1,
901 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0, },
34222fb8 902 { .name = "CPACR", .state = ARM_CP_STATE_BOTH, .opc0 = 3,
c6f19164 903 .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 2, .accessfn = cpacr_access,
7ebd5f2e 904 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.cpacr_el1),
2771db27 905 .resetvalue = 0, .writefn = cpacr_write },
7d57f408
PM
906 REGINFO_SENTINEL
907};
908
3f208fd7
PM
909static CPAccessResult pmreg_access(CPUARMState *env, const ARMCPRegInfo *ri,
910 bool isread)
200ac0ef 911{
3b163b01 912 /* Performance monitor registers user accessibility is controlled
1fce1ba9
PM
913 * by PMUSERENR. MDCR_EL2.TPM and MDCR_EL3.TPM allow configurable
914 * trapping to EL2 or EL3 for other accesses.
200ac0ef 915 */
1fce1ba9
PM
916 int el = arm_current_el(env);
917
6ecd0b6b 918 if (el == 0 && !(env->cp15.c9_pmuserenr & 1)) {
fcd25206 919 return CP_ACCESS_TRAP;
200ac0ef 920 }
1fce1ba9
PM
921 if (el < 2 && (env->cp15.mdcr_el2 & MDCR_TPM)
922 && !arm_is_secure_below_el3(env)) {
923 return CP_ACCESS_TRAP_EL2;
924 }
925 if (el < 3 && (env->cp15.mdcr_el3 & MDCR_TPM)) {
926 return CP_ACCESS_TRAP_EL3;
927 }
928
fcd25206 929 return CP_ACCESS_OK;
200ac0ef
PM
930}
931
6ecd0b6b
AB
932static CPAccessResult pmreg_access_xevcntr(CPUARMState *env,
933 const ARMCPRegInfo *ri,
934 bool isread)
935{
936 /* ER: event counter read trap control */
937 if (arm_feature(env, ARM_FEATURE_V8)
938 && arm_current_el(env) == 0
939 && (env->cp15.c9_pmuserenr & (1 << 3)) != 0
940 && isread) {
941 return CP_ACCESS_OK;
942 }
943
944 return pmreg_access(env, ri, isread);
945}
946
947static CPAccessResult pmreg_access_swinc(CPUARMState *env,
948 const ARMCPRegInfo *ri,
949 bool isread)
950{
951 /* SW: software increment write trap control */
952 if (arm_feature(env, ARM_FEATURE_V8)
953 && arm_current_el(env) == 0
954 && (env->cp15.c9_pmuserenr & (1 << 1)) != 0
955 && !isread) {
956 return CP_ACCESS_OK;
957 }
958
959 return pmreg_access(env, ri, isread);
960}
961
7c2cb42b 962#ifndef CONFIG_USER_ONLY
87124fde 963
6ecd0b6b
AB
964static CPAccessResult pmreg_access_selr(CPUARMState *env,
965 const ARMCPRegInfo *ri,
966 bool isread)
967{
968 /* ER: event counter read trap control */
969 if (arm_feature(env, ARM_FEATURE_V8)
970 && arm_current_el(env) == 0
971 && (env->cp15.c9_pmuserenr & (1 << 3)) != 0) {
972 return CP_ACCESS_OK;
973 }
974
975 return pmreg_access(env, ri, isread);
976}
977
978static CPAccessResult pmreg_access_ccntr(CPUARMState *env,
979 const ARMCPRegInfo *ri,
980 bool isread)
981{
982 /* CR: cycle counter read trap control */
983 if (arm_feature(env, ARM_FEATURE_V8)
984 && arm_current_el(env) == 0
985 && (env->cp15.c9_pmuserenr & (1 << 2)) != 0
986 && isread) {
987 return CP_ACCESS_OK;
988 }
989
990 return pmreg_access(env, ri, isread);
991}
992
87124fde
AF
993static inline bool arm_ccnt_enabled(CPUARMState *env)
994{
995 /* This does not support checking PMCCFILTR_EL0 register */
996
997 if (!(env->cp15.c9_pmcr & PMCRE)) {
998 return false;
999 }
1000
1001 return true;
1002}
1003
ec7b4ce4
AF
1004void pmccntr_sync(CPUARMState *env)
1005{
1006 uint64_t temp_ticks;
1007
352c98e5
LV
1008 temp_ticks = muldiv64(qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL),
1009 ARM_CPU_FREQ, NANOSECONDS_PER_SECOND);
ec7b4ce4
AF
1010
1011 if (env->cp15.c9_pmcr & PMCRD) {
1012 /* Increment once every 64 processor clock cycles */
1013 temp_ticks /= 64;
1014 }
1015
1016 if (arm_ccnt_enabled(env)) {
1017 env->cp15.c15_ccnt = temp_ticks - env->cp15.c15_ccnt;
1018 }
1019}
1020
c4241c7d
PM
1021static void pmcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1022 uint64_t value)
200ac0ef 1023{
942a155b 1024 pmccntr_sync(env);
7c2cb42b
AF
1025
1026 if (value & PMCRC) {
1027 /* The counter has been reset */
1028 env->cp15.c15_ccnt = 0;
1029 }
1030
200ac0ef
PM
1031 /* only the DP, X, D and E bits are writable */
1032 env->cp15.c9_pmcr &= ~0x39;
1033 env->cp15.c9_pmcr |= (value & 0x39);
7c2cb42b 1034
942a155b 1035 pmccntr_sync(env);
7c2cb42b
AF
1036}
1037
1038static uint64_t pmccntr_read(CPUARMState *env, const ARMCPRegInfo *ri)
1039{
c92c0687 1040 uint64_t total_ticks;
7c2cb42b 1041
942a155b 1042 if (!arm_ccnt_enabled(env)) {
7c2cb42b
AF
1043 /* Counter is disabled, do not change value */
1044 return env->cp15.c15_ccnt;
1045 }
1046
352c98e5
LV
1047 total_ticks = muldiv64(qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL),
1048 ARM_CPU_FREQ, NANOSECONDS_PER_SECOND);
7c2cb42b
AF
1049
1050 if (env->cp15.c9_pmcr & PMCRD) {
1051 /* Increment once every 64 processor clock cycles */
1052 total_ticks /= 64;
1053 }
1054 return total_ticks - env->cp15.c15_ccnt;
1055}
1056
6b040780
WH
1057static void pmselr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1058 uint64_t value)
1059{
1060 /* The value of PMSELR.SEL affects the behavior of PMXEVTYPER and
1061 * PMXEVCNTR. We allow [0..31] to be written to PMSELR here; in the
1062 * meanwhile, we check PMSELR.SEL when PMXEVTYPER and PMXEVCNTR are
1063 * accessed.
1064 */
1065 env->cp15.c9_pmselr = value & 0x1f;
1066}
1067
7c2cb42b
AF
1068static void pmccntr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1069 uint64_t value)
1070{
c92c0687 1071 uint64_t total_ticks;
7c2cb42b 1072
942a155b 1073 if (!arm_ccnt_enabled(env)) {
7c2cb42b
AF
1074 /* Counter is disabled, set the absolute value */
1075 env->cp15.c15_ccnt = value;
1076 return;
1077 }
1078
352c98e5
LV
1079 total_ticks = muldiv64(qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL),
1080 ARM_CPU_FREQ, NANOSECONDS_PER_SECOND);
7c2cb42b
AF
1081
1082 if (env->cp15.c9_pmcr & PMCRD) {
1083 /* Increment once every 64 processor clock cycles */
1084 total_ticks /= 64;
1085 }
1086 env->cp15.c15_ccnt = total_ticks - value;
200ac0ef 1087}
421c7ebd
PC
1088
1089static void pmccntr_write32(CPUARMState *env, const ARMCPRegInfo *ri,
1090 uint64_t value)
1091{
1092 uint64_t cur_val = pmccntr_read(env, NULL);
1093
1094 pmccntr_write(env, ri, deposit64(cur_val, 0, 32, value));
1095}
1096
ec7b4ce4
AF
1097#else /* CONFIG_USER_ONLY */
1098
1099void pmccntr_sync(CPUARMState *env)
1100{
1101}
1102
7c2cb42b 1103#endif
200ac0ef 1104
0614601c
AF
1105static void pmccfiltr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1106 uint64_t value)
1107{
1108 pmccntr_sync(env);
1109 env->cp15.pmccfiltr_el0 = value & 0x7E000000;
1110 pmccntr_sync(env);
1111}
1112
c4241c7d 1113static void pmcntenset_write(CPUARMState *env, const ARMCPRegInfo *ri,
200ac0ef
PM
1114 uint64_t value)
1115{
200ac0ef
PM
1116 value &= (1 << 31);
1117 env->cp15.c9_pmcnten |= value;
200ac0ef
PM
1118}
1119
c4241c7d
PM
1120static void pmcntenclr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1121 uint64_t value)
200ac0ef 1122{
200ac0ef
PM
1123 value &= (1 << 31);
1124 env->cp15.c9_pmcnten &= ~value;
200ac0ef
PM
1125}
1126
c4241c7d
PM
1127static void pmovsr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1128 uint64_t value)
200ac0ef 1129{
200ac0ef 1130 env->cp15.c9_pmovsr &= ~value;
200ac0ef
PM
1131}
1132
c4241c7d
PM
1133static void pmxevtyper_write(CPUARMState *env, const ARMCPRegInfo *ri,
1134 uint64_t value)
200ac0ef 1135{
fdb86656
WH
1136 /* Attempts to access PMXEVTYPER are CONSTRAINED UNPREDICTABLE when
1137 * PMSELR value is equal to or greater than the number of implemented
1138 * counters, but not equal to 0x1f. We opt to behave as a RAZ/WI.
1139 */
1140 if (env->cp15.c9_pmselr == 0x1f) {
1141 pmccfiltr_write(env, ri, value);
1142 }
1143}
1144
1145static uint64_t pmxevtyper_read(CPUARMState *env, const ARMCPRegInfo *ri)
1146{
1147 /* We opt to behave as a RAZ/WI when attempts to access PMXEVTYPER
1148 * are CONSTRAINED UNPREDICTABLE. See comments in pmxevtyper_write().
1149 */
1150 if (env->cp15.c9_pmselr == 0x1f) {
1151 return env->cp15.pmccfiltr_el0;
1152 } else {
1153 return 0;
1154 }
200ac0ef
PM
1155}
1156
c4241c7d 1157static void pmuserenr_write(CPUARMState *env, const ARMCPRegInfo *ri,
200ac0ef
PM
1158 uint64_t value)
1159{
6ecd0b6b
AB
1160 if (arm_feature(env, ARM_FEATURE_V8)) {
1161 env->cp15.c9_pmuserenr = value & 0xf;
1162 } else {
1163 env->cp15.c9_pmuserenr = value & 1;
1164 }
200ac0ef
PM
1165}
1166
c4241c7d
PM
1167static void pmintenset_write(CPUARMState *env, const ARMCPRegInfo *ri,
1168 uint64_t value)
200ac0ef
PM
1169{
1170 /* We have no event counters so only the C bit can be changed */
1171 value &= (1 << 31);
1172 env->cp15.c9_pminten |= value;
200ac0ef
PM
1173}
1174
c4241c7d
PM
1175static void pmintenclr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1176 uint64_t value)
200ac0ef
PM
1177{
1178 value &= (1 << 31);
1179 env->cp15.c9_pminten &= ~value;
200ac0ef
PM
1180}
1181
c4241c7d
PM
1182static void vbar_write(CPUARMState *env, const ARMCPRegInfo *ri,
1183 uint64_t value)
8641136c 1184{
a505d7fe
PM
1185 /* Note that even though the AArch64 view of this register has bits
1186 * [10:0] all RES0 we can only mask the bottom 5, to comply with the
1187 * architectural requirements for bits which are RES0 only in some
1188 * contexts. (ARMv8 would permit us to do no masking at all, but ARMv7
1189 * requires the bottom five bits to be RAZ/WI because they're UNK/SBZP.)
1190 */
855ea66d 1191 raw_write(env, ri, value & ~0x1FULL);
8641136c
NR
1192}
1193
64e0e2de
EI
1194static void scr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
1195{
1196 /* We only mask off bits that are RES0 both for AArch64 and AArch32.
1197 * For bits that vary between AArch32/64, code needs to check the
1198 * current execution mode before directly using the feature bit.
1199 */
1200 uint32_t valid_mask = SCR_AARCH64_MASK | SCR_AARCH32_MASK;
1201
1202 if (!arm_feature(env, ARM_FEATURE_EL2)) {
1203 valid_mask &= ~SCR_HCE;
1204
1205 /* On ARMv7, SMD (or SCD as it is called in v7) is only
1206 * supported if EL2 exists. The bit is UNK/SBZP when
1207 * EL2 is unavailable. In QEMU ARMv7, we force it to always zero
1208 * when EL2 is unavailable.
4eb27640 1209 * On ARMv8, this bit is always available.
64e0e2de 1210 */
4eb27640
GB
1211 if (arm_feature(env, ARM_FEATURE_V7) &&
1212 !arm_feature(env, ARM_FEATURE_V8)) {
64e0e2de
EI
1213 valid_mask &= ~SCR_SMD;
1214 }
1215 }
1216
1217 /* Clear all-context RES0 bits. */
1218 value &= valid_mask;
1219 raw_write(env, ri, value);
1220}
1221
c4241c7d 1222static uint64_t ccsidr_read(CPUARMState *env, const ARMCPRegInfo *ri)
776d4e5c
PM
1223{
1224 ARMCPU *cpu = arm_env_get_cpu(env);
b85a1fd6
FA
1225
1226 /* Acquire the CSSELR index from the bank corresponding to the CCSIDR
1227 * bank
1228 */
1229 uint32_t index = A32_BANKED_REG_GET(env, csselr,
1230 ri->secure & ARM_CP_SECSTATE_S);
1231
1232 return cpu->ccsidr[index];
776d4e5c
PM
1233}
1234
c4241c7d
PM
1235static void csselr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1236 uint64_t value)
776d4e5c 1237{
8d5c773e 1238 raw_write(env, ri, value & 0xf);
776d4e5c
PM
1239}
1240
1090b9c6
PM
1241static uint64_t isr_read(CPUARMState *env, const ARMCPRegInfo *ri)
1242{
1243 CPUState *cs = ENV_GET_CPU(env);
1244 uint64_t ret = 0;
1245
1246 if (cs->interrupt_request & CPU_INTERRUPT_HARD) {
1247 ret |= CPSR_I;
1248 }
1249 if (cs->interrupt_request & CPU_INTERRUPT_FIQ) {
1250 ret |= CPSR_F;
1251 }
1252 /* External aborts are not possible in QEMU so A bit is always clear */
1253 return ret;
1254}
1255
e9aa6c21 1256static const ARMCPRegInfo v7_cp_reginfo[] = {
7d57f408
PM
1257 /* the old v6 WFI, UNPREDICTABLE in v7 but we choose to NOP */
1258 { .name = "NOP", .cp = 15, .crn = 7, .crm = 0, .opc1 = 0, .opc2 = 4,
1259 .access = PL1_W, .type = ARM_CP_NOP },
200ac0ef
PM
1260 /* Performance monitors are implementation defined in v7,
1261 * but with an ARM recommended set of registers, which we
1262 * follow (although we don't actually implement any counters)
1263 *
1264 * Performance registers fall into three categories:
1265 * (a) always UNDEF in PL0, RW in PL1 (PMINTENSET, PMINTENCLR)
1266 * (b) RO in PL0 (ie UNDEF on write), RW in PL1 (PMUSERENR)
1267 * (c) UNDEF in PL0 if PMUSERENR.EN==0, otherwise accessible (all others)
1268 * For the cases controlled by PMUSERENR we must set .access to PL0_RW
1269 * or PL0_RO as appropriate and then check PMUSERENR in the helper fn.
1270 */
1271 { .name = "PMCNTENSET", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 1,
7a0e58fa 1272 .access = PL0_RW, .type = ARM_CP_ALIAS,
8521466b 1273 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcnten),
fcd25206
PM
1274 .writefn = pmcntenset_write,
1275 .accessfn = pmreg_access,
1276 .raw_writefn = raw_write },
8521466b
AF
1277 { .name = "PMCNTENSET_EL0", .state = ARM_CP_STATE_AA64,
1278 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 1,
1279 .access = PL0_RW, .accessfn = pmreg_access,
1280 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcnten), .resetvalue = 0,
1281 .writefn = pmcntenset_write, .raw_writefn = raw_write },
200ac0ef 1282 { .name = "PMCNTENCLR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 2,
8521466b
AF
1283 .access = PL0_RW,
1284 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcnten),
fcd25206
PM
1285 .accessfn = pmreg_access,
1286 .writefn = pmcntenclr_write,
7a0e58fa 1287 .type = ARM_CP_ALIAS },
8521466b
AF
1288 { .name = "PMCNTENCLR_EL0", .state = ARM_CP_STATE_AA64,
1289 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 2,
1290 .access = PL0_RW, .accessfn = pmreg_access,
7a0e58fa 1291 .type = ARM_CP_ALIAS,
8521466b
AF
1292 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcnten),
1293 .writefn = pmcntenclr_write },
200ac0ef
PM
1294 { .name = "PMOVSR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 3,
1295 .access = PL0_RW, .fieldoffset = offsetof(CPUARMState, cp15.c9_pmovsr),
fcd25206
PM
1296 .accessfn = pmreg_access,
1297 .writefn = pmovsr_write,
1298 .raw_writefn = raw_write },
978364f1
AF
1299 { .name = "PMOVSCLR_EL0", .state = ARM_CP_STATE_AA64,
1300 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 3,
1301 .access = PL0_RW, .accessfn = pmreg_access,
1302 .type = ARM_CP_ALIAS,
1303 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmovsr),
1304 .writefn = pmovsr_write,
1305 .raw_writefn = raw_write },
fcd25206 1306 /* Unimplemented so WI. */
200ac0ef 1307 { .name = "PMSWINC", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 4,
6ecd0b6b 1308 .access = PL0_W, .accessfn = pmreg_access_swinc, .type = ARM_CP_NOP },
7c2cb42b 1309#ifndef CONFIG_USER_ONLY
6b040780
WH
1310 { .name = "PMSELR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 5,
1311 .access = PL0_RW, .type = ARM_CP_ALIAS,
1312 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmselr),
6ecd0b6b 1313 .accessfn = pmreg_access_selr, .writefn = pmselr_write,
6b040780
WH
1314 .raw_writefn = raw_write},
1315 { .name = "PMSELR_EL0", .state = ARM_CP_STATE_AA64,
1316 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 5,
6ecd0b6b 1317 .access = PL0_RW, .accessfn = pmreg_access_selr,
6b040780
WH
1318 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmselr),
1319 .writefn = pmselr_write, .raw_writefn = raw_write, },
200ac0ef 1320 { .name = "PMCCNTR", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 0,
7c2cb42b 1321 .access = PL0_RW, .resetvalue = 0, .type = ARM_CP_IO,
421c7ebd 1322 .readfn = pmccntr_read, .writefn = pmccntr_write32,
6ecd0b6b 1323 .accessfn = pmreg_access_ccntr },
8521466b
AF
1324 { .name = "PMCCNTR_EL0", .state = ARM_CP_STATE_AA64,
1325 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 13, .opc2 = 0,
6ecd0b6b 1326 .access = PL0_RW, .accessfn = pmreg_access_ccntr,
8521466b
AF
1327 .type = ARM_CP_IO,
1328 .readfn = pmccntr_read, .writefn = pmccntr_write, },
7c2cb42b 1329#endif
8521466b
AF
1330 { .name = "PMCCFILTR_EL0", .state = ARM_CP_STATE_AA64,
1331 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 15, .opc2 = 7,
0614601c 1332 .writefn = pmccfiltr_write,
8521466b
AF
1333 .access = PL0_RW, .accessfn = pmreg_access,
1334 .type = ARM_CP_IO,
1335 .fieldoffset = offsetof(CPUARMState, cp15.pmccfiltr_el0),
1336 .resetvalue = 0, },
200ac0ef 1337 { .name = "PMXEVTYPER", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 1,
fdb86656
WH
1338 .access = PL0_RW, .type = ARM_CP_NO_RAW, .accessfn = pmreg_access,
1339 .writefn = pmxevtyper_write, .readfn = pmxevtyper_read },
1340 { .name = "PMXEVTYPER_EL0", .state = ARM_CP_STATE_AA64,
1341 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 13, .opc2 = 1,
1342 .access = PL0_RW, .type = ARM_CP_NO_RAW, .accessfn = pmreg_access,
1343 .writefn = pmxevtyper_write, .readfn = pmxevtyper_read },
fcd25206 1344 /* Unimplemented, RAZ/WI. */
200ac0ef 1345 { .name = "PMXEVCNTR", .cp = 15, .crn = 9, .crm = 13, .opc1 = 0, .opc2 = 2,
fcd25206 1346 .access = PL0_RW, .type = ARM_CP_CONST, .resetvalue = 0,
6ecd0b6b 1347 .accessfn = pmreg_access_xevcntr },
200ac0ef 1348 { .name = "PMUSERENR", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 0,
1fce1ba9 1349 .access = PL0_R | PL1_RW, .accessfn = access_tpm,
200ac0ef
PM
1350 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmuserenr),
1351 .resetvalue = 0,
d4e6df63 1352 .writefn = pmuserenr_write, .raw_writefn = raw_write },
8a83ffc2
AF
1353 { .name = "PMUSERENR_EL0", .state = ARM_CP_STATE_AA64,
1354 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 14, .opc2 = 0,
1fce1ba9 1355 .access = PL0_R | PL1_RW, .accessfn = access_tpm, .type = ARM_CP_ALIAS,
8a83ffc2
AF
1356 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmuserenr),
1357 .resetvalue = 0,
1358 .writefn = pmuserenr_write, .raw_writefn = raw_write },
200ac0ef 1359 { .name = "PMINTENSET", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 1,
1fce1ba9 1360 .access = PL1_RW, .accessfn = access_tpm,
e6ec5457
WH
1361 .type = ARM_CP_ALIAS,
1362 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pminten),
200ac0ef 1363 .resetvalue = 0,
d4e6df63 1364 .writefn = pmintenset_write, .raw_writefn = raw_write },
e6ec5457
WH
1365 { .name = "PMINTENSET_EL1", .state = ARM_CP_STATE_AA64,
1366 .opc0 = 3, .opc1 = 0, .crn = 9, .crm = 14, .opc2 = 1,
1367 .access = PL1_RW, .accessfn = access_tpm,
1368 .type = ARM_CP_IO,
1369 .fieldoffset = offsetof(CPUARMState, cp15.c9_pminten),
1370 .writefn = pmintenset_write, .raw_writefn = raw_write,
1371 .resetvalue = 0x0 },
200ac0ef 1372 { .name = "PMINTENCLR", .cp = 15, .crn = 9, .crm = 14, .opc1 = 0, .opc2 = 2,
1fce1ba9 1373 .access = PL1_RW, .accessfn = access_tpm, .type = ARM_CP_ALIAS,
200ac0ef 1374 .fieldoffset = offsetof(CPUARMState, cp15.c9_pminten),
b061a82b 1375 .writefn = pmintenclr_write, },
978364f1
AF
1376 { .name = "PMINTENCLR_EL1", .state = ARM_CP_STATE_AA64,
1377 .opc0 = 3, .opc1 = 0, .crn = 9, .crm = 14, .opc2 = 2,
1fce1ba9 1378 .access = PL1_RW, .accessfn = access_tpm, .type = ARM_CP_ALIAS,
978364f1
AF
1379 .fieldoffset = offsetof(CPUARMState, cp15.c9_pminten),
1380 .writefn = pmintenclr_write },
7da845b0
PM
1381 { .name = "CCSIDR", .state = ARM_CP_STATE_BOTH,
1382 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = 0,
7a0e58fa 1383 .access = PL1_R, .readfn = ccsidr_read, .type = ARM_CP_NO_RAW },
7da845b0
PM
1384 { .name = "CSSELR", .state = ARM_CP_STATE_BOTH,
1385 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 2, .opc2 = 0,
b85a1fd6
FA
1386 .access = PL1_RW, .writefn = csselr_write, .resetvalue = 0,
1387 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.csselr_s),
1388 offsetof(CPUARMState, cp15.csselr_ns) } },
776d4e5c
PM
1389 /* Auxiliary ID register: this actually has an IMPDEF value but for now
1390 * just RAZ for all cores:
1391 */
0ff644a7
PM
1392 { .name = "AIDR", .state = ARM_CP_STATE_BOTH,
1393 .opc0 = 3, .opc1 = 1, .crn = 0, .crm = 0, .opc2 = 7,
776d4e5c 1394 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
f32cdad5
PM
1395 /* Auxiliary fault status registers: these also are IMPDEF, and we
1396 * choose to RAZ/WI for all cores.
1397 */
1398 { .name = "AFSR0_EL1", .state = ARM_CP_STATE_BOTH,
1399 .opc0 = 3, .opc1 = 0, .crn = 5, .crm = 1, .opc2 = 0,
1400 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
1401 { .name = "AFSR1_EL1", .state = ARM_CP_STATE_BOTH,
1402 .opc0 = 3, .opc1 = 0, .crn = 5, .crm = 1, .opc2 = 1,
1403 .access = PL1_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
b0fe2427
PM
1404 /* MAIR can just read-as-written because we don't implement caches
1405 * and so don't need to care about memory attributes.
1406 */
1407 { .name = "MAIR_EL1", .state = ARM_CP_STATE_AA64,
1408 .opc0 = 3, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 0,
be693c87 1409 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.mair_el[1]),
b0fe2427 1410 .resetvalue = 0 },
4cfb8ad8
PM
1411 { .name = "MAIR_EL3", .state = ARM_CP_STATE_AA64,
1412 .opc0 = 3, .opc1 = 6, .crn = 10, .crm = 2, .opc2 = 0,
1413 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.mair_el[3]),
1414 .resetvalue = 0 },
b0fe2427
PM
1415 /* For non-long-descriptor page tables these are PRRR and NMRR;
1416 * regardless they still act as reads-as-written for QEMU.
b0fe2427 1417 */
1281f8e3 1418 /* MAIR0/1 are defined separately from their 64-bit counterpart which
be693c87
GB
1419 * allows them to assign the correct fieldoffset based on the endianness
1420 * handled in the field definitions.
1421 */
a903c449 1422 { .name = "MAIR0", .state = ARM_CP_STATE_AA32,
b0fe2427 1423 .cp = 15, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 0, .access = PL1_RW,
be693c87
GB
1424 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.mair0_s),
1425 offsetof(CPUARMState, cp15.mair0_ns) },
b0fe2427 1426 .resetfn = arm_cp_reset_ignore },
a903c449 1427 { .name = "MAIR1", .state = ARM_CP_STATE_AA32,
b0fe2427 1428 .cp = 15, .opc1 = 0, .crn = 10, .crm = 2, .opc2 = 1, .access = PL1_RW,
be693c87
GB
1429 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.mair1_s),
1430 offsetof(CPUARMState, cp15.mair1_ns) },
b0fe2427 1431 .resetfn = arm_cp_reset_ignore },
1090b9c6
PM
1432 { .name = "ISR_EL1", .state = ARM_CP_STATE_BOTH,
1433 .opc0 = 3, .opc1 = 0, .crn = 12, .crm = 1, .opc2 = 0,
7a0e58fa 1434 .type = ARM_CP_NO_RAW, .access = PL1_R, .readfn = isr_read },
995939a6
PM
1435 /* 32 bit ITLB invalidates */
1436 { .name = "ITLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 0,
7a0e58fa 1437 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiall_write },
995939a6 1438 { .name = "ITLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 1,
7a0e58fa 1439 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_write },
995939a6 1440 { .name = "ITLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 5, .opc2 = 2,
7a0e58fa 1441 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiasid_write },
995939a6
PM
1442 /* 32 bit DTLB invalidates */
1443 { .name = "DTLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 0,
7a0e58fa 1444 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiall_write },
995939a6 1445 { .name = "DTLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 1,
7a0e58fa 1446 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_write },
995939a6 1447 { .name = "DTLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 6, .opc2 = 2,
7a0e58fa 1448 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiasid_write },
995939a6
PM
1449 /* 32 bit TLB invalidates */
1450 { .name = "TLBIALL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 0,
7a0e58fa 1451 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiall_write },
995939a6 1452 { .name = "TLBIMVA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 1,
7a0e58fa 1453 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_write },
995939a6 1454 { .name = "TLBIASID", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 2,
7a0e58fa 1455 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiasid_write },
995939a6 1456 { .name = "TLBIMVAA", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 3,
7a0e58fa 1457 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimvaa_write },
995939a6
PM
1458 REGINFO_SENTINEL
1459};
1460
1461static const ARMCPRegInfo v7mp_cp_reginfo[] = {
1462 /* 32 bit TLB invalidates, Inner Shareable */
1463 { .name = "TLBIALLIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 0,
7a0e58fa 1464 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbiall_is_write },
995939a6 1465 { .name = "TLBIMVAIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 1,
7a0e58fa 1466 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_is_write },
995939a6 1467 { .name = "TLBIASIDIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 2,
7a0e58fa 1468 .type = ARM_CP_NO_RAW, .access = PL1_W,
fa439fc5 1469 .writefn = tlbiasid_is_write },
995939a6 1470 { .name = "TLBIMVAAIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 3,
7a0e58fa 1471 .type = ARM_CP_NO_RAW, .access = PL1_W,
fa439fc5 1472 .writefn = tlbimvaa_is_write },
e9aa6c21
PM
1473 REGINFO_SENTINEL
1474};
1475
c4241c7d
PM
1476static void teecr_write(CPUARMState *env, const ARMCPRegInfo *ri,
1477 uint64_t value)
c326b979
PM
1478{
1479 value &= 1;
1480 env->teecr = value;
c326b979
PM
1481}
1482
3f208fd7
PM
1483static CPAccessResult teehbr_access(CPUARMState *env, const ARMCPRegInfo *ri,
1484 bool isread)
c326b979 1485{
dcbff19b 1486 if (arm_current_el(env) == 0 && (env->teecr & 1)) {
92611c00 1487 return CP_ACCESS_TRAP;
c326b979 1488 }
92611c00 1489 return CP_ACCESS_OK;
c326b979
PM
1490}
1491
1492static const ARMCPRegInfo t2ee_cp_reginfo[] = {
1493 { .name = "TEECR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 6, .opc2 = 0,
1494 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, teecr),
1495 .resetvalue = 0,
1496 .writefn = teecr_write },
1497 { .name = "TEEHBR", .cp = 14, .crn = 1, .crm = 0, .opc1 = 6, .opc2 = 0,
1498 .access = PL0_RW, .fieldoffset = offsetof(CPUARMState, teehbr),
92611c00 1499 .accessfn = teehbr_access, .resetvalue = 0 },
c326b979
PM
1500 REGINFO_SENTINEL
1501};
1502
4d31c596 1503static const ARMCPRegInfo v6k_cp_reginfo[] = {
e4fe830b
PM
1504 { .name = "TPIDR_EL0", .state = ARM_CP_STATE_AA64,
1505 .opc0 = 3, .opc1 = 3, .opc2 = 2, .crn = 13, .crm = 0,
1506 .access = PL0_RW,
54bf36ed 1507 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el[0]), .resetvalue = 0 },
4d31c596
PM
1508 { .name = "TPIDRURW", .cp = 15, .crn = 13, .crm = 0, .opc1 = 0, .opc2 = 2,
1509 .access = PL0_RW,
54bf36ed
FA
1510 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.tpidrurw_s),
1511 offsetoflow32(CPUARMState, cp15.tpidrurw_ns) },
e4fe830b
PM
1512 .resetfn = arm_cp_reset_ignore },
1513 { .name = "TPIDRRO_EL0", .state = ARM_CP_STATE_AA64,
1514 .opc0 = 3, .opc1 = 3, .opc2 = 3, .crn = 13, .crm = 0,
1515 .access = PL0_R|PL1_W,
54bf36ed
FA
1516 .fieldoffset = offsetof(CPUARMState, cp15.tpidrro_el[0]),
1517 .resetvalue = 0},
4d31c596
PM
1518 { .name = "TPIDRURO", .cp = 15, .crn = 13, .crm = 0, .opc1 = 0, .opc2 = 3,
1519 .access = PL0_R|PL1_W,
54bf36ed
FA
1520 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.tpidruro_s),
1521 offsetoflow32(CPUARMState, cp15.tpidruro_ns) },
e4fe830b 1522 .resetfn = arm_cp_reset_ignore },
54bf36ed 1523 { .name = "TPIDR_EL1", .state = ARM_CP_STATE_AA64,
e4fe830b 1524 .opc0 = 3, .opc1 = 0, .opc2 = 4, .crn = 13, .crm = 0,
4d31c596 1525 .access = PL1_RW,
54bf36ed
FA
1526 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el[1]), .resetvalue = 0 },
1527 { .name = "TPIDRPRW", .opc1 = 0, .cp = 15, .crn = 13, .crm = 0, .opc2 = 4,
1528 .access = PL1_RW,
1529 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.tpidrprw_s),
1530 offsetoflow32(CPUARMState, cp15.tpidrprw_ns) },
1531 .resetvalue = 0 },
4d31c596
PM
1532 REGINFO_SENTINEL
1533};
1534
55d284af
PM
1535#ifndef CONFIG_USER_ONLY
1536
3f208fd7
PM
1537static CPAccessResult gt_cntfrq_access(CPUARMState *env, const ARMCPRegInfo *ri,
1538 bool isread)
00108f2d 1539{
75502672
PM
1540 /* CNTFRQ: not visible from PL0 if both PL0PCTEN and PL0VCTEN are zero.
1541 * Writable only at the highest implemented exception level.
1542 */
1543 int el = arm_current_el(env);
1544
1545 switch (el) {
1546 case 0:
1547 if (!extract32(env->cp15.c14_cntkctl, 0, 2)) {
1548 return CP_ACCESS_TRAP;
1549 }
1550 break;
1551 case 1:
1552 if (!isread && ri->state == ARM_CP_STATE_AA32 &&
1553 arm_is_secure_below_el3(env)) {
1554 /* Accesses from 32-bit Secure EL1 UNDEF (*not* trap to EL3!) */
1555 return CP_ACCESS_TRAP_UNCATEGORIZED;
1556 }
1557 break;
1558 case 2:
1559 case 3:
1560 break;
00108f2d 1561 }
75502672
PM
1562
1563 if (!isread && el < arm_highest_el(env)) {
1564 return CP_ACCESS_TRAP_UNCATEGORIZED;
1565 }
1566
00108f2d
PM
1567 return CP_ACCESS_OK;
1568}
1569
3f208fd7
PM
1570static CPAccessResult gt_counter_access(CPUARMState *env, int timeridx,
1571 bool isread)
00108f2d 1572{
0b6440af
EI
1573 unsigned int cur_el = arm_current_el(env);
1574 bool secure = arm_is_secure(env);
1575
00108f2d 1576 /* CNT[PV]CT: not visible from PL0 if ELO[PV]CTEN is zero */
0b6440af 1577 if (cur_el == 0 &&
00108f2d
PM
1578 !extract32(env->cp15.c14_cntkctl, timeridx, 1)) {
1579 return CP_ACCESS_TRAP;
1580 }
0b6440af
EI
1581
1582 if (arm_feature(env, ARM_FEATURE_EL2) &&
1583 timeridx == GTIMER_PHYS && !secure && cur_el < 2 &&
1584 !extract32(env->cp15.cnthctl_el2, 0, 1)) {
1585 return CP_ACCESS_TRAP_EL2;
1586 }
00108f2d
PM
1587 return CP_ACCESS_OK;
1588}
1589
3f208fd7
PM
1590static CPAccessResult gt_timer_access(CPUARMState *env, int timeridx,
1591 bool isread)
00108f2d 1592{
0b6440af
EI
1593 unsigned int cur_el = arm_current_el(env);
1594 bool secure = arm_is_secure(env);
1595
00108f2d
PM
1596 /* CNT[PV]_CVAL, CNT[PV]_CTL, CNT[PV]_TVAL: not visible from PL0 if
1597 * EL0[PV]TEN is zero.
1598 */
0b6440af 1599 if (cur_el == 0 &&
00108f2d
PM
1600 !extract32(env->cp15.c14_cntkctl, 9 - timeridx, 1)) {
1601 return CP_ACCESS_TRAP;
1602 }
0b6440af
EI
1603
1604 if (arm_feature(env, ARM_FEATURE_EL2) &&
1605 timeridx == GTIMER_PHYS && !secure && cur_el < 2 &&
1606 !extract32(env->cp15.cnthctl_el2, 1, 1)) {
1607 return CP_ACCESS_TRAP_EL2;
1608 }
00108f2d
PM
1609 return CP_ACCESS_OK;
1610}
1611
1612static CPAccessResult gt_pct_access(CPUARMState *env,
3f208fd7
PM
1613 const ARMCPRegInfo *ri,
1614 bool isread)
00108f2d 1615{
3f208fd7 1616 return gt_counter_access(env, GTIMER_PHYS, isread);
00108f2d
PM
1617}
1618
1619static CPAccessResult gt_vct_access(CPUARMState *env,
3f208fd7
PM
1620 const ARMCPRegInfo *ri,
1621 bool isread)
00108f2d 1622{
3f208fd7 1623 return gt_counter_access(env, GTIMER_VIRT, isread);
00108f2d
PM
1624}
1625
3f208fd7
PM
1626static CPAccessResult gt_ptimer_access(CPUARMState *env, const ARMCPRegInfo *ri,
1627 bool isread)
00108f2d 1628{
3f208fd7 1629 return gt_timer_access(env, GTIMER_PHYS, isread);
00108f2d
PM
1630}
1631
3f208fd7
PM
1632static CPAccessResult gt_vtimer_access(CPUARMState *env, const ARMCPRegInfo *ri,
1633 bool isread)
00108f2d 1634{
3f208fd7 1635 return gt_timer_access(env, GTIMER_VIRT, isread);
00108f2d
PM
1636}
1637
b4d3978c 1638static CPAccessResult gt_stimer_access(CPUARMState *env,
3f208fd7
PM
1639 const ARMCPRegInfo *ri,
1640 bool isread)
b4d3978c
PM
1641{
1642 /* The AArch64 register view of the secure physical timer is
1643 * always accessible from EL3, and configurably accessible from
1644 * Secure EL1.
1645 */
1646 switch (arm_current_el(env)) {
1647 case 1:
1648 if (!arm_is_secure(env)) {
1649 return CP_ACCESS_TRAP;
1650 }
1651 if (!(env->cp15.scr_el3 & SCR_ST)) {
1652 return CP_ACCESS_TRAP_EL3;
1653 }
1654 return CP_ACCESS_OK;
1655 case 0:
1656 case 2:
1657 return CP_ACCESS_TRAP;
1658 case 3:
1659 return CP_ACCESS_OK;
1660 default:
1661 g_assert_not_reached();
1662 }
1663}
1664
55d284af
PM
1665static uint64_t gt_get_countervalue(CPUARMState *env)
1666{
bc72ad67 1667 return qemu_clock_get_ns(QEMU_CLOCK_VIRTUAL) / GTIMER_SCALE;
55d284af
PM
1668}
1669
1670static void gt_recalc_timer(ARMCPU *cpu, int timeridx)
1671{
1672 ARMGenericTimer *gt = &cpu->env.cp15.c14_timer[timeridx];
1673
1674 if (gt->ctl & 1) {
1675 /* Timer enabled: calculate and set current ISTATUS, irq, and
1676 * reset timer to when ISTATUS next has to change
1677 */
edac4d8a
EI
1678 uint64_t offset = timeridx == GTIMER_VIRT ?
1679 cpu->env.cp15.cntvoff_el2 : 0;
55d284af
PM
1680 uint64_t count = gt_get_countervalue(&cpu->env);
1681 /* Note that this must be unsigned 64 bit arithmetic: */
edac4d8a 1682 int istatus = count - offset >= gt->cval;
55d284af 1683 uint64_t nexttick;
194cbc49 1684 int irqstate;
55d284af
PM
1685
1686 gt->ctl = deposit32(gt->ctl, 2, 1, istatus);
194cbc49
PM
1687
1688 irqstate = (istatus && !(gt->ctl & 2));
1689 qemu_set_irq(cpu->gt_timer_outputs[timeridx], irqstate);
1690
55d284af
PM
1691 if (istatus) {
1692 /* Next transition is when count rolls back over to zero */
1693 nexttick = UINT64_MAX;
1694 } else {
1695 /* Next transition is when we hit cval */
edac4d8a 1696 nexttick = gt->cval + offset;
55d284af
PM
1697 }
1698 /* Note that the desired next expiry time might be beyond the
1699 * signed-64-bit range of a QEMUTimer -- in this case we just
1700 * set the timer for as far in the future as possible. When the
1701 * timer expires we will reset the timer for any remaining period.
1702 */
1703 if (nexttick > INT64_MAX / GTIMER_SCALE) {
1704 nexttick = INT64_MAX / GTIMER_SCALE;
1705 }
bc72ad67 1706 timer_mod(cpu->gt_timer[timeridx], nexttick);
194cbc49 1707 trace_arm_gt_recalc(timeridx, irqstate, nexttick);
55d284af
PM
1708 } else {
1709 /* Timer disabled: ISTATUS and timer output always clear */
1710 gt->ctl &= ~4;
1711 qemu_set_irq(cpu->gt_timer_outputs[timeridx], 0);
bc72ad67 1712 timer_del(cpu->gt_timer[timeridx]);
194cbc49 1713 trace_arm_gt_recalc_disabled(timeridx);
55d284af
PM
1714 }
1715}
1716
0e3eca4c
EI
1717static void gt_timer_reset(CPUARMState *env, const ARMCPRegInfo *ri,
1718 int timeridx)
55d284af
PM
1719{
1720 ARMCPU *cpu = arm_env_get_cpu(env);
55d284af 1721
bc72ad67 1722 timer_del(cpu->gt_timer[timeridx]);
55d284af
PM
1723}
1724
c4241c7d 1725static uint64_t gt_cnt_read(CPUARMState *env, const ARMCPRegInfo *ri)
55d284af 1726{
c4241c7d 1727 return gt_get_countervalue(env);
55d284af
PM
1728}
1729
edac4d8a
EI
1730static uint64_t gt_virt_cnt_read(CPUARMState *env, const ARMCPRegInfo *ri)
1731{
1732 return gt_get_countervalue(env) - env->cp15.cntvoff_el2;
1733}
1734
c4241c7d 1735static void gt_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
0e3eca4c 1736 int timeridx,
c4241c7d 1737 uint64_t value)
55d284af 1738{
194cbc49 1739 trace_arm_gt_cval_write(timeridx, value);
55d284af
PM
1740 env->cp15.c14_timer[timeridx].cval = value;
1741 gt_recalc_timer(arm_env_get_cpu(env), timeridx);
55d284af 1742}
c4241c7d 1743
0e3eca4c
EI
1744static uint64_t gt_tval_read(CPUARMState *env, const ARMCPRegInfo *ri,
1745 int timeridx)
55d284af 1746{
edac4d8a 1747 uint64_t offset = timeridx == GTIMER_VIRT ? env->cp15.cntvoff_el2 : 0;
55d284af 1748
c4241c7d 1749 return (uint32_t)(env->cp15.c14_timer[timeridx].cval -
edac4d8a 1750 (gt_get_countervalue(env) - offset));
55d284af
PM
1751}
1752
c4241c7d 1753static void gt_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
0e3eca4c 1754 int timeridx,
c4241c7d 1755 uint64_t value)
55d284af 1756{
edac4d8a 1757 uint64_t offset = timeridx == GTIMER_VIRT ? env->cp15.cntvoff_el2 : 0;
55d284af 1758
194cbc49 1759 trace_arm_gt_tval_write(timeridx, value);
edac4d8a 1760 env->cp15.c14_timer[timeridx].cval = gt_get_countervalue(env) - offset +
18084b2f 1761 sextract64(value, 0, 32);
55d284af 1762 gt_recalc_timer(arm_env_get_cpu(env), timeridx);
55d284af
PM
1763}
1764
c4241c7d 1765static void gt_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
0e3eca4c 1766 int timeridx,
c4241c7d 1767 uint64_t value)
55d284af
PM
1768{
1769 ARMCPU *cpu = arm_env_get_cpu(env);
55d284af
PM
1770 uint32_t oldval = env->cp15.c14_timer[timeridx].ctl;
1771
194cbc49 1772 trace_arm_gt_ctl_write(timeridx, value);
d3afacc7 1773 env->cp15.c14_timer[timeridx].ctl = deposit64(oldval, 0, 2, value);
55d284af
PM
1774 if ((oldval ^ value) & 1) {
1775 /* Enable toggled */
1776 gt_recalc_timer(cpu, timeridx);
d3afacc7 1777 } else if ((oldval ^ value) & 2) {
55d284af
PM
1778 /* IMASK toggled: don't need to recalculate,
1779 * just set the interrupt line based on ISTATUS
1780 */
194cbc49
PM
1781 int irqstate = (oldval & 4) && !(value & 2);
1782
1783 trace_arm_gt_imask_toggle(timeridx, irqstate);
1784 qemu_set_irq(cpu->gt_timer_outputs[timeridx], irqstate);
55d284af 1785 }
55d284af
PM
1786}
1787
0e3eca4c
EI
1788static void gt_phys_timer_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1789{
1790 gt_timer_reset(env, ri, GTIMER_PHYS);
1791}
1792
1793static void gt_phys_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1794 uint64_t value)
1795{
1796 gt_cval_write(env, ri, GTIMER_PHYS, value);
1797}
1798
1799static uint64_t gt_phys_tval_read(CPUARMState *env, const ARMCPRegInfo *ri)
1800{
1801 return gt_tval_read(env, ri, GTIMER_PHYS);
1802}
1803
1804static void gt_phys_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1805 uint64_t value)
1806{
1807 gt_tval_write(env, ri, GTIMER_PHYS, value);
1808}
1809
1810static void gt_phys_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
1811 uint64_t value)
1812{
1813 gt_ctl_write(env, ri, GTIMER_PHYS, value);
1814}
1815
1816static void gt_virt_timer_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1817{
1818 gt_timer_reset(env, ri, GTIMER_VIRT);
1819}
1820
1821static void gt_virt_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1822 uint64_t value)
1823{
1824 gt_cval_write(env, ri, GTIMER_VIRT, value);
1825}
1826
1827static uint64_t gt_virt_tval_read(CPUARMState *env, const ARMCPRegInfo *ri)
1828{
1829 return gt_tval_read(env, ri, GTIMER_VIRT);
1830}
1831
1832static void gt_virt_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1833 uint64_t value)
1834{
1835 gt_tval_write(env, ri, GTIMER_VIRT, value);
1836}
1837
1838static void gt_virt_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
1839 uint64_t value)
1840{
1841 gt_ctl_write(env, ri, GTIMER_VIRT, value);
1842}
1843
edac4d8a
EI
1844static void gt_cntvoff_write(CPUARMState *env, const ARMCPRegInfo *ri,
1845 uint64_t value)
1846{
1847 ARMCPU *cpu = arm_env_get_cpu(env);
1848
194cbc49 1849 trace_arm_gt_cntvoff_write(value);
edac4d8a
EI
1850 raw_write(env, ri, value);
1851 gt_recalc_timer(cpu, GTIMER_VIRT);
1852}
1853
b0e66d95
EI
1854static void gt_hyp_timer_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1855{
1856 gt_timer_reset(env, ri, GTIMER_HYP);
1857}
1858
1859static void gt_hyp_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1860 uint64_t value)
1861{
1862 gt_cval_write(env, ri, GTIMER_HYP, value);
1863}
1864
1865static uint64_t gt_hyp_tval_read(CPUARMState *env, const ARMCPRegInfo *ri)
1866{
1867 return gt_tval_read(env, ri, GTIMER_HYP);
1868}
1869
1870static void gt_hyp_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1871 uint64_t value)
1872{
1873 gt_tval_write(env, ri, GTIMER_HYP, value);
1874}
1875
1876static void gt_hyp_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
1877 uint64_t value)
1878{
1879 gt_ctl_write(env, ri, GTIMER_HYP, value);
1880}
1881
b4d3978c
PM
1882static void gt_sec_timer_reset(CPUARMState *env, const ARMCPRegInfo *ri)
1883{
1884 gt_timer_reset(env, ri, GTIMER_SEC);
1885}
1886
1887static void gt_sec_cval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1888 uint64_t value)
1889{
1890 gt_cval_write(env, ri, GTIMER_SEC, value);
1891}
1892
1893static uint64_t gt_sec_tval_read(CPUARMState *env, const ARMCPRegInfo *ri)
1894{
1895 return gt_tval_read(env, ri, GTIMER_SEC);
1896}
1897
1898static void gt_sec_tval_write(CPUARMState *env, const ARMCPRegInfo *ri,
1899 uint64_t value)
1900{
1901 gt_tval_write(env, ri, GTIMER_SEC, value);
1902}
1903
1904static void gt_sec_ctl_write(CPUARMState *env, const ARMCPRegInfo *ri,
1905 uint64_t value)
1906{
1907 gt_ctl_write(env, ri, GTIMER_SEC, value);
1908}
1909
55d284af
PM
1910void arm_gt_ptimer_cb(void *opaque)
1911{
1912 ARMCPU *cpu = opaque;
1913
1914 gt_recalc_timer(cpu, GTIMER_PHYS);
1915}
1916
1917void arm_gt_vtimer_cb(void *opaque)
1918{
1919 ARMCPU *cpu = opaque;
1920
1921 gt_recalc_timer(cpu, GTIMER_VIRT);
1922}
1923
b0e66d95
EI
1924void arm_gt_htimer_cb(void *opaque)
1925{
1926 ARMCPU *cpu = opaque;
1927
1928 gt_recalc_timer(cpu, GTIMER_HYP);
1929}
1930
b4d3978c
PM
1931void arm_gt_stimer_cb(void *opaque)
1932{
1933 ARMCPU *cpu = opaque;
1934
1935 gt_recalc_timer(cpu, GTIMER_SEC);
1936}
1937
55d284af
PM
1938static const ARMCPRegInfo generic_timer_cp_reginfo[] = {
1939 /* Note that CNTFRQ is purely reads-as-written for the benefit
1940 * of software; writing it doesn't actually change the timer frequency.
1941 * Our reset value matches the fixed frequency we implement the timer at.
1942 */
1943 { .name = "CNTFRQ", .cp = 15, .crn = 14, .crm = 0, .opc1 = 0, .opc2 = 0,
7a0e58fa 1944 .type = ARM_CP_ALIAS,
a7adc4b7
PM
1945 .access = PL1_RW | PL0_R, .accessfn = gt_cntfrq_access,
1946 .fieldoffset = offsetoflow32(CPUARMState, cp15.c14_cntfrq),
a7adc4b7
PM
1947 },
1948 { .name = "CNTFRQ_EL0", .state = ARM_CP_STATE_AA64,
1949 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 0,
1950 .access = PL1_RW | PL0_R, .accessfn = gt_cntfrq_access,
55d284af
PM
1951 .fieldoffset = offsetof(CPUARMState, cp15.c14_cntfrq),
1952 .resetvalue = (1000 * 1000 * 1000) / GTIMER_SCALE,
55d284af
PM
1953 },
1954 /* overall control: mostly access permissions */
a7adc4b7
PM
1955 { .name = "CNTKCTL", .state = ARM_CP_STATE_BOTH,
1956 .opc0 = 3, .opc1 = 0, .crn = 14, .crm = 1, .opc2 = 0,
55d284af
PM
1957 .access = PL1_RW,
1958 .fieldoffset = offsetof(CPUARMState, cp15.c14_cntkctl),
1959 .resetvalue = 0,
1960 },
1961 /* per-timer control */
1962 { .name = "CNTP_CTL", .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 1,
9ff9dd3c 1963 .secure = ARM_CP_SECSTATE_NS,
7a0e58fa 1964 .type = ARM_CP_IO | ARM_CP_ALIAS, .access = PL1_RW | PL0_R,
a7adc4b7
PM
1965 .accessfn = gt_ptimer_access,
1966 .fieldoffset = offsetoflow32(CPUARMState,
1967 cp15.c14_timer[GTIMER_PHYS].ctl),
0e3eca4c 1968 .writefn = gt_phys_ctl_write, .raw_writefn = raw_write,
a7adc4b7 1969 },
9ff9dd3c
PM
1970 { .name = "CNTP_CTL(S)",
1971 .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 1,
1972 .secure = ARM_CP_SECSTATE_S,
1973 .type = ARM_CP_IO | ARM_CP_ALIAS, .access = PL1_RW | PL0_R,
1974 .accessfn = gt_ptimer_access,
1975 .fieldoffset = offsetoflow32(CPUARMState,
1976 cp15.c14_timer[GTIMER_SEC].ctl),
1977 .writefn = gt_sec_ctl_write, .raw_writefn = raw_write,
1978 },
a7adc4b7
PM
1979 { .name = "CNTP_CTL_EL0", .state = ARM_CP_STATE_AA64,
1980 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 1,
55d284af 1981 .type = ARM_CP_IO, .access = PL1_RW | PL0_R,
a7adc4b7 1982 .accessfn = gt_ptimer_access,
55d284af
PM
1983 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].ctl),
1984 .resetvalue = 0,
0e3eca4c 1985 .writefn = gt_phys_ctl_write, .raw_writefn = raw_write,
55d284af
PM
1986 },
1987 { .name = "CNTV_CTL", .cp = 15, .crn = 14, .crm = 3, .opc1 = 0, .opc2 = 1,
7a0e58fa 1988 .type = ARM_CP_IO | ARM_CP_ALIAS, .access = PL1_RW | PL0_R,
a7adc4b7
PM
1989 .accessfn = gt_vtimer_access,
1990 .fieldoffset = offsetoflow32(CPUARMState,
1991 cp15.c14_timer[GTIMER_VIRT].ctl),
0e3eca4c 1992 .writefn = gt_virt_ctl_write, .raw_writefn = raw_write,
a7adc4b7
PM
1993 },
1994 { .name = "CNTV_CTL_EL0", .state = ARM_CP_STATE_AA64,
1995 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 1,
55d284af 1996 .type = ARM_CP_IO, .access = PL1_RW | PL0_R,
a7adc4b7 1997 .accessfn = gt_vtimer_access,
55d284af
PM
1998 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].ctl),
1999 .resetvalue = 0,
0e3eca4c 2000 .writefn = gt_virt_ctl_write, .raw_writefn = raw_write,
55d284af
PM
2001 },
2002 /* TimerValue views: a 32 bit downcounting view of the underlying state */
2003 { .name = "CNTP_TVAL", .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 0,
9ff9dd3c 2004 .secure = ARM_CP_SECSTATE_NS,
7a0e58fa 2005 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW | PL0_R,
00108f2d 2006 .accessfn = gt_ptimer_access,
0e3eca4c 2007 .readfn = gt_phys_tval_read, .writefn = gt_phys_tval_write,
55d284af 2008 },
9ff9dd3c
PM
2009 { .name = "CNTP_TVAL(S)",
2010 .cp = 15, .crn = 14, .crm = 2, .opc1 = 0, .opc2 = 0,
2011 .secure = ARM_CP_SECSTATE_S,
2012 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW | PL0_R,
2013 .accessfn = gt_ptimer_access,
2014 .readfn = gt_sec_tval_read, .writefn = gt_sec_tval_write,
2015 },
a7adc4b7
PM
2016 { .name = "CNTP_TVAL_EL0", .state = ARM_CP_STATE_AA64,
2017 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 0,
7a0e58fa 2018 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW | PL0_R,
0e3eca4c
EI
2019 .accessfn = gt_ptimer_access, .resetfn = gt_phys_timer_reset,
2020 .readfn = gt_phys_tval_read, .writefn = gt_phys_tval_write,
a7adc4b7 2021 },
55d284af 2022 { .name = "CNTV_TVAL", .cp = 15, .crn = 14, .crm = 3, .opc1 = 0, .opc2 = 0,
7a0e58fa 2023 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW | PL0_R,
00108f2d 2024 .accessfn = gt_vtimer_access,
0e3eca4c 2025 .readfn = gt_virt_tval_read, .writefn = gt_virt_tval_write,
55d284af 2026 },
a7adc4b7
PM
2027 { .name = "CNTV_TVAL_EL0", .state = ARM_CP_STATE_AA64,
2028 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 0,
7a0e58fa 2029 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW | PL0_R,
0e3eca4c
EI
2030 .accessfn = gt_vtimer_access, .resetfn = gt_virt_timer_reset,
2031 .readfn = gt_virt_tval_read, .writefn = gt_virt_tval_write,
a7adc4b7 2032 },
55d284af
PM
2033 /* The counter itself */
2034 { .name = "CNTPCT", .cp = 15, .crm = 14, .opc1 = 0,
7a0e58fa 2035 .access = PL0_R, .type = ARM_CP_64BIT | ARM_CP_NO_RAW | ARM_CP_IO,
00108f2d 2036 .accessfn = gt_pct_access,
a7adc4b7
PM
2037 .readfn = gt_cnt_read, .resetfn = arm_cp_reset_ignore,
2038 },
2039 { .name = "CNTPCT_EL0", .state = ARM_CP_STATE_AA64,
2040 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 1,
7a0e58fa 2041 .access = PL0_R, .type = ARM_CP_NO_RAW | ARM_CP_IO,
d57b9ee8 2042 .accessfn = gt_pct_access, .readfn = gt_cnt_read,
55d284af
PM
2043 },
2044 { .name = "CNTVCT", .cp = 15, .crm = 14, .opc1 = 1,
7a0e58fa 2045 .access = PL0_R, .type = ARM_CP_64BIT | ARM_CP_NO_RAW | ARM_CP_IO,
00108f2d 2046 .accessfn = gt_vct_access,
edac4d8a 2047 .readfn = gt_virt_cnt_read, .resetfn = arm_cp_reset_ignore,
a7adc4b7
PM
2048 },
2049 { .name = "CNTVCT_EL0", .state = ARM_CP_STATE_AA64,
2050 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 0, .opc2 = 2,
7a0e58fa 2051 .access = PL0_R, .type = ARM_CP_NO_RAW | ARM_CP_IO,
d57b9ee8 2052 .accessfn = gt_vct_access, .readfn = gt_virt_cnt_read,
55d284af
PM
2053 },
2054 /* Comparison value, indicating when the timer goes off */
2055 { .name = "CNTP_CVAL", .cp = 15, .crm = 14, .opc1 = 2,
9ff9dd3c 2056 .secure = ARM_CP_SECSTATE_NS,
55d284af 2057 .access = PL1_RW | PL0_R,
7a0e58fa 2058 .type = ARM_CP_64BIT | ARM_CP_IO | ARM_CP_ALIAS,
55d284af 2059 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].cval),
b061a82b 2060 .accessfn = gt_ptimer_access,
0e3eca4c 2061 .writefn = gt_phys_cval_write, .raw_writefn = raw_write,
a7adc4b7 2062 },
9ff9dd3c
PM
2063 { .name = "CNTP_CVAL(S)", .cp = 15, .crm = 14, .opc1 = 2,
2064 .secure = ARM_CP_SECSTATE_S,
2065 .access = PL1_RW | PL0_R,
2066 .type = ARM_CP_64BIT | ARM_CP_IO | ARM_CP_ALIAS,
2067 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_SEC].cval),
2068 .accessfn = gt_ptimer_access,
2069 .writefn = gt_sec_cval_write, .raw_writefn = raw_write,
2070 },
a7adc4b7
PM
2071 { .name = "CNTP_CVAL_EL0", .state = ARM_CP_STATE_AA64,
2072 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 2, .opc2 = 2,
2073 .access = PL1_RW | PL0_R,
2074 .type = ARM_CP_IO,
2075 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_PHYS].cval),
12cde08a 2076 .resetvalue = 0, .accessfn = gt_ptimer_access,
0e3eca4c 2077 .writefn = gt_phys_cval_write, .raw_writefn = raw_write,
55d284af
PM
2078 },
2079 { .name = "CNTV_CVAL", .cp = 15, .crm = 14, .opc1 = 3,
2080 .access = PL1_RW | PL0_R,
7a0e58fa 2081 .type = ARM_CP_64BIT | ARM_CP_IO | ARM_CP_ALIAS,
55d284af 2082 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].cval),
b061a82b 2083 .accessfn = gt_vtimer_access,
0e3eca4c 2084 .writefn = gt_virt_cval_write, .raw_writefn = raw_write,
a7adc4b7
PM
2085 },
2086 { .name = "CNTV_CVAL_EL0", .state = ARM_CP_STATE_AA64,
2087 .opc0 = 3, .opc1 = 3, .crn = 14, .crm = 3, .opc2 = 2,
2088 .access = PL1_RW | PL0_R,
2089 .type = ARM_CP_IO,
2090 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_VIRT].cval),
2091 .resetvalue = 0, .accessfn = gt_vtimer_access,
0e3eca4c 2092 .writefn = gt_virt_cval_write, .raw_writefn = raw_write,
55d284af 2093 },
b4d3978c
PM
2094 /* Secure timer -- this is actually restricted to only EL3
2095 * and configurably Secure-EL1 via the accessfn.
2096 */
2097 { .name = "CNTPS_TVAL_EL1", .state = ARM_CP_STATE_AA64,
2098 .opc0 = 3, .opc1 = 7, .crn = 14, .crm = 2, .opc2 = 0,
2099 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL1_RW,
2100 .accessfn = gt_stimer_access,
2101 .readfn = gt_sec_tval_read,
2102 .writefn = gt_sec_tval_write,
2103 .resetfn = gt_sec_timer_reset,
2104 },
2105 { .name = "CNTPS_CTL_EL1", .state = ARM_CP_STATE_AA64,
2106 .opc0 = 3, .opc1 = 7, .crn = 14, .crm = 2, .opc2 = 1,
2107 .type = ARM_CP_IO, .access = PL1_RW,
2108 .accessfn = gt_stimer_access,
2109 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_SEC].ctl),
2110 .resetvalue = 0,
2111 .writefn = gt_sec_ctl_write, .raw_writefn = raw_write,
2112 },
2113 { .name = "CNTPS_CVAL_EL1", .state = ARM_CP_STATE_AA64,
2114 .opc0 = 3, .opc1 = 7, .crn = 14, .crm = 2, .opc2 = 2,
2115 .type = ARM_CP_IO, .access = PL1_RW,
2116 .accessfn = gt_stimer_access,
2117 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_SEC].cval),
2118 .writefn = gt_sec_cval_write, .raw_writefn = raw_write,
2119 },
55d284af
PM
2120 REGINFO_SENTINEL
2121};
2122
2123#else
2124/* In user-mode none of the generic timer registers are accessible,
bc72ad67 2125 * and their implementation depends on QEMU_CLOCK_VIRTUAL and qdev gpio outputs,
55d284af
PM
2126 * so instead just don't register any of them.
2127 */
6cc7a3ae 2128static const ARMCPRegInfo generic_timer_cp_reginfo[] = {
6cc7a3ae
PM
2129 REGINFO_SENTINEL
2130};
2131
55d284af
PM
2132#endif
2133
c4241c7d 2134static void par_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
4a501606 2135{
891a2fe7 2136 if (arm_feature(env, ARM_FEATURE_LPAE)) {
8d5c773e 2137 raw_write(env, ri, value);
891a2fe7 2138 } else if (arm_feature(env, ARM_FEATURE_V7)) {
8d5c773e 2139 raw_write(env, ri, value & 0xfffff6ff);
4a501606 2140 } else {
8d5c773e 2141 raw_write(env, ri, value & 0xfffff1ff);
4a501606 2142 }
4a501606
PM
2143}
2144
2145#ifndef CONFIG_USER_ONLY
2146/* get_phys_addr() isn't present for user-mode-only targets */
702a9357 2147
3f208fd7
PM
2148static CPAccessResult ats_access(CPUARMState *env, const ARMCPRegInfo *ri,
2149 bool isread)
92611c00
PM
2150{
2151 if (ri->opc2 & 4) {
87562e4f
PM
2152 /* The ATS12NSO* operations must trap to EL3 if executed in
2153 * Secure EL1 (which can only happen if EL3 is AArch64).
2154 * They are simply UNDEF if executed from NS EL1.
2155 * They function normally from EL2 or EL3.
92611c00 2156 */
87562e4f
PM
2157 if (arm_current_el(env) == 1) {
2158 if (arm_is_secure_below_el3(env)) {
2159 return CP_ACCESS_TRAP_UNCATEGORIZED_EL3;
2160 }
2161 return CP_ACCESS_TRAP_UNCATEGORIZED;
2162 }
92611c00
PM
2163 }
2164 return CP_ACCESS_OK;
2165}
2166
060e8a48 2167static uint64_t do_ats_write(CPUARMState *env, uint64_t value,
03ae85f8 2168 MMUAccessType access_type, ARMMMUIdx mmu_idx)
4a501606 2169{
a8170e5e 2170 hwaddr phys_addr;
4a501606
PM
2171 target_ulong page_size;
2172 int prot;
b7cc4e82 2173 bool ret;
01c097f7 2174 uint64_t par64;
1313e2d7 2175 bool format64 = false;
8bf5b6a9 2176 MemTxAttrs attrs = {};
e14b5a23 2177 ARMMMUFaultInfo fi = {};
5b2d261d 2178 ARMCacheAttrs cacheattrs = {};
4a501606 2179
5b2d261d 2180 ret = get_phys_addr(env, value, access_type, mmu_idx, &phys_addr, &attrs,
bc52bfeb 2181 &prot, &page_size, &fi, &cacheattrs);
1313e2d7
EI
2182
2183 if (is_a64(env)) {
2184 format64 = true;
2185 } else if (arm_feature(env, ARM_FEATURE_LPAE)) {
2186 /*
2187 * ATS1Cxx:
2188 * * TTBCR.EAE determines whether the result is returned using the
2189 * 32-bit or the 64-bit PAR format
2190 * * Instructions executed in Hyp mode always use the 64bit format
2191 *
2192 * ATS1S2NSOxx uses the 64bit format if any of the following is true:
2193 * * The Non-secure TTBCR.EAE bit is set to 1
2194 * * The implementation includes EL2, and the value of HCR.VM is 1
2195 *
2196 * ATS1Hx always uses the 64bit format (not supported yet).
2197 */
2198 format64 = arm_s1_regime_using_lpae_format(env, mmu_idx);
2199
2200 if (arm_feature(env, ARM_FEATURE_EL2)) {
2201 if (mmu_idx == ARMMMUIdx_S12NSE0 || mmu_idx == ARMMMUIdx_S12NSE1) {
2202 format64 |= env->cp15.hcr_el2 & HCR_VM;
2203 } else {
2204 format64 |= arm_current_el(env) == 2;
2205 }
2206 }
2207 }
2208
2209 if (format64) {
5efe9ed4 2210 /* Create a 64-bit PAR */
01c097f7 2211 par64 = (1 << 11); /* LPAE bit always set */
b7cc4e82 2212 if (!ret) {
702a9357 2213 par64 |= phys_addr & ~0xfffULL;
8bf5b6a9
PM
2214 if (!attrs.secure) {
2215 par64 |= (1 << 9); /* NS */
2216 }
5b2d261d
AB
2217 par64 |= (uint64_t)cacheattrs.attrs << 56; /* ATTR */
2218 par64 |= cacheattrs.shareability << 7; /* SH */
4a501606 2219 } else {
5efe9ed4
PM
2220 uint32_t fsr = arm_fi_to_lfsc(&fi);
2221
702a9357 2222 par64 |= 1; /* F */
b7cc4e82 2223 par64 |= (fsr & 0x3f) << 1; /* FS */
702a9357
PM
2224 /* Note that S2WLK and FSTAGE are always zero, because we don't
2225 * implement virtualization and therefore there can't be a stage 2
2226 * fault.
2227 */
4a501606
PM
2228 }
2229 } else {
b7cc4e82 2230 /* fsr is a DFSR/IFSR value for the short descriptor
702a9357
PM
2231 * translation table format (with WnR always clear).
2232 * Convert it to a 32-bit PAR.
2233 */
b7cc4e82 2234 if (!ret) {
702a9357
PM
2235 /* We do not set any attribute bits in the PAR */
2236 if (page_size == (1 << 24)
2237 && arm_feature(env, ARM_FEATURE_V7)) {
01c097f7 2238 par64 = (phys_addr & 0xff000000) | (1 << 1);
702a9357 2239 } else {
01c097f7 2240 par64 = phys_addr & 0xfffff000;
702a9357 2241 }
8bf5b6a9
PM
2242 if (!attrs.secure) {
2243 par64 |= (1 << 9); /* NS */
2244 }
702a9357 2245 } else {
5efe9ed4
PM
2246 uint32_t fsr = arm_fi_to_sfsc(&fi);
2247
b7cc4e82
PC
2248 par64 = ((fsr & (1 << 10)) >> 5) | ((fsr & (1 << 12)) >> 6) |
2249 ((fsr & 0xf) << 1) | 1;
702a9357 2250 }
4a501606 2251 }
060e8a48
PM
2252 return par64;
2253}
2254
2255static void ats_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
2256{
03ae85f8 2257 MMUAccessType access_type = ri->opc2 & 1 ? MMU_DATA_STORE : MMU_DATA_LOAD;
060e8a48 2258 uint64_t par64;
d3649702
PM
2259 ARMMMUIdx mmu_idx;
2260 int el = arm_current_el(env);
2261 bool secure = arm_is_secure_below_el3(env);
060e8a48 2262
d3649702
PM
2263 switch (ri->opc2 & 6) {
2264 case 0:
2265 /* stage 1 current state PL1: ATS1CPR, ATS1CPW */
2266 switch (el) {
2267 case 3:
2268 mmu_idx = ARMMMUIdx_S1E3;
2269 break;
2270 case 2:
2271 mmu_idx = ARMMMUIdx_S1NSE1;
2272 break;
2273 case 1:
2274 mmu_idx = secure ? ARMMMUIdx_S1SE1 : ARMMMUIdx_S1NSE1;
2275 break;
2276 default:
2277 g_assert_not_reached();
2278 }
2279 break;
2280 case 2:
2281 /* stage 1 current state PL0: ATS1CUR, ATS1CUW */
2282 switch (el) {
2283 case 3:
2284 mmu_idx = ARMMMUIdx_S1SE0;
2285 break;
2286 case 2:
2287 mmu_idx = ARMMMUIdx_S1NSE0;
2288 break;
2289 case 1:
2290 mmu_idx = secure ? ARMMMUIdx_S1SE0 : ARMMMUIdx_S1NSE0;
2291 break;
2292 default:
2293 g_assert_not_reached();
2294 }
2295 break;
2296 case 4:
2297 /* stage 1+2 NonSecure PL1: ATS12NSOPR, ATS12NSOPW */
2298 mmu_idx = ARMMMUIdx_S12NSE1;
2299 break;
2300 case 6:
2301 /* stage 1+2 NonSecure PL0: ATS12NSOUR, ATS12NSOUW */
2302 mmu_idx = ARMMMUIdx_S12NSE0;
2303 break;
2304 default:
2305 g_assert_not_reached();
2306 }
2307
2308 par64 = do_ats_write(env, value, access_type, mmu_idx);
01c097f7
FA
2309
2310 A32_BANKED_CURRENT_REG_SET(env, par, par64);
4a501606 2311}
060e8a48 2312
14db7fe0
PM
2313static void ats1h_write(CPUARMState *env, const ARMCPRegInfo *ri,
2314 uint64_t value)
2315{
03ae85f8 2316 MMUAccessType access_type = ri->opc2 & 1 ? MMU_DATA_STORE : MMU_DATA_LOAD;
14db7fe0
PM
2317 uint64_t par64;
2318
2319 par64 = do_ats_write(env, value, access_type, ARMMMUIdx_S2NS);
2320
2321 A32_BANKED_CURRENT_REG_SET(env, par, par64);
2322}
2323
3f208fd7
PM
2324static CPAccessResult at_s1e2_access(CPUARMState *env, const ARMCPRegInfo *ri,
2325 bool isread)
2a47df95
PM
2326{
2327 if (arm_current_el(env) == 3 && !(env->cp15.scr_el3 & SCR_NS)) {
2328 return CP_ACCESS_TRAP;
2329 }
2330 return CP_ACCESS_OK;
2331}
2332
060e8a48
PM
2333static void ats_write64(CPUARMState *env, const ARMCPRegInfo *ri,
2334 uint64_t value)
2335{
03ae85f8 2336 MMUAccessType access_type = ri->opc2 & 1 ? MMU_DATA_STORE : MMU_DATA_LOAD;
d3649702
PM
2337 ARMMMUIdx mmu_idx;
2338 int secure = arm_is_secure_below_el3(env);
2339
2340 switch (ri->opc2 & 6) {
2341 case 0:
2342 switch (ri->opc1) {
2343 case 0: /* AT S1E1R, AT S1E1W */
2344 mmu_idx = secure ? ARMMMUIdx_S1SE1 : ARMMMUIdx_S1NSE1;
2345 break;
2346 case 4: /* AT S1E2R, AT S1E2W */
2347 mmu_idx = ARMMMUIdx_S1E2;
2348 break;
2349 case 6: /* AT S1E3R, AT S1E3W */
2350 mmu_idx = ARMMMUIdx_S1E3;
2351 break;
2352 default:
2353 g_assert_not_reached();
2354 }
2355 break;
2356 case 2: /* AT S1E0R, AT S1E0W */
2357 mmu_idx = secure ? ARMMMUIdx_S1SE0 : ARMMMUIdx_S1NSE0;
2358 break;
2359 case 4: /* AT S12E1R, AT S12E1W */
2a47df95 2360 mmu_idx = secure ? ARMMMUIdx_S1SE1 : ARMMMUIdx_S12NSE1;
d3649702
PM
2361 break;
2362 case 6: /* AT S12E0R, AT S12E0W */
2a47df95 2363 mmu_idx = secure ? ARMMMUIdx_S1SE0 : ARMMMUIdx_S12NSE0;
d3649702
PM
2364 break;
2365 default:
2366 g_assert_not_reached();
2367 }
060e8a48 2368
d3649702 2369 env->cp15.par_el[1] = do_ats_write(env, value, access_type, mmu_idx);
060e8a48 2370}
4a501606
PM
2371#endif
2372
2373static const ARMCPRegInfo vapa_cp_reginfo[] = {
2374 { .name = "PAR", .cp = 15, .crn = 7, .crm = 4, .opc1 = 0, .opc2 = 0,
2375 .access = PL1_RW, .resetvalue = 0,
01c097f7
FA
2376 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.par_s),
2377 offsetoflow32(CPUARMState, cp15.par_ns) },
4a501606
PM
2378 .writefn = par_write },
2379#ifndef CONFIG_USER_ONLY
87562e4f 2380 /* This underdecoding is safe because the reginfo is NO_RAW. */
4a501606 2381 { .name = "ATS", .cp = 15, .crn = 7, .crm = 8, .opc1 = 0, .opc2 = CP_ANY,
92611c00 2382 .access = PL1_W, .accessfn = ats_access,
7a0e58fa 2383 .writefn = ats_write, .type = ARM_CP_NO_RAW },
4a501606
PM
2384#endif
2385 REGINFO_SENTINEL
2386};
2387
18032bec
PM
2388/* Return basic MPU access permission bits. */
2389static uint32_t simple_mpu_ap_bits(uint32_t val)
2390{
2391 uint32_t ret;
2392 uint32_t mask;
2393 int i;
2394 ret = 0;
2395 mask = 3;
2396 for (i = 0; i < 16; i += 2) {
2397 ret |= (val >> i) & mask;
2398 mask <<= 2;
2399 }
2400 return ret;
2401}
2402
2403/* Pad basic MPU access permission bits to extended format. */
2404static uint32_t extended_mpu_ap_bits(uint32_t val)
2405{
2406 uint32_t ret;
2407 uint32_t mask;
2408 int i;
2409 ret = 0;
2410 mask = 3;
2411 for (i = 0; i < 16; i += 2) {
2412 ret |= (val & mask) << i;
2413 mask <<= 2;
2414 }
2415 return ret;
2416}
2417
c4241c7d
PM
2418static void pmsav5_data_ap_write(CPUARMState *env, const ARMCPRegInfo *ri,
2419 uint64_t value)
18032bec 2420{
7e09797c 2421 env->cp15.pmsav5_data_ap = extended_mpu_ap_bits(value);
18032bec
PM
2422}
2423
c4241c7d 2424static uint64_t pmsav5_data_ap_read(CPUARMState *env, const ARMCPRegInfo *ri)
18032bec 2425{
7e09797c 2426 return simple_mpu_ap_bits(env->cp15.pmsav5_data_ap);
18032bec
PM
2427}
2428
c4241c7d
PM
2429static void pmsav5_insn_ap_write(CPUARMState *env, const ARMCPRegInfo *ri,
2430 uint64_t value)
18032bec 2431{
7e09797c 2432 env->cp15.pmsav5_insn_ap = extended_mpu_ap_bits(value);
18032bec
PM
2433}
2434
c4241c7d 2435static uint64_t pmsav5_insn_ap_read(CPUARMState *env, const ARMCPRegInfo *ri)
18032bec 2436{
7e09797c 2437 return simple_mpu_ap_bits(env->cp15.pmsav5_insn_ap);
18032bec
PM
2438}
2439
6cb0b013
PC
2440static uint64_t pmsav7_read(CPUARMState *env, const ARMCPRegInfo *ri)
2441{
2442 uint32_t *u32p = *(uint32_t **)raw_ptr(env, ri);
2443
2444 if (!u32p) {
2445 return 0;
2446 }
2447
1bc04a88 2448 u32p += env->pmsav7.rnr[M_REG_NS];
6cb0b013
PC
2449 return *u32p;
2450}
2451
2452static void pmsav7_write(CPUARMState *env, const ARMCPRegInfo *ri,
2453 uint64_t value)
2454{
2455 ARMCPU *cpu = arm_env_get_cpu(env);
2456 uint32_t *u32p = *(uint32_t **)raw_ptr(env, ri);
2457
2458 if (!u32p) {
2459 return;
2460 }
2461
1bc04a88 2462 u32p += env->pmsav7.rnr[M_REG_NS];
d10eb08f 2463 tlb_flush(CPU(cpu)); /* Mappings may have changed - purge! */
6cb0b013
PC
2464 *u32p = value;
2465}
2466
6cb0b013
PC
2467static void pmsav7_rgnr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2468 uint64_t value)
2469{
2470 ARMCPU *cpu = arm_env_get_cpu(env);
2471 uint32_t nrgs = cpu->pmsav7_dregion;
2472
2473 if (value >= nrgs) {
2474 qemu_log_mask(LOG_GUEST_ERROR,
2475 "PMSAv7 RGNR write >= # supported regions, %" PRIu32
2476 " > %" PRIu32 "\n", (uint32_t)value, nrgs);
2477 return;
2478 }
2479
2480 raw_write(env, ri, value);
2481}
2482
2483static const ARMCPRegInfo pmsav7_cp_reginfo[] = {
69ceea64
PM
2484 /* Reset for all these registers is handled in arm_cpu_reset(),
2485 * because the PMSAv7 is also used by M-profile CPUs, which do
2486 * not register cpregs but still need the state to be reset.
2487 */
6cb0b013
PC
2488 { .name = "DRBAR", .cp = 15, .crn = 6, .opc1 = 0, .crm = 1, .opc2 = 0,
2489 .access = PL1_RW, .type = ARM_CP_NO_RAW,
2490 .fieldoffset = offsetof(CPUARMState, pmsav7.drbar),
69ceea64
PM
2491 .readfn = pmsav7_read, .writefn = pmsav7_write,
2492 .resetfn = arm_cp_reset_ignore },
6cb0b013
PC
2493 { .name = "DRSR", .cp = 15, .crn = 6, .opc1 = 0, .crm = 1, .opc2 = 2,
2494 .access = PL1_RW, .type = ARM_CP_NO_RAW,
2495 .fieldoffset = offsetof(CPUARMState, pmsav7.drsr),
69ceea64
PM
2496 .readfn = pmsav7_read, .writefn = pmsav7_write,
2497 .resetfn = arm_cp_reset_ignore },
6cb0b013
PC
2498 { .name = "DRACR", .cp = 15, .crn = 6, .opc1 = 0, .crm = 1, .opc2 = 4,
2499 .access = PL1_RW, .type = ARM_CP_NO_RAW,
2500 .fieldoffset = offsetof(CPUARMState, pmsav7.dracr),
69ceea64
PM
2501 .readfn = pmsav7_read, .writefn = pmsav7_write,
2502 .resetfn = arm_cp_reset_ignore },
6cb0b013
PC
2503 { .name = "RGNR", .cp = 15, .crn = 6, .opc1 = 0, .crm = 2, .opc2 = 0,
2504 .access = PL1_RW,
1bc04a88 2505 .fieldoffset = offsetof(CPUARMState, pmsav7.rnr[M_REG_NS]),
69ceea64
PM
2506 .writefn = pmsav7_rgnr_write,
2507 .resetfn = arm_cp_reset_ignore },
6cb0b013
PC
2508 REGINFO_SENTINEL
2509};
2510
18032bec
PM
2511static const ARMCPRegInfo pmsav5_cp_reginfo[] = {
2512 { .name = "DATA_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 0,
7a0e58fa 2513 .access = PL1_RW, .type = ARM_CP_ALIAS,
7e09797c 2514 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_data_ap),
18032bec
PM
2515 .readfn = pmsav5_data_ap_read, .writefn = pmsav5_data_ap_write, },
2516 { .name = "INSN_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 1,
7a0e58fa 2517 .access = PL1_RW, .type = ARM_CP_ALIAS,
7e09797c 2518 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_insn_ap),
18032bec
PM
2519 .readfn = pmsav5_insn_ap_read, .writefn = pmsav5_insn_ap_write, },
2520 { .name = "DATA_EXT_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 2,
2521 .access = PL1_RW,
7e09797c
PM
2522 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_data_ap),
2523 .resetvalue = 0, },
18032bec
PM
2524 { .name = "INSN_EXT_AP", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 3,
2525 .access = PL1_RW,
7e09797c
PM
2526 .fieldoffset = offsetof(CPUARMState, cp15.pmsav5_insn_ap),
2527 .resetvalue = 0, },
ecce5c3c
PM
2528 { .name = "DCACHE_CFG", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 0,
2529 .access = PL1_RW,
2530 .fieldoffset = offsetof(CPUARMState, cp15.c2_data), .resetvalue = 0, },
2531 { .name = "ICACHE_CFG", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 1,
2532 .access = PL1_RW,
2533 .fieldoffset = offsetof(CPUARMState, cp15.c2_insn), .resetvalue = 0, },
06d76f31 2534 /* Protection region base and size registers */
e508a92b
PM
2535 { .name = "946_PRBS0", .cp = 15, .crn = 6, .crm = 0, .opc1 = 0,
2536 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2537 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[0]) },
2538 { .name = "946_PRBS1", .cp = 15, .crn = 6, .crm = 1, .opc1 = 0,
2539 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2540 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[1]) },
2541 { .name = "946_PRBS2", .cp = 15, .crn = 6, .crm = 2, .opc1 = 0,
2542 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2543 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[2]) },
2544 { .name = "946_PRBS3", .cp = 15, .crn = 6, .crm = 3, .opc1 = 0,
2545 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2546 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[3]) },
2547 { .name = "946_PRBS4", .cp = 15, .crn = 6, .crm = 4, .opc1 = 0,
2548 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2549 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[4]) },
2550 { .name = "946_PRBS5", .cp = 15, .crn = 6, .crm = 5, .opc1 = 0,
2551 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2552 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[5]) },
2553 { .name = "946_PRBS6", .cp = 15, .crn = 6, .crm = 6, .opc1 = 0,
2554 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2555 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[6]) },
2556 { .name = "946_PRBS7", .cp = 15, .crn = 6, .crm = 7, .opc1 = 0,
2557 .opc2 = CP_ANY, .access = PL1_RW, .resetvalue = 0,
2558 .fieldoffset = offsetof(CPUARMState, cp15.c6_region[7]) },
18032bec
PM
2559 REGINFO_SENTINEL
2560};
2561
c4241c7d
PM
2562static void vmsa_ttbcr_raw_write(CPUARMState *env, const ARMCPRegInfo *ri,
2563 uint64_t value)
ecce5c3c 2564{
11f136ee 2565 TCR *tcr = raw_ptr(env, ri);
2ebcebe2
PM
2566 int maskshift = extract32(value, 0, 3);
2567
e389be16
FA
2568 if (!arm_feature(env, ARM_FEATURE_V8)) {
2569 if (arm_feature(env, ARM_FEATURE_LPAE) && (value & TTBCR_EAE)) {
2570 /* Pre ARMv8 bits [21:19], [15:14] and [6:3] are UNK/SBZP when
2571 * using Long-desciptor translation table format */
2572 value &= ~((7 << 19) | (3 << 14) | (0xf << 3));
2573 } else if (arm_feature(env, ARM_FEATURE_EL3)) {
2574 /* In an implementation that includes the Security Extensions
2575 * TTBCR has additional fields PD0 [4] and PD1 [5] for
2576 * Short-descriptor translation table format.
2577 */
2578 value &= TTBCR_PD1 | TTBCR_PD0 | TTBCR_N;
2579 } else {
2580 value &= TTBCR_N;
2581 }
e42c4db3 2582 }
e389be16 2583
b6af0975 2584 /* Update the masks corresponding to the TCR bank being written
11f136ee 2585 * Note that we always calculate mask and base_mask, but
e42c4db3 2586 * they are only used for short-descriptor tables (ie if EAE is 0);
11f136ee
FA
2587 * for long-descriptor tables the TCR fields are used differently
2588 * and the mask and base_mask values are meaningless.
e42c4db3 2589 */
11f136ee
FA
2590 tcr->raw_tcr = value;
2591 tcr->mask = ~(((uint32_t)0xffffffffu) >> maskshift);
2592 tcr->base_mask = ~((uint32_t)0x3fffu >> maskshift);
ecce5c3c
PM
2593}
2594
c4241c7d
PM
2595static void vmsa_ttbcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2596 uint64_t value)
d4e6df63 2597{
00c8cb0a
AF
2598 ARMCPU *cpu = arm_env_get_cpu(env);
2599
d4e6df63
PM
2600 if (arm_feature(env, ARM_FEATURE_LPAE)) {
2601 /* With LPAE the TTBCR could result in a change of ASID
2602 * via the TTBCR.A1 bit, so do a TLB flush.
2603 */
d10eb08f 2604 tlb_flush(CPU(cpu));
d4e6df63 2605 }
c4241c7d 2606 vmsa_ttbcr_raw_write(env, ri, value);
d4e6df63
PM
2607}
2608
ecce5c3c
PM
2609static void vmsa_ttbcr_reset(CPUARMState *env, const ARMCPRegInfo *ri)
2610{
11f136ee
FA
2611 TCR *tcr = raw_ptr(env, ri);
2612
2613 /* Reset both the TCR as well as the masks corresponding to the bank of
2614 * the TCR being reset.
2615 */
2616 tcr->raw_tcr = 0;
2617 tcr->mask = 0;
2618 tcr->base_mask = 0xffffc000u;
ecce5c3c
PM
2619}
2620
cb2e37df
PM
2621static void vmsa_tcr_el1_write(CPUARMState *env, const ARMCPRegInfo *ri,
2622 uint64_t value)
2623{
00c8cb0a 2624 ARMCPU *cpu = arm_env_get_cpu(env);
11f136ee 2625 TCR *tcr = raw_ptr(env, ri);
00c8cb0a 2626
cb2e37df 2627 /* For AArch64 the A1 bit could result in a change of ASID, so TLB flush. */
d10eb08f 2628 tlb_flush(CPU(cpu));
11f136ee 2629 tcr->raw_tcr = value;
cb2e37df
PM
2630}
2631
327ed10f
PM
2632static void vmsa_ttbr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2633 uint64_t value)
2634{
2635 /* 64 bit accesses to the TTBRs can change the ASID and so we
2636 * must flush the TLB.
2637 */
2638 if (cpreg_field_is_64bit(ri)) {
00c8cb0a
AF
2639 ARMCPU *cpu = arm_env_get_cpu(env);
2640
d10eb08f 2641 tlb_flush(CPU(cpu));
327ed10f
PM
2642 }
2643 raw_write(env, ri, value);
2644}
2645
b698e9cf
EI
2646static void vttbr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2647 uint64_t value)
2648{
2649 ARMCPU *cpu = arm_env_get_cpu(env);
2650 CPUState *cs = CPU(cpu);
2651
2652 /* Accesses to VTTBR may change the VMID so we must flush the TLB. */
2653 if (raw_read(env, ri) != value) {
0336cbf8 2654 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
2655 ARMMMUIdxBit_S12NSE1 |
2656 ARMMMUIdxBit_S12NSE0 |
2657 ARMMMUIdxBit_S2NS);
b698e9cf
EI
2658 raw_write(env, ri, value);
2659 }
2660}
2661
8e5d75c9 2662static const ARMCPRegInfo vmsa_pmsa_cp_reginfo[] = {
18032bec 2663 { .name = "DFSR", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 0,
7a0e58fa 2664 .access = PL1_RW, .type = ARM_CP_ALIAS,
4a7e2d73 2665 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.dfsr_s),
b061a82b 2666 offsetoflow32(CPUARMState, cp15.dfsr_ns) }, },
18032bec 2667 { .name = "IFSR", .cp = 15, .crn = 5, .crm = 0, .opc1 = 0, .opc2 = 1,
88ca1c2d
FA
2668 .access = PL1_RW, .resetvalue = 0,
2669 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.ifsr_s),
2670 offsetoflow32(CPUARMState, cp15.ifsr_ns) } },
8e5d75c9
PC
2671 { .name = "DFAR", .cp = 15, .opc1 = 0, .crn = 6, .crm = 0, .opc2 = 0,
2672 .access = PL1_RW, .resetvalue = 0,
2673 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.dfar_s),
2674 offsetof(CPUARMState, cp15.dfar_ns) } },
2675 { .name = "FAR_EL1", .state = ARM_CP_STATE_AA64,
2676 .opc0 = 3, .crn = 6, .crm = 0, .opc1 = 0, .opc2 = 0,
2677 .access = PL1_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[1]),
2678 .resetvalue = 0, },
2679 REGINFO_SENTINEL
2680};
2681
2682static const ARMCPRegInfo vmsa_cp_reginfo[] = {
6cd8a264
RH
2683 { .name = "ESR_EL1", .state = ARM_CP_STATE_AA64,
2684 .opc0 = 3, .crn = 5, .crm = 2, .opc1 = 0, .opc2 = 0,
2685 .access = PL1_RW,
d81c519c 2686 .fieldoffset = offsetof(CPUARMState, cp15.esr_el[1]), .resetvalue = 0, },
327ed10f 2687 { .name = "TTBR0_EL1", .state = ARM_CP_STATE_BOTH,
7dd8c9af
FA
2688 .opc0 = 3, .opc1 = 0, .crn = 2, .crm = 0, .opc2 = 0,
2689 .access = PL1_RW, .writefn = vmsa_ttbr_write, .resetvalue = 0,
2690 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.ttbr0_s),
2691 offsetof(CPUARMState, cp15.ttbr0_ns) } },
327ed10f 2692 { .name = "TTBR1_EL1", .state = ARM_CP_STATE_BOTH,
7dd8c9af
FA
2693 .opc0 = 3, .opc1 = 0, .crn = 2, .crm = 0, .opc2 = 1,
2694 .access = PL1_RW, .writefn = vmsa_ttbr_write, .resetvalue = 0,
2695 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.ttbr1_s),
2696 offsetof(CPUARMState, cp15.ttbr1_ns) } },
cb2e37df
PM
2697 { .name = "TCR_EL1", .state = ARM_CP_STATE_AA64,
2698 .opc0 = 3, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 2,
2699 .access = PL1_RW, .writefn = vmsa_tcr_el1_write,
2700 .resetfn = vmsa_ttbcr_reset, .raw_writefn = raw_write,
11f136ee 2701 .fieldoffset = offsetof(CPUARMState, cp15.tcr_el[1]) },
cb2e37df 2702 { .name = "TTBCR", .cp = 15, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 2,
7a0e58fa 2703 .access = PL1_RW, .type = ARM_CP_ALIAS, .writefn = vmsa_ttbcr_write,
b061a82b 2704 .raw_writefn = vmsa_ttbcr_raw_write,
11f136ee
FA
2705 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.tcr_el[3]),
2706 offsetoflow32(CPUARMState, cp15.tcr_el[1])} },
18032bec
PM
2707 REGINFO_SENTINEL
2708};
2709
c4241c7d
PM
2710static void omap_ticonfig_write(CPUARMState *env, const ARMCPRegInfo *ri,
2711 uint64_t value)
1047b9d7
PM
2712{
2713 env->cp15.c15_ticonfig = value & 0xe7;
2714 /* The OS_TYPE bit in this register changes the reported CPUID! */
2715 env->cp15.c0_cpuid = (value & (1 << 5)) ?
2716 ARM_CPUID_TI915T : ARM_CPUID_TI925T;
1047b9d7
PM
2717}
2718
c4241c7d
PM
2719static void omap_threadid_write(CPUARMState *env, const ARMCPRegInfo *ri,
2720 uint64_t value)
1047b9d7
PM
2721{
2722 env->cp15.c15_threadid = value & 0xffff;
1047b9d7
PM
2723}
2724
c4241c7d
PM
2725static void omap_wfi_write(CPUARMState *env, const ARMCPRegInfo *ri,
2726 uint64_t value)
1047b9d7
PM
2727{
2728 /* Wait-for-interrupt (deprecated) */
c3affe56 2729 cpu_interrupt(CPU(arm_env_get_cpu(env)), CPU_INTERRUPT_HALT);
1047b9d7
PM
2730}
2731
c4241c7d
PM
2732static void omap_cachemaint_write(CPUARMState *env, const ARMCPRegInfo *ri,
2733 uint64_t value)
c4804214
PM
2734{
2735 /* On OMAP there are registers indicating the max/min index of dcache lines
2736 * containing a dirty line; cache flush operations have to reset these.
2737 */
2738 env->cp15.c15_i_max = 0x000;
2739 env->cp15.c15_i_min = 0xff0;
c4804214
PM
2740}
2741
18032bec
PM
2742static const ARMCPRegInfo omap_cp_reginfo[] = {
2743 { .name = "DFSR", .cp = 15, .crn = 5, .crm = CP_ANY,
2744 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW, .type = ARM_CP_OVERRIDE,
d81c519c 2745 .fieldoffset = offsetoflow32(CPUARMState, cp15.esr_el[1]),
6cd8a264 2746 .resetvalue = 0, },
1047b9d7
PM
2747 { .name = "", .cp = 15, .crn = 15, .crm = 0, .opc1 = 0, .opc2 = 0,
2748 .access = PL1_RW, .type = ARM_CP_NOP },
2749 { .name = "TICONFIG", .cp = 15, .crn = 15, .crm = 1, .opc1 = 0, .opc2 = 0,
2750 .access = PL1_RW,
2751 .fieldoffset = offsetof(CPUARMState, cp15.c15_ticonfig), .resetvalue = 0,
2752 .writefn = omap_ticonfig_write },
2753 { .name = "IMAX", .cp = 15, .crn = 15, .crm = 2, .opc1 = 0, .opc2 = 0,
2754 .access = PL1_RW,
2755 .fieldoffset = offsetof(CPUARMState, cp15.c15_i_max), .resetvalue = 0, },
2756 { .name = "IMIN", .cp = 15, .crn = 15, .crm = 3, .opc1 = 0, .opc2 = 0,
2757 .access = PL1_RW, .resetvalue = 0xff0,
2758 .fieldoffset = offsetof(CPUARMState, cp15.c15_i_min) },
2759 { .name = "THREADID", .cp = 15, .crn = 15, .crm = 4, .opc1 = 0, .opc2 = 0,
2760 .access = PL1_RW,
2761 .fieldoffset = offsetof(CPUARMState, cp15.c15_threadid), .resetvalue = 0,
2762 .writefn = omap_threadid_write },
2763 { .name = "TI925T_STATUS", .cp = 15, .crn = 15,
2764 .crm = 8, .opc1 = 0, .opc2 = 0, .access = PL1_RW,
7a0e58fa 2765 .type = ARM_CP_NO_RAW,
1047b9d7
PM
2766 .readfn = arm_cp_read_zero, .writefn = omap_wfi_write, },
2767 /* TODO: Peripheral port remap register:
2768 * On OMAP2 mcr p15, 0, rn, c15, c2, 4 sets up the interrupt controller
2769 * base address at $rn & ~0xfff and map size of 0x200 << ($rn & 0xfff),
2770 * when MMU is off.
2771 */
c4804214 2772 { .name = "OMAP_CACHEMAINT", .cp = 15, .crn = 7, .crm = CP_ANY,
d4e6df63 2773 .opc1 = 0, .opc2 = CP_ANY, .access = PL1_W,
7a0e58fa 2774 .type = ARM_CP_OVERRIDE | ARM_CP_NO_RAW,
c4804214 2775 .writefn = omap_cachemaint_write },
34f90529
PM
2776 { .name = "C9", .cp = 15, .crn = 9,
2777 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_RW,
2778 .type = ARM_CP_CONST | ARM_CP_OVERRIDE, .resetvalue = 0 },
1047b9d7
PM
2779 REGINFO_SENTINEL
2780};
2781
c4241c7d
PM
2782static void xscale_cpar_write(CPUARMState *env, const ARMCPRegInfo *ri,
2783 uint64_t value)
1047b9d7 2784{
c0f4af17 2785 env->cp15.c15_cpar = value & 0x3fff;
1047b9d7
PM
2786}
2787
2788static const ARMCPRegInfo xscale_cp_reginfo[] = {
2789 { .name = "XSCALE_CPAR",
2790 .cp = 15, .crn = 15, .crm = 1, .opc1 = 0, .opc2 = 0, .access = PL1_RW,
2791 .fieldoffset = offsetof(CPUARMState, cp15.c15_cpar), .resetvalue = 0,
2792 .writefn = xscale_cpar_write, },
2771db27
PM
2793 { .name = "XSCALE_AUXCR",
2794 .cp = 15, .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 1, .access = PL1_RW,
2795 .fieldoffset = offsetof(CPUARMState, cp15.c1_xscaleauxcr),
2796 .resetvalue = 0, },
3b771579
PM
2797 /* XScale specific cache-lockdown: since we have no cache we NOP these
2798 * and hope the guest does not really rely on cache behaviour.
2799 */
2800 { .name = "XSCALE_LOCK_ICACHE_LINE",
2801 .cp = 15, .opc1 = 0, .crn = 9, .crm = 1, .opc2 = 0,
2802 .access = PL1_W, .type = ARM_CP_NOP },
2803 { .name = "XSCALE_UNLOCK_ICACHE",
2804 .cp = 15, .opc1 = 0, .crn = 9, .crm = 1, .opc2 = 1,
2805 .access = PL1_W, .type = ARM_CP_NOP },
2806 { .name = "XSCALE_DCACHE_LOCK",
2807 .cp = 15, .opc1 = 0, .crn = 9, .crm = 2, .opc2 = 0,
2808 .access = PL1_RW, .type = ARM_CP_NOP },
2809 { .name = "XSCALE_UNLOCK_DCACHE",
2810 .cp = 15, .opc1 = 0, .crn = 9, .crm = 2, .opc2 = 1,
2811 .access = PL1_W, .type = ARM_CP_NOP },
1047b9d7
PM
2812 REGINFO_SENTINEL
2813};
2814
2815static const ARMCPRegInfo dummy_c15_cp_reginfo[] = {
2816 /* RAZ/WI the whole crn=15 space, when we don't have a more specific
2817 * implementation of this implementation-defined space.
2818 * Ideally this should eventually disappear in favour of actually
2819 * implementing the correct behaviour for all cores.
2820 */
2821 { .name = "C15_IMPDEF", .cp = 15, .crn = 15,
2822 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY,
3671cd87 2823 .access = PL1_RW,
7a0e58fa 2824 .type = ARM_CP_CONST | ARM_CP_NO_RAW | ARM_CP_OVERRIDE,
d4e6df63 2825 .resetvalue = 0 },
18032bec
PM
2826 REGINFO_SENTINEL
2827};
2828
c4804214
PM
2829static const ARMCPRegInfo cache_dirty_status_cp_reginfo[] = {
2830 /* Cache status: RAZ because we have no cache so it's always clean */
2831 { .name = "CDSR", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 6,
7a0e58fa 2832 .access = PL1_R, .type = ARM_CP_CONST | ARM_CP_NO_RAW,
d4e6df63 2833 .resetvalue = 0 },
c4804214
PM
2834 REGINFO_SENTINEL
2835};
2836
2837static const ARMCPRegInfo cache_block_ops_cp_reginfo[] = {
2838 /* We never have a a block transfer operation in progress */
2839 { .name = "BXSR", .cp = 15, .crn = 7, .crm = 12, .opc1 = 0, .opc2 = 4,
7a0e58fa 2840 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_RAW,
d4e6df63 2841 .resetvalue = 0 },
30b05bba
PM
2842 /* The cache ops themselves: these all NOP for QEMU */
2843 { .name = "IICR", .cp = 15, .crm = 5, .opc1 = 0,
2844 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
2845 { .name = "IDCR", .cp = 15, .crm = 6, .opc1 = 0,
2846 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
2847 { .name = "CDCR", .cp = 15, .crm = 12, .opc1 = 0,
2848 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
2849 { .name = "PIR", .cp = 15, .crm = 12, .opc1 = 1,
2850 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
2851 { .name = "PDR", .cp = 15, .crm = 12, .opc1 = 2,
2852 .access = PL0_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
2853 { .name = "CIDCR", .cp = 15, .crm = 14, .opc1 = 0,
2854 .access = PL1_W, .type = ARM_CP_NOP|ARM_CP_64BIT },
c4804214
PM
2855 REGINFO_SENTINEL
2856};
2857
2858static const ARMCPRegInfo cache_test_clean_cp_reginfo[] = {
2859 /* The cache test-and-clean instructions always return (1 << 30)
2860 * to indicate that there are no dirty cache lines.
2861 */
2862 { .name = "TC_DCACHE", .cp = 15, .crn = 7, .crm = 10, .opc1 = 0, .opc2 = 3,
7a0e58fa 2863 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_RAW,
d4e6df63 2864 .resetvalue = (1 << 30) },
c4804214 2865 { .name = "TCI_DCACHE", .cp = 15, .crn = 7, .crm = 14, .opc1 = 0, .opc2 = 3,
7a0e58fa 2866 .access = PL0_R, .type = ARM_CP_CONST | ARM_CP_NO_RAW,
d4e6df63 2867 .resetvalue = (1 << 30) },
c4804214
PM
2868 REGINFO_SENTINEL
2869};
2870
34f90529
PM
2871static const ARMCPRegInfo strongarm_cp_reginfo[] = {
2872 /* Ignore ReadBuffer accesses */
2873 { .name = "C9_READBUFFER", .cp = 15, .crn = 9,
2874 .crm = CP_ANY, .opc1 = CP_ANY, .opc2 = CP_ANY,
d4e6df63 2875 .access = PL1_RW, .resetvalue = 0,
7a0e58fa 2876 .type = ARM_CP_CONST | ARM_CP_OVERRIDE | ARM_CP_NO_RAW },
34f90529
PM
2877 REGINFO_SENTINEL
2878};
2879
731de9e6
EI
2880static uint64_t midr_read(CPUARMState *env, const ARMCPRegInfo *ri)
2881{
2882 ARMCPU *cpu = arm_env_get_cpu(env);
2883 unsigned int cur_el = arm_current_el(env);
2884 bool secure = arm_is_secure(env);
2885
2886 if (arm_feature(&cpu->env, ARM_FEATURE_EL2) && !secure && cur_el == 1) {
2887 return env->cp15.vpidr_el2;
2888 }
2889 return raw_read(env, ri);
2890}
2891
06a7e647 2892static uint64_t mpidr_read_val(CPUARMState *env)
81bdde9d 2893{
eb5e1d3c
PF
2894 ARMCPU *cpu = ARM_CPU(arm_env_get_cpu(env));
2895 uint64_t mpidr = cpu->mp_affinity;
2896
81bdde9d 2897 if (arm_feature(env, ARM_FEATURE_V7MP)) {
78dbbbe4 2898 mpidr |= (1U << 31);
81bdde9d
PM
2899 /* Cores which are uniprocessor (non-coherent)
2900 * but still implement the MP extensions set
a8e81b31 2901 * bit 30. (For instance, Cortex-R5).
81bdde9d 2902 */
a8e81b31
PC
2903 if (cpu->mp_is_up) {
2904 mpidr |= (1u << 30);
2905 }
81bdde9d 2906 }
c4241c7d 2907 return mpidr;
81bdde9d
PM
2908}
2909
06a7e647
EI
2910static uint64_t mpidr_read(CPUARMState *env, const ARMCPRegInfo *ri)
2911{
f0d574d6
EI
2912 unsigned int cur_el = arm_current_el(env);
2913 bool secure = arm_is_secure(env);
2914
2915 if (arm_feature(env, ARM_FEATURE_EL2) && !secure && cur_el == 1) {
2916 return env->cp15.vmpidr_el2;
2917 }
06a7e647
EI
2918 return mpidr_read_val(env);
2919}
2920
81bdde9d 2921static const ARMCPRegInfo mpidr_cp_reginfo[] = {
4b7fff2f
PM
2922 { .name = "MPIDR", .state = ARM_CP_STATE_BOTH,
2923 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 5,
7a0e58fa 2924 .access = PL1_R, .readfn = mpidr_read, .type = ARM_CP_NO_RAW },
81bdde9d
PM
2925 REGINFO_SENTINEL
2926};
2927
7ac681cf 2928static const ARMCPRegInfo lpae_cp_reginfo[] = {
a903c449 2929 /* NOP AMAIR0/1 */
b0fe2427
PM
2930 { .name = "AMAIR0", .state = ARM_CP_STATE_BOTH,
2931 .opc0 = 3, .crn = 10, .crm = 3, .opc1 = 0, .opc2 = 0,
a903c449 2932 .access = PL1_RW, .type = ARM_CP_CONST,
7ac681cf 2933 .resetvalue = 0 },
b0fe2427 2934 /* AMAIR1 is mapped to AMAIR_EL1[63:32] */
7ac681cf 2935 { .name = "AMAIR1", .cp = 15, .crn = 10, .crm = 3, .opc1 = 0, .opc2 = 1,
a903c449 2936 .access = PL1_RW, .type = ARM_CP_CONST,
7ac681cf 2937 .resetvalue = 0 },
891a2fe7 2938 { .name = "PAR", .cp = 15, .crm = 7, .opc1 = 0,
01c097f7
FA
2939 .access = PL1_RW, .type = ARM_CP_64BIT, .resetvalue = 0,
2940 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.par_s),
2941 offsetof(CPUARMState, cp15.par_ns)} },
891a2fe7 2942 { .name = "TTBR0", .cp = 15, .crm = 2, .opc1 = 0,
7a0e58fa 2943 .access = PL1_RW, .type = ARM_CP_64BIT | ARM_CP_ALIAS,
7dd8c9af
FA
2944 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.ttbr0_s),
2945 offsetof(CPUARMState, cp15.ttbr0_ns) },
b061a82b 2946 .writefn = vmsa_ttbr_write, },
891a2fe7 2947 { .name = "TTBR1", .cp = 15, .crm = 2, .opc1 = 1,
7a0e58fa 2948 .access = PL1_RW, .type = ARM_CP_64BIT | ARM_CP_ALIAS,
7dd8c9af
FA
2949 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.ttbr1_s),
2950 offsetof(CPUARMState, cp15.ttbr1_ns) },
b061a82b 2951 .writefn = vmsa_ttbr_write, },
7ac681cf
PM
2952 REGINFO_SENTINEL
2953};
2954
c4241c7d 2955static uint64_t aa64_fpcr_read(CPUARMState *env, const ARMCPRegInfo *ri)
b0d2b7d0 2956{
c4241c7d 2957 return vfp_get_fpcr(env);
b0d2b7d0
PM
2958}
2959
c4241c7d
PM
2960static void aa64_fpcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2961 uint64_t value)
b0d2b7d0
PM
2962{
2963 vfp_set_fpcr(env, value);
b0d2b7d0
PM
2964}
2965
c4241c7d 2966static uint64_t aa64_fpsr_read(CPUARMState *env, const ARMCPRegInfo *ri)
b0d2b7d0 2967{
c4241c7d 2968 return vfp_get_fpsr(env);
b0d2b7d0
PM
2969}
2970
c4241c7d
PM
2971static void aa64_fpsr_write(CPUARMState *env, const ARMCPRegInfo *ri,
2972 uint64_t value)
b0d2b7d0
PM
2973{
2974 vfp_set_fpsr(env, value);
b0d2b7d0
PM
2975}
2976
3f208fd7
PM
2977static CPAccessResult aa64_daif_access(CPUARMState *env, const ARMCPRegInfo *ri,
2978 bool isread)
c2b820fe 2979{
137feaa9 2980 if (arm_current_el(env) == 0 && !(env->cp15.sctlr_el[1] & SCTLR_UMA)) {
c2b820fe
PM
2981 return CP_ACCESS_TRAP;
2982 }
2983 return CP_ACCESS_OK;
2984}
2985
2986static void aa64_daif_write(CPUARMState *env, const ARMCPRegInfo *ri,
2987 uint64_t value)
2988{
2989 env->daif = value & PSTATE_DAIF;
2990}
2991
8af35c37 2992static CPAccessResult aa64_cacheop_access(CPUARMState *env,
3f208fd7
PM
2993 const ARMCPRegInfo *ri,
2994 bool isread)
8af35c37
PM
2995{
2996 /* Cache invalidate/clean: NOP, but EL0 must UNDEF unless
2997 * SCTLR_EL1.UCI is set.
2998 */
137feaa9 2999 if (arm_current_el(env) == 0 && !(env->cp15.sctlr_el[1] & SCTLR_UCI)) {
8af35c37
PM
3000 return CP_ACCESS_TRAP;
3001 }
3002 return CP_ACCESS_OK;
3003}
3004
dbb1fb27
AB
3005/* See: D4.7.2 TLB maintenance requirements and the TLB maintenance instructions
3006 * Page D4-1736 (DDI0487A.b)
3007 */
3008
fd3ed969
PM
3009static void tlbi_aa64_vmalle1_write(CPUARMState *env, const ARMCPRegInfo *ri,
3010 uint64_t value)
168aa23b 3011{
a67cf277 3012 CPUState *cs = ENV_GET_CPU(env);
dbb1fb27 3013
fd3ed969 3014 if (arm_is_secure_below_el3(env)) {
0336cbf8 3015 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
3016 ARMMMUIdxBit_S1SE1 |
3017 ARMMMUIdxBit_S1SE0);
fd3ed969 3018 } else {
0336cbf8 3019 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
3020 ARMMMUIdxBit_S12NSE1 |
3021 ARMMMUIdxBit_S12NSE0);
fd3ed969 3022 }
168aa23b
PM
3023}
3024
fd3ed969
PM
3025static void tlbi_aa64_vmalle1is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3026 uint64_t value)
168aa23b 3027{
a67cf277 3028 CPUState *cs = ENV_GET_CPU(env);
fd3ed969 3029 bool sec = arm_is_secure_below_el3(env);
dbb1fb27 3030
a67cf277
AB
3031 if (sec) {
3032 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
3033 ARMMMUIdxBit_S1SE1 |
3034 ARMMMUIdxBit_S1SE0);
a67cf277
AB
3035 } else {
3036 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
3037 ARMMMUIdxBit_S12NSE1 |
3038 ARMMMUIdxBit_S12NSE0);
fd3ed969 3039 }
168aa23b
PM
3040}
3041
fd3ed969
PM
3042static void tlbi_aa64_alle1_write(CPUARMState *env, const ARMCPRegInfo *ri,
3043 uint64_t value)
168aa23b 3044{
fd3ed969
PM
3045 /* Note that the 'ALL' scope must invalidate both stage 1 and
3046 * stage 2 translations, whereas most other scopes only invalidate
3047 * stage 1 translations.
3048 */
00c8cb0a 3049 ARMCPU *cpu = arm_env_get_cpu(env);
fd3ed969
PM
3050 CPUState *cs = CPU(cpu);
3051
3052 if (arm_is_secure_below_el3(env)) {
0336cbf8 3053 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
3054 ARMMMUIdxBit_S1SE1 |
3055 ARMMMUIdxBit_S1SE0);
fd3ed969
PM
3056 } else {
3057 if (arm_feature(env, ARM_FEATURE_EL2)) {
0336cbf8 3058 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
3059 ARMMMUIdxBit_S12NSE1 |
3060 ARMMMUIdxBit_S12NSE0 |
3061 ARMMMUIdxBit_S2NS);
fd3ed969 3062 } else {
0336cbf8 3063 tlb_flush_by_mmuidx(cs,
8bd5c820
PM
3064 ARMMMUIdxBit_S12NSE1 |
3065 ARMMMUIdxBit_S12NSE0);
fd3ed969
PM
3066 }
3067 }
168aa23b
PM
3068}
3069
fd3ed969 3070static void tlbi_aa64_alle2_write(CPUARMState *env, const ARMCPRegInfo *ri,
fa439fc5
PM
3071 uint64_t value)
3072{
fd3ed969
PM
3073 ARMCPU *cpu = arm_env_get_cpu(env);
3074 CPUState *cs = CPU(cpu);
3075
8bd5c820 3076 tlb_flush_by_mmuidx(cs, ARMMMUIdxBit_S1E2);
fd3ed969
PM
3077}
3078
43efaa33
PM
3079static void tlbi_aa64_alle3_write(CPUARMState *env, const ARMCPRegInfo *ri,
3080 uint64_t value)
3081{
3082 ARMCPU *cpu = arm_env_get_cpu(env);
3083 CPUState *cs = CPU(cpu);
3084
8bd5c820 3085 tlb_flush_by_mmuidx(cs, ARMMMUIdxBit_S1E3);
43efaa33
PM
3086}
3087
fd3ed969
PM
3088static void tlbi_aa64_alle1is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3089 uint64_t value)
3090{
3091 /* Note that the 'ALL' scope must invalidate both stage 1 and
3092 * stage 2 translations, whereas most other scopes only invalidate
3093 * stage 1 translations.
3094 */
a67cf277 3095 CPUState *cs = ENV_GET_CPU(env);
fd3ed969
PM
3096 bool sec = arm_is_secure_below_el3(env);
3097 bool has_el2 = arm_feature(env, ARM_FEATURE_EL2);
a67cf277
AB
3098
3099 if (sec) {
3100 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
3101 ARMMMUIdxBit_S1SE1 |
3102 ARMMMUIdxBit_S1SE0);
a67cf277
AB
3103 } else if (has_el2) {
3104 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
3105 ARMMMUIdxBit_S12NSE1 |
3106 ARMMMUIdxBit_S12NSE0 |
3107 ARMMMUIdxBit_S2NS);
a67cf277
AB
3108 } else {
3109 tlb_flush_by_mmuidx_all_cpus_synced(cs,
8bd5c820
PM
3110 ARMMMUIdxBit_S12NSE1 |
3111 ARMMMUIdxBit_S12NSE0);
fa439fc5
PM
3112 }
3113}
3114
2bfb9d75
PM
3115static void tlbi_aa64_alle2is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3116 uint64_t value)
3117{
a67cf277 3118 CPUState *cs = ENV_GET_CPU(env);
2bfb9d75 3119
8bd5c820 3120 tlb_flush_by_mmuidx_all_cpus_synced(cs, ARMMMUIdxBit_S1E2);
2bfb9d75
PM
3121}
3122
43efaa33
PM
3123static void tlbi_aa64_alle3is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3124 uint64_t value)
3125{
a67cf277 3126 CPUState *cs = ENV_GET_CPU(env);
43efaa33 3127
8bd5c820 3128 tlb_flush_by_mmuidx_all_cpus_synced(cs, ARMMMUIdxBit_S1E3);
43efaa33
PM
3129}
3130
fd3ed969
PM
3131static void tlbi_aa64_vae1_write(CPUARMState *env, const ARMCPRegInfo *ri,
3132 uint64_t value)
3133{
3134 /* Invalidate by VA, EL1&0 (AArch64 version).
3135 * Currently handles all of VAE1, VAAE1, VAALE1 and VALE1,
3136 * since we don't support flush-for-specific-ASID-only or
3137 * flush-last-level-only.
3138 */
3139 ARMCPU *cpu = arm_env_get_cpu(env);
3140 CPUState *cs = CPU(cpu);
3141 uint64_t pageaddr = sextract64(value << 12, 0, 56);
3142
3143 if (arm_is_secure_below_el3(env)) {
0336cbf8 3144 tlb_flush_page_by_mmuidx(cs, pageaddr,
8bd5c820
PM
3145 ARMMMUIdxBit_S1SE1 |
3146 ARMMMUIdxBit_S1SE0);
fd3ed969 3147 } else {
0336cbf8 3148 tlb_flush_page_by_mmuidx(cs, pageaddr,
8bd5c820
PM
3149 ARMMMUIdxBit_S12NSE1 |
3150 ARMMMUIdxBit_S12NSE0);
fd3ed969
PM
3151 }
3152}
3153
3154static void tlbi_aa64_vae2_write(CPUARMState *env, const ARMCPRegInfo *ri,
3155 uint64_t value)
fa439fc5 3156{
fd3ed969
PM
3157 /* Invalidate by VA, EL2
3158 * Currently handles both VAE2 and VALE2, since we don't support
3159 * flush-last-level-only.
3160 */
3161 ARMCPU *cpu = arm_env_get_cpu(env);
3162 CPUState *cs = CPU(cpu);
3163 uint64_t pageaddr = sextract64(value << 12, 0, 56);
3164
8bd5c820 3165 tlb_flush_page_by_mmuidx(cs, pageaddr, ARMMMUIdxBit_S1E2);
fd3ed969
PM
3166}
3167
43efaa33
PM
3168static void tlbi_aa64_vae3_write(CPUARMState *env, const ARMCPRegInfo *ri,
3169 uint64_t value)
3170{
3171 /* Invalidate by VA, EL3
3172 * Currently handles both VAE3 and VALE3, since we don't support
3173 * flush-last-level-only.
3174 */
3175 ARMCPU *cpu = arm_env_get_cpu(env);
3176 CPUState *cs = CPU(cpu);
3177 uint64_t pageaddr = sextract64(value << 12, 0, 56);
3178
8bd5c820 3179 tlb_flush_page_by_mmuidx(cs, pageaddr, ARMMMUIdxBit_S1E3);
43efaa33
PM
3180}
3181
fd3ed969
PM
3182static void tlbi_aa64_vae1is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3183 uint64_t value)
3184{
a67cf277
AB
3185 ARMCPU *cpu = arm_env_get_cpu(env);
3186 CPUState *cs = CPU(cpu);
fd3ed969 3187 bool sec = arm_is_secure_below_el3(env);
fa439fc5
PM
3188 uint64_t pageaddr = sextract64(value << 12, 0, 56);
3189
a67cf277
AB
3190 if (sec) {
3191 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820
PM
3192 ARMMMUIdxBit_S1SE1 |
3193 ARMMMUIdxBit_S1SE0);
a67cf277
AB
3194 } else {
3195 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820
PM
3196 ARMMMUIdxBit_S12NSE1 |
3197 ARMMMUIdxBit_S12NSE0);
fa439fc5
PM
3198 }
3199}
3200
fd3ed969
PM
3201static void tlbi_aa64_vae2is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3202 uint64_t value)
fa439fc5 3203{
a67cf277 3204 CPUState *cs = ENV_GET_CPU(env);
fd3ed969 3205 uint64_t pageaddr = sextract64(value << 12, 0, 56);
fa439fc5 3206
a67cf277 3207 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820 3208 ARMMMUIdxBit_S1E2);
fa439fc5
PM
3209}
3210
43efaa33
PM
3211static void tlbi_aa64_vae3is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3212 uint64_t value)
3213{
a67cf277 3214 CPUState *cs = ENV_GET_CPU(env);
43efaa33
PM
3215 uint64_t pageaddr = sextract64(value << 12, 0, 56);
3216
a67cf277 3217 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820 3218 ARMMMUIdxBit_S1E3);
43efaa33
PM
3219}
3220
cea66e91
PM
3221static void tlbi_aa64_ipas2e1_write(CPUARMState *env, const ARMCPRegInfo *ri,
3222 uint64_t value)
3223{
3224 /* Invalidate by IPA. This has to invalidate any structures that
3225 * contain only stage 2 translation information, but does not need
3226 * to apply to structures that contain combined stage 1 and stage 2
3227 * translation information.
3228 * This must NOP if EL2 isn't implemented or SCR_EL3.NS is zero.
3229 */
3230 ARMCPU *cpu = arm_env_get_cpu(env);
3231 CPUState *cs = CPU(cpu);
3232 uint64_t pageaddr;
3233
3234 if (!arm_feature(env, ARM_FEATURE_EL2) || !(env->cp15.scr_el3 & SCR_NS)) {
3235 return;
3236 }
3237
3238 pageaddr = sextract64(value << 12, 0, 48);
3239
8bd5c820 3240 tlb_flush_page_by_mmuidx(cs, pageaddr, ARMMMUIdxBit_S2NS);
cea66e91
PM
3241}
3242
3243static void tlbi_aa64_ipas2e1is_write(CPUARMState *env, const ARMCPRegInfo *ri,
3244 uint64_t value)
3245{
a67cf277 3246 CPUState *cs = ENV_GET_CPU(env);
cea66e91
PM
3247 uint64_t pageaddr;
3248
3249 if (!arm_feature(env, ARM_FEATURE_EL2) || !(env->cp15.scr_el3 & SCR_NS)) {
3250 return;
3251 }
3252
3253 pageaddr = sextract64(value << 12, 0, 48);
3254
a67cf277 3255 tlb_flush_page_by_mmuidx_all_cpus_synced(cs, pageaddr,
8bd5c820 3256 ARMMMUIdxBit_S2NS);
cea66e91
PM
3257}
3258
3f208fd7
PM
3259static CPAccessResult aa64_zva_access(CPUARMState *env, const ARMCPRegInfo *ri,
3260 bool isread)
aca3f40b
PM
3261{
3262 /* We don't implement EL2, so the only control on DC ZVA is the
3263 * bit in the SCTLR which can prohibit access for EL0.
3264 */
137feaa9 3265 if (arm_current_el(env) == 0 && !(env->cp15.sctlr_el[1] & SCTLR_DZE)) {
aca3f40b
PM
3266 return CP_ACCESS_TRAP;
3267 }
3268 return CP_ACCESS_OK;
3269}
3270
3271static uint64_t aa64_dczid_read(CPUARMState *env, const ARMCPRegInfo *ri)
3272{
3273 ARMCPU *cpu = arm_env_get_cpu(env);
3274 int dzp_bit = 1 << 4;
3275
3276 /* DZP indicates whether DC ZVA access is allowed */
3f208fd7 3277 if (aa64_zva_access(env, NULL, false) == CP_ACCESS_OK) {
aca3f40b
PM
3278 dzp_bit = 0;
3279 }
3280 return cpu->dcz_blocksize | dzp_bit;
3281}
3282
3f208fd7
PM
3283static CPAccessResult sp_el0_access(CPUARMState *env, const ARMCPRegInfo *ri,
3284 bool isread)
f502cfc2 3285{
cdcf1405 3286 if (!(env->pstate & PSTATE_SP)) {
f502cfc2
PM
3287 /* Access to SP_EL0 is undefined if it's being used as
3288 * the stack pointer.
3289 */
3290 return CP_ACCESS_TRAP_UNCATEGORIZED;
3291 }
3292 return CP_ACCESS_OK;
3293}
3294
3295static uint64_t spsel_read(CPUARMState *env, const ARMCPRegInfo *ri)
3296{
3297 return env->pstate & PSTATE_SP;
3298}
3299
3300static void spsel_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t val)
3301{
3302 update_spsel(env, val);
3303}
3304
137feaa9
FA
3305static void sctlr_write(CPUARMState *env, const ARMCPRegInfo *ri,
3306 uint64_t value)
3307{
3308 ARMCPU *cpu = arm_env_get_cpu(env);
3309
3310 if (raw_read(env, ri) == value) {
3311 /* Skip the TLB flush if nothing actually changed; Linux likes
3312 * to do a lot of pointless SCTLR writes.
3313 */
3314 return;
3315 }
3316
06312feb
PM
3317 if (arm_feature(env, ARM_FEATURE_PMSA) && !cpu->has_mpu) {
3318 /* M bit is RAZ/WI for PMSA with no MPU implemented */
3319 value &= ~SCTLR_M;
3320 }
3321
137feaa9
FA
3322 raw_write(env, ri, value);
3323 /* ??? Lots of these bits are not implemented. */
3324 /* This may enable/disable the MMU, so do a TLB flush. */
d10eb08f 3325 tlb_flush(CPU(cpu));
137feaa9
FA
3326}
3327
3f208fd7
PM
3328static CPAccessResult fpexc32_access(CPUARMState *env, const ARMCPRegInfo *ri,
3329 bool isread)
03fbf20f
PM
3330{
3331 if ((env->cp15.cptr_el[2] & CPTR_TFP) && arm_current_el(env) == 2) {
f2cae609 3332 return CP_ACCESS_TRAP_FP_EL2;
03fbf20f
PM
3333 }
3334 if (env->cp15.cptr_el[3] & CPTR_TFP) {
f2cae609 3335 return CP_ACCESS_TRAP_FP_EL3;
03fbf20f
PM
3336 }
3337 return CP_ACCESS_OK;
3338}
3339
a8d64e73
PM
3340static void sdcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
3341 uint64_t value)
3342{
3343 env->cp15.mdcr_el3 = value & SDCR_VALID_MASK;
3344}
3345
b0d2b7d0
PM
3346static const ARMCPRegInfo v8_cp_reginfo[] = {
3347 /* Minimal set of EL0-visible registers. This will need to be expanded
3348 * significantly for system emulation of AArch64 CPUs.
3349 */
3350 { .name = "NZCV", .state = ARM_CP_STATE_AA64,
3351 .opc0 = 3, .opc1 = 3, .opc2 = 0, .crn = 4, .crm = 2,
3352 .access = PL0_RW, .type = ARM_CP_NZCV },
c2b820fe
PM
3353 { .name = "DAIF", .state = ARM_CP_STATE_AA64,
3354 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 4, .crm = 2,
7a0e58fa 3355 .type = ARM_CP_NO_RAW,
c2b820fe
PM
3356 .access = PL0_RW, .accessfn = aa64_daif_access,
3357 .fieldoffset = offsetof(CPUARMState, daif),
3358 .writefn = aa64_daif_write, .resetfn = arm_cp_reset_ignore },
b0d2b7d0
PM
3359 { .name = "FPCR", .state = ARM_CP_STATE_AA64,
3360 .opc0 = 3, .opc1 = 3, .opc2 = 0, .crn = 4, .crm = 4,
b916c9c3 3361 .access = PL0_RW, .type = ARM_CP_FPU | ARM_CP_SUPPRESS_TB_END,
fe03d45f 3362 .readfn = aa64_fpcr_read, .writefn = aa64_fpcr_write },
b0d2b7d0
PM
3363 { .name = "FPSR", .state = ARM_CP_STATE_AA64,
3364 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 4, .crm = 4,
b916c9c3 3365 .access = PL0_RW, .type = ARM_CP_FPU | ARM_CP_SUPPRESS_TB_END,
fe03d45f 3366 .readfn = aa64_fpsr_read, .writefn = aa64_fpsr_write },
b0d2b7d0
PM
3367 { .name = "DCZID_EL0", .state = ARM_CP_STATE_AA64,
3368 .opc0 = 3, .opc1 = 3, .opc2 = 7, .crn = 0, .crm = 0,
7a0e58fa 3369 .access = PL0_R, .type = ARM_CP_NO_RAW,
aca3f40b
PM
3370 .readfn = aa64_dczid_read },
3371 { .name = "DC_ZVA", .state = ARM_CP_STATE_AA64,
3372 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 4, .opc2 = 1,
3373 .access = PL0_W, .type = ARM_CP_DC_ZVA,
3374#ifndef CONFIG_USER_ONLY
3375 /* Avoid overhead of an access check that always passes in user-mode */
3376 .accessfn = aa64_zva_access,
3377#endif
3378 },
0eef9d98
PM
3379 { .name = "CURRENTEL", .state = ARM_CP_STATE_AA64,
3380 .opc0 = 3, .opc1 = 0, .opc2 = 2, .crn = 4, .crm = 2,
3381 .access = PL1_R, .type = ARM_CP_CURRENTEL },
8af35c37
PM
3382 /* Cache ops: all NOPs since we don't emulate caches */
3383 { .name = "IC_IALLUIS", .state = ARM_CP_STATE_AA64,
3384 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 0,
3385 .access = PL1_W, .type = ARM_CP_NOP },
3386 { .name = "IC_IALLU", .state = ARM_CP_STATE_AA64,
3387 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 0,
3388 .access = PL1_W, .type = ARM_CP_NOP },
3389 { .name = "IC_IVAU", .state = ARM_CP_STATE_AA64,
3390 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 5, .opc2 = 1,
3391 .access = PL0_W, .type = ARM_CP_NOP,
3392 .accessfn = aa64_cacheop_access },
3393 { .name = "DC_IVAC", .state = ARM_CP_STATE_AA64,
3394 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 1,
3395 .access = PL1_W, .type = ARM_CP_NOP },
3396 { .name = "DC_ISW", .state = ARM_CP_STATE_AA64,
3397 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 2,
3398 .access = PL1_W, .type = ARM_CP_NOP },
3399 { .name = "DC_CVAC", .state = ARM_CP_STATE_AA64,
3400 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 10, .opc2 = 1,
3401 .access = PL0_W, .type = ARM_CP_NOP,
3402 .accessfn = aa64_cacheop_access },
3403 { .name = "DC_CSW", .state = ARM_CP_STATE_AA64,
3404 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 2,
3405 .access = PL1_W, .type = ARM_CP_NOP },
3406 { .name = "DC_CVAU", .state = ARM_CP_STATE_AA64,
3407 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 11, .opc2 = 1,
3408 .access = PL0_W, .type = ARM_CP_NOP,
3409 .accessfn = aa64_cacheop_access },
3410 { .name = "DC_CIVAC", .state = ARM_CP_STATE_AA64,
3411 .opc0 = 1, .opc1 = 3, .crn = 7, .crm = 14, .opc2 = 1,
3412 .access = PL0_W, .type = ARM_CP_NOP,
3413 .accessfn = aa64_cacheop_access },
3414 { .name = "DC_CISW", .state = ARM_CP_STATE_AA64,
3415 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 2,
3416 .access = PL1_W, .type = ARM_CP_NOP },
168aa23b
PM
3417 /* TLBI operations */
3418 { .name = "TLBI_VMALLE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3419 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 0,
7a0e58fa 3420 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3421 .writefn = tlbi_aa64_vmalle1is_write },
168aa23b 3422 { .name = "TLBI_VAE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3423 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 1,
7a0e58fa 3424 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3425 .writefn = tlbi_aa64_vae1is_write },
168aa23b 3426 { .name = "TLBI_ASIDE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3427 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 2,
7a0e58fa 3428 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3429 .writefn = tlbi_aa64_vmalle1is_write },
168aa23b 3430 { .name = "TLBI_VAAE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3431 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 3,
7a0e58fa 3432 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3433 .writefn = tlbi_aa64_vae1is_write },
168aa23b 3434 { .name = "TLBI_VALE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3435 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 5,
7a0e58fa 3436 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3437 .writefn = tlbi_aa64_vae1is_write },
168aa23b 3438 { .name = "TLBI_VAALE1IS", .state = ARM_CP_STATE_AA64,
6ab9f499 3439 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 7,
7a0e58fa 3440 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3441 .writefn = tlbi_aa64_vae1is_write },
168aa23b 3442 { .name = "TLBI_VMALLE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3443 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 0,
7a0e58fa 3444 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3445 .writefn = tlbi_aa64_vmalle1_write },
168aa23b 3446 { .name = "TLBI_VAE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3447 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 1,
7a0e58fa 3448 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3449 .writefn = tlbi_aa64_vae1_write },
168aa23b 3450 { .name = "TLBI_ASIDE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3451 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 2,
7a0e58fa 3452 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3453 .writefn = tlbi_aa64_vmalle1_write },
168aa23b 3454 { .name = "TLBI_VAAE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3455 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 3,
7a0e58fa 3456 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3457 .writefn = tlbi_aa64_vae1_write },
168aa23b 3458 { .name = "TLBI_VALE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3459 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 5,
7a0e58fa 3460 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3461 .writefn = tlbi_aa64_vae1_write },
168aa23b 3462 { .name = "TLBI_VAALE1", .state = ARM_CP_STATE_AA64,
6ab9f499 3463 .opc0 = 1, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 7,
7a0e58fa 3464 .access = PL1_W, .type = ARM_CP_NO_RAW,
fd3ed969 3465 .writefn = tlbi_aa64_vae1_write },
cea66e91
PM
3466 { .name = "TLBI_IPAS2E1IS", .state = ARM_CP_STATE_AA64,
3467 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 0, .opc2 = 1,
3468 .access = PL2_W, .type = ARM_CP_NO_RAW,
3469 .writefn = tlbi_aa64_ipas2e1is_write },
3470 { .name = "TLBI_IPAS2LE1IS", .state = ARM_CP_STATE_AA64,
3471 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 0, .opc2 = 5,
3472 .access = PL2_W, .type = ARM_CP_NO_RAW,
3473 .writefn = tlbi_aa64_ipas2e1is_write },
83ddf975
PM
3474 { .name = "TLBI_ALLE1IS", .state = ARM_CP_STATE_AA64,
3475 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 4,
3476 .access = PL2_W, .type = ARM_CP_NO_RAW,
fd3ed969 3477 .writefn = tlbi_aa64_alle1is_write },
43efaa33
PM
3478 { .name = "TLBI_VMALLS12E1IS", .state = ARM_CP_STATE_AA64,
3479 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 6,
3480 .access = PL2_W, .type = ARM_CP_NO_RAW,
3481 .writefn = tlbi_aa64_alle1is_write },
cea66e91
PM
3482 { .name = "TLBI_IPAS2E1", .state = ARM_CP_STATE_AA64,
3483 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 4, .opc2 = 1,
3484 .access = PL2_W, .type = ARM_CP_NO_RAW,
3485 .writefn = tlbi_aa64_ipas2e1_write },
3486 { .name = "TLBI_IPAS2LE1", .state = ARM_CP_STATE_AA64,
3487 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 4, .opc2 = 5,
3488 .access = PL2_W, .type = ARM_CP_NO_RAW,
3489 .writefn = tlbi_aa64_ipas2e1_write },
83ddf975
PM
3490 { .name = "TLBI_ALLE1", .state = ARM_CP_STATE_AA64,
3491 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 4,
3492 .access = PL2_W, .type = ARM_CP_NO_RAW,
fd3ed969 3493 .writefn = tlbi_aa64_alle1_write },
43efaa33
PM
3494 { .name = "TLBI_VMALLS12E1", .state = ARM_CP_STATE_AA64,
3495 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 6,
3496 .access = PL2_W, .type = ARM_CP_NO_RAW,
3497 .writefn = tlbi_aa64_alle1is_write },
19525524
PM
3498#ifndef CONFIG_USER_ONLY
3499 /* 64 bit address translation operations */
3500 { .name = "AT_S1E1R", .state = ARM_CP_STATE_AA64,
3501 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 0,
060e8a48 3502 .access = PL1_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
19525524
PM
3503 { .name = "AT_S1E1W", .state = ARM_CP_STATE_AA64,
3504 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 1,
060e8a48 3505 .access = PL1_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
19525524
PM
3506 { .name = "AT_S1E0R", .state = ARM_CP_STATE_AA64,
3507 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 2,
060e8a48 3508 .access = PL1_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
19525524
PM
3509 { .name = "AT_S1E0W", .state = ARM_CP_STATE_AA64,
3510 .opc0 = 1, .opc1 = 0, .crn = 7, .crm = 8, .opc2 = 3,
060e8a48 3511 .access = PL1_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
2a47df95 3512 { .name = "AT_S12E1R", .state = ARM_CP_STATE_AA64,
7a379c7e 3513 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 4,
2a47df95
PM
3514 .access = PL2_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3515 { .name = "AT_S12E1W", .state = ARM_CP_STATE_AA64,
7a379c7e 3516 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 5,
2a47df95
PM
3517 .access = PL2_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3518 { .name = "AT_S12E0R", .state = ARM_CP_STATE_AA64,
7a379c7e 3519 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 6,
2a47df95
PM
3520 .access = PL2_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3521 { .name = "AT_S12E0W", .state = ARM_CP_STATE_AA64,
7a379c7e 3522 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 7,
2a47df95
PM
3523 .access = PL2_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3524 /* AT S1E2* are elsewhere as they UNDEF from EL3 if EL2 is not present */
3525 { .name = "AT_S1E3R", .state = ARM_CP_STATE_AA64,
3526 .opc0 = 1, .opc1 = 6, .crn = 7, .crm = 8, .opc2 = 0,
3527 .access = PL3_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3528 { .name = "AT_S1E3W", .state = ARM_CP_STATE_AA64,
3529 .opc0 = 1, .opc1 = 6, .crn = 7, .crm = 8, .opc2 = 1,
3530 .access = PL3_W, .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
c96fc9b5
EI
3531 { .name = "PAR_EL1", .state = ARM_CP_STATE_AA64,
3532 .type = ARM_CP_ALIAS,
3533 .opc0 = 3, .opc1 = 0, .crn = 7, .crm = 4, .opc2 = 0,
3534 .access = PL1_RW, .resetvalue = 0,
3535 .fieldoffset = offsetof(CPUARMState, cp15.par_el[1]),
3536 .writefn = par_write },
19525524 3537#endif
995939a6 3538 /* TLB invalidate last level of translation table walk */
9449fdf6 3539 { .name = "TLBIMVALIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 5,
7a0e58fa 3540 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_is_write },
9449fdf6 3541 { .name = "TLBIMVAALIS", .cp = 15, .opc1 = 0, .crn = 8, .crm = 3, .opc2 = 7,
7a0e58fa 3542 .type = ARM_CP_NO_RAW, .access = PL1_W,
fa439fc5 3543 .writefn = tlbimvaa_is_write },
9449fdf6 3544 { .name = "TLBIMVAL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 5,
7a0e58fa 3545 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimva_write },
9449fdf6 3546 { .name = "TLBIMVAAL", .cp = 15, .opc1 = 0, .crn = 8, .crm = 7, .opc2 = 7,
7a0e58fa 3547 .type = ARM_CP_NO_RAW, .access = PL1_W, .writefn = tlbimvaa_write },
541ef8c2
SS
3548 { .name = "TLBIMVALH", .cp = 15, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 5,
3549 .type = ARM_CP_NO_RAW, .access = PL2_W,
3550 .writefn = tlbimva_hyp_write },
3551 { .name = "TLBIMVALHIS",
3552 .cp = 15, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 5,
3553 .type = ARM_CP_NO_RAW, .access = PL2_W,
3554 .writefn = tlbimva_hyp_is_write },
3555 { .name = "TLBIIPAS2",
3556 .cp = 15, .opc1 = 4, .crn = 8, .crm = 4, .opc2 = 1,
3557 .type = ARM_CP_NO_RAW, .access = PL2_W,
3558 .writefn = tlbiipas2_write },
3559 { .name = "TLBIIPAS2IS",
3560 .cp = 15, .opc1 = 4, .crn = 8, .crm = 0, .opc2 = 1,
3561 .type = ARM_CP_NO_RAW, .access = PL2_W,
3562 .writefn = tlbiipas2_is_write },
3563 { .name = "TLBIIPAS2L",
3564 .cp = 15, .opc1 = 4, .crn = 8, .crm = 4, .opc2 = 5,
3565 .type = ARM_CP_NO_RAW, .access = PL2_W,
3566 .writefn = tlbiipas2_write },
3567 { .name = "TLBIIPAS2LIS",
3568 .cp = 15, .opc1 = 4, .crn = 8, .crm = 0, .opc2 = 5,
3569 .type = ARM_CP_NO_RAW, .access = PL2_W,
3570 .writefn = tlbiipas2_is_write },
9449fdf6
PM
3571 /* 32 bit cache operations */
3572 { .name = "ICIALLUIS", .cp = 15, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 0,
3573 .type = ARM_CP_NOP, .access = PL1_W },
3574 { .name = "BPIALLUIS", .cp = 15, .opc1 = 0, .crn = 7, .crm = 1, .opc2 = 6,
3575 .type = ARM_CP_NOP, .access = PL1_W },
3576 { .name = "ICIALLU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 0,
3577 .type = ARM_CP_NOP, .access = PL1_W },
3578 { .name = "ICIMVAU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 1,
3579 .type = ARM_CP_NOP, .access = PL1_W },
3580 { .name = "BPIALL", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 6,
3581 .type = ARM_CP_NOP, .access = PL1_W },
3582 { .name = "BPIMVA", .cp = 15, .opc1 = 0, .crn = 7, .crm = 5, .opc2 = 7,
3583 .type = ARM_CP_NOP, .access = PL1_W },
3584 { .name = "DCIMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 1,
3585 .type = ARM_CP_NOP, .access = PL1_W },
3586 { .name = "DCISW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 6, .opc2 = 2,
3587 .type = ARM_CP_NOP, .access = PL1_W },
3588 { .name = "DCCMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 1,
3589 .type = ARM_CP_NOP, .access = PL1_W },
3590 { .name = "DCCSW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 10, .opc2 = 2,
3591 .type = ARM_CP_NOP, .access = PL1_W },
3592 { .name = "DCCMVAU", .cp = 15, .opc1 = 0, .crn = 7, .crm = 11, .opc2 = 1,
3593 .type = ARM_CP_NOP, .access = PL1_W },
3594 { .name = "DCCIMVAC", .cp = 15, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 1,
3595 .type = ARM_CP_NOP, .access = PL1_W },
3596 { .name = "DCCISW", .cp = 15, .opc1 = 0, .crn = 7, .crm = 14, .opc2 = 2,
3597 .type = ARM_CP_NOP, .access = PL1_W },
3598 /* MMU Domain access control / MPU write buffer control */
0c17d68c
FA
3599 { .name = "DACR", .cp = 15, .opc1 = 0, .crn = 3, .crm = 0, .opc2 = 0,
3600 .access = PL1_RW, .resetvalue = 0,
3601 .writefn = dacr_write, .raw_writefn = raw_write,
3602 .bank_fieldoffsets = { offsetoflow32(CPUARMState, cp15.dacr_s),
3603 offsetoflow32(CPUARMState, cp15.dacr_ns) } },
a0618a19 3604 { .name = "ELR_EL1", .state = ARM_CP_STATE_AA64,
7a0e58fa 3605 .type = ARM_CP_ALIAS,
a0618a19 3606 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 0, .opc2 = 1,
6947f059
EI
3607 .access = PL1_RW,
3608 .fieldoffset = offsetof(CPUARMState, elr_el[1]) },
a65f1de9 3609 { .name = "SPSR_EL1", .state = ARM_CP_STATE_AA64,
7a0e58fa 3610 .type = ARM_CP_ALIAS,
a65f1de9 3611 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 0, .opc2 = 0,
99a99c1f
SB
3612 .access = PL1_RW,
3613 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_SVC]) },
f502cfc2
PM
3614 /* We rely on the access checks not allowing the guest to write to the
3615 * state field when SPSel indicates that it's being used as the stack
3616 * pointer.
3617 */
3618 { .name = "SP_EL0", .state = ARM_CP_STATE_AA64,
3619 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 1, .opc2 = 0,
3620 .access = PL1_RW, .accessfn = sp_el0_access,
7a0e58fa 3621 .type = ARM_CP_ALIAS,
f502cfc2 3622 .fieldoffset = offsetof(CPUARMState, sp_el[0]) },
884b4dee
GB
3623 { .name = "SP_EL1", .state = ARM_CP_STATE_AA64,
3624 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 1, .opc2 = 0,
7a0e58fa 3625 .access = PL2_RW, .type = ARM_CP_ALIAS,
884b4dee 3626 .fieldoffset = offsetof(CPUARMState, sp_el[1]) },
f502cfc2
PM
3627 { .name = "SPSel", .state = ARM_CP_STATE_AA64,
3628 .opc0 = 3, .opc1 = 0, .crn = 4, .crm = 2, .opc2 = 0,
7a0e58fa 3629 .type = ARM_CP_NO_RAW,
f502cfc2 3630 .access = PL1_RW, .readfn = spsel_read, .writefn = spsel_write },
03fbf20f
PM
3631 { .name = "FPEXC32_EL2", .state = ARM_CP_STATE_AA64,
3632 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 3, .opc2 = 0,
3633 .type = ARM_CP_ALIAS,
3634 .fieldoffset = offsetof(CPUARMState, vfp.xregs[ARM_VFP_FPEXC]),
3635 .access = PL2_RW, .accessfn = fpexc32_access },
6a43e0b6
PM
3636 { .name = "DACR32_EL2", .state = ARM_CP_STATE_AA64,
3637 .opc0 = 3, .opc1 = 4, .crn = 3, .crm = 0, .opc2 = 0,
3638 .access = PL2_RW, .resetvalue = 0,
3639 .writefn = dacr_write, .raw_writefn = raw_write,
3640 .fieldoffset = offsetof(CPUARMState, cp15.dacr32_el2) },
3641 { .name = "IFSR32_EL2", .state = ARM_CP_STATE_AA64,
3642 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 0, .opc2 = 1,
3643 .access = PL2_RW, .resetvalue = 0,
3644 .fieldoffset = offsetof(CPUARMState, cp15.ifsr32_el2) },
3645 { .name = "SPSR_IRQ", .state = ARM_CP_STATE_AA64,
3646 .type = ARM_CP_ALIAS,
3647 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 3, .opc2 = 0,
3648 .access = PL2_RW,
3649 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_IRQ]) },
3650 { .name = "SPSR_ABT", .state = ARM_CP_STATE_AA64,
3651 .type = ARM_CP_ALIAS,
3652 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 3, .opc2 = 1,
3653 .access = PL2_RW,
3654 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_ABT]) },
3655 { .name = "SPSR_UND", .state = ARM_CP_STATE_AA64,
3656 .type = ARM_CP_ALIAS,
3657 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 3, .opc2 = 2,
3658 .access = PL2_RW,
3659 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_UND]) },
3660 { .name = "SPSR_FIQ", .state = ARM_CP_STATE_AA64,
3661 .type = ARM_CP_ALIAS,
3662 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 3, .opc2 = 3,
3663 .access = PL2_RW,
3664 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_FIQ]) },
a8d64e73
PM
3665 { .name = "MDCR_EL3", .state = ARM_CP_STATE_AA64,
3666 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 3, .opc2 = 1,
3667 .resetvalue = 0,
3668 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.mdcr_el3) },
3669 { .name = "SDCR", .type = ARM_CP_ALIAS,
3670 .cp = 15, .opc1 = 0, .crn = 1, .crm = 3, .opc2 = 1,
3671 .access = PL1_RW, .accessfn = access_trap_aa32s_el1,
3672 .writefn = sdcr_write,
3673 .fieldoffset = offsetoflow32(CPUARMState, cp15.mdcr_el3) },
b0d2b7d0
PM
3674 REGINFO_SENTINEL
3675};
3676
d42e3c26 3677/* Used to describe the behaviour of EL2 regs when EL2 does not exist. */
4771cd01 3678static const ARMCPRegInfo el3_no_el2_cp_reginfo[] = {
d42e3c26
EI
3679 { .name = "VBAR_EL2", .state = ARM_CP_STATE_AA64,
3680 .opc0 = 3, .opc1 = 4, .crn = 12, .crm = 0, .opc2 = 0,
3681 .access = PL2_RW,
3682 .readfn = arm_cp_read_zero, .writefn = arm_cp_write_ignore },
f149e3e8 3683 { .name = "HCR_EL2", .state = ARM_CP_STATE_AA64,
7a0e58fa 3684 .type = ARM_CP_NO_RAW,
f149e3e8
EI
3685 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 0,
3686 .access = PL2_RW,
3687 .readfn = arm_cp_read_zero, .writefn = arm_cp_write_ignore },
c6f19164
GB
3688 { .name = "CPTR_EL2", .state = ARM_CP_STATE_BOTH,
3689 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 2,
3690 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
95f949ac
EI
3691 { .name = "MAIR_EL2", .state = ARM_CP_STATE_BOTH,
3692 .opc0 = 3, .opc1 = 4, .crn = 10, .crm = 2, .opc2 = 0,
3693 .access = PL2_RW, .type = ARM_CP_CONST,
3694 .resetvalue = 0 },
3695 { .name = "HMAIR1", .state = ARM_CP_STATE_AA32,
3696 .opc1 = 4, .crn = 10, .crm = 2, .opc2 = 1,
3697 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
2179ef95
PM
3698 { .name = "AMAIR_EL2", .state = ARM_CP_STATE_BOTH,
3699 .opc0 = 3, .opc1 = 4, .crn = 10, .crm = 3, .opc2 = 0,
3700 .access = PL2_RW, .type = ARM_CP_CONST,
3701 .resetvalue = 0 },
3702 { .name = "HMAIR1", .state = ARM_CP_STATE_AA32,
3703 .opc1 = 4, .crn = 10, .crm = 3, .opc2 = 1,
3704 .access = PL2_RW, .type = ARM_CP_CONST,
3705 .resetvalue = 0 },
37cd6c24
PM
3706 { .name = "AFSR0_EL2", .state = ARM_CP_STATE_BOTH,
3707 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 1, .opc2 = 0,
3708 .access = PL2_RW, .type = ARM_CP_CONST,
3709 .resetvalue = 0 },
3710 { .name = "AFSR1_EL2", .state = ARM_CP_STATE_BOTH,
3711 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 1, .opc2 = 1,
3712 .access = PL2_RW, .type = ARM_CP_CONST,
3713 .resetvalue = 0 },
06ec4c8c
EI
3714 { .name = "TCR_EL2", .state = ARM_CP_STATE_BOTH,
3715 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 0, .opc2 = 2,
3716 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
68e9c2fe
EI
3717 { .name = "VTCR_EL2", .state = ARM_CP_STATE_BOTH,
3718 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 1, .opc2 = 2,
3719 .access = PL2_RW, .accessfn = access_el3_aa32ns_aa64any,
3720 .type = ARM_CP_CONST, .resetvalue = 0 },
b698e9cf
EI
3721 { .name = "VTTBR", .state = ARM_CP_STATE_AA32,
3722 .cp = 15, .opc1 = 6, .crm = 2,
3723 .access = PL2_RW, .accessfn = access_el3_aa32ns,
3724 .type = ARM_CP_CONST | ARM_CP_64BIT, .resetvalue = 0 },
3725 { .name = "VTTBR_EL2", .state = ARM_CP_STATE_AA64,
3726 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 1, .opc2 = 0,
3727 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
b9cb5323
EI
3728 { .name = "SCTLR_EL2", .state = ARM_CP_STATE_BOTH,
3729 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 0, .opc2 = 0,
3730 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
ff05f37b
EI
3731 { .name = "TPIDR_EL2", .state = ARM_CP_STATE_BOTH,
3732 .opc0 = 3, .opc1 = 4, .crn = 13, .crm = 0, .opc2 = 2,
3733 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
a57633c0
EI
3734 { .name = "TTBR0_EL2", .state = ARM_CP_STATE_AA64,
3735 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 0, .opc2 = 0,
3736 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
3737 { .name = "HTTBR", .cp = 15, .opc1 = 4, .crm = 2,
3738 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_CONST,
3739 .resetvalue = 0 },
0b6440af
EI
3740 { .name = "CNTHCTL_EL2", .state = ARM_CP_STATE_BOTH,
3741 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 1, .opc2 = 0,
3742 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
edac4d8a
EI
3743 { .name = "CNTVOFF_EL2", .state = ARM_CP_STATE_AA64,
3744 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 0, .opc2 = 3,
3745 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
3746 { .name = "CNTVOFF", .cp = 15, .opc1 = 4, .crm = 14,
3747 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_CONST,
3748 .resetvalue = 0 },
b0e66d95
EI
3749 { .name = "CNTHP_CVAL_EL2", .state = ARM_CP_STATE_AA64,
3750 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 2,
3751 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
3752 { .name = "CNTHP_CVAL", .cp = 15, .opc1 = 6, .crm = 14,
3753 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_CONST,
3754 .resetvalue = 0 },
3755 { .name = "CNTHP_TVAL_EL2", .state = ARM_CP_STATE_BOTH,
3756 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 0,
3757 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
3758 { .name = "CNTHP_CTL_EL2", .state = ARM_CP_STATE_BOTH,
3759 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 1,
3760 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
14cc7b54
SF
3761 { .name = "MDCR_EL2", .state = ARM_CP_STATE_BOTH,
3762 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 1,
d6c8cf81
PM
3763 .access = PL2_RW, .accessfn = access_tda,
3764 .type = ARM_CP_CONST, .resetvalue = 0 },
59e05530
EI
3765 { .name = "HPFAR_EL2", .state = ARM_CP_STATE_BOTH,
3766 .opc0 = 3, .opc1 = 4, .crn = 6, .crm = 0, .opc2 = 4,
3767 .access = PL2_RW, .accessfn = access_el3_aa32ns_aa64any,
3768 .type = ARM_CP_CONST, .resetvalue = 0 },
2a5a9abd
AF
3769 { .name = "HSTR_EL2", .state = ARM_CP_STATE_BOTH,
3770 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 3,
3771 .access = PL2_RW, .type = ARM_CP_CONST, .resetvalue = 0 },
d42e3c26
EI
3772 REGINFO_SENTINEL
3773};
3774
f149e3e8
EI
3775static void hcr_write(CPUARMState *env, const ARMCPRegInfo *ri, uint64_t value)
3776{
3777 ARMCPU *cpu = arm_env_get_cpu(env);
3778 uint64_t valid_mask = HCR_MASK;
3779
3780 if (arm_feature(env, ARM_FEATURE_EL3)) {
3781 valid_mask &= ~HCR_HCD;
77077a83
JK
3782 } else if (cpu->psci_conduit != QEMU_PSCI_CONDUIT_SMC) {
3783 /* Architecturally HCR.TSC is RES0 if EL3 is not implemented.
3784 * However, if we're using the SMC PSCI conduit then QEMU is
3785 * effectively acting like EL3 firmware and so the guest at
3786 * EL2 should retain the ability to prevent EL1 from being
3787 * able to make SMC calls into the ersatz firmware, so in
3788 * that case HCR.TSC should be read/write.
3789 */
f149e3e8
EI
3790 valid_mask &= ~HCR_TSC;
3791 }
3792
3793 /* Clear RES0 bits. */
3794 value &= valid_mask;
3795
3796 /* These bits change the MMU setup:
3797 * HCR_VM enables stage 2 translation
3798 * HCR_PTW forbids certain page-table setups
3799 * HCR_DC Disables stage1 and enables stage2 translation
3800 */
3801 if ((raw_read(env, ri) ^ value) & (HCR_VM | HCR_PTW | HCR_DC)) {
d10eb08f 3802 tlb_flush(CPU(cpu));
f149e3e8
EI
3803 }
3804 raw_write(env, ri, value);
3805}
3806
4771cd01 3807static const ARMCPRegInfo el2_cp_reginfo[] = {
f149e3e8
EI
3808 { .name = "HCR_EL2", .state = ARM_CP_STATE_AA64,
3809 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 0,
3810 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.hcr_el2),
3811 .writefn = hcr_write },
3b685ba7 3812 { .name = "ELR_EL2", .state = ARM_CP_STATE_AA64,
7a0e58fa 3813 .type = ARM_CP_ALIAS,
3b685ba7
EI
3814 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 0, .opc2 = 1,
3815 .access = PL2_RW,
3816 .fieldoffset = offsetof(CPUARMState, elr_el[2]) },
f2c30f42 3817 { .name = "ESR_EL2", .state = ARM_CP_STATE_AA64,
f2c30f42
EI
3818 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 2, .opc2 = 0,
3819 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.esr_el[2]) },
63b60551
EI
3820 { .name = "FAR_EL2", .state = ARM_CP_STATE_AA64,
3821 .opc0 = 3, .opc1 = 4, .crn = 6, .crm = 0, .opc2 = 0,
3822 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[2]) },
3b685ba7 3823 { .name = "SPSR_EL2", .state = ARM_CP_STATE_AA64,
7a0e58fa 3824 .type = ARM_CP_ALIAS,
3b685ba7 3825 .opc0 = 3, .opc1 = 4, .crn = 4, .crm = 0, .opc2 = 0,
99a99c1f
SB
3826 .access = PL2_RW,
3827 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_HYP]) },
d42e3c26
EI
3828 { .name = "VBAR_EL2", .state = ARM_CP_STATE_AA64,
3829 .opc0 = 3, .opc1 = 4, .crn = 12, .crm = 0, .opc2 = 0,
3830 .access = PL2_RW, .writefn = vbar_write,
3831 .fieldoffset = offsetof(CPUARMState, cp15.vbar_el[2]),
3832 .resetvalue = 0 },
884b4dee
GB
3833 { .name = "SP_EL2", .state = ARM_CP_STATE_AA64,
3834 .opc0 = 3, .opc1 = 6, .crn = 4, .crm = 1, .opc2 = 0,
7a0e58fa 3835 .access = PL3_RW, .type = ARM_CP_ALIAS,
884b4dee 3836 .fieldoffset = offsetof(CPUARMState, sp_el[2]) },
c6f19164
GB
3837 { .name = "CPTR_EL2", .state = ARM_CP_STATE_BOTH,
3838 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 2,
3839 .access = PL2_RW, .accessfn = cptr_access, .resetvalue = 0,
3840 .fieldoffset = offsetof(CPUARMState, cp15.cptr_el[2]) },
95f949ac
EI
3841 { .name = "MAIR_EL2", .state = ARM_CP_STATE_BOTH,
3842 .opc0 = 3, .opc1 = 4, .crn = 10, .crm = 2, .opc2 = 0,
3843 .access = PL2_RW, .fieldoffset = offsetof(CPUARMState, cp15.mair_el[2]),
3844 .resetvalue = 0 },
3845 { .name = "HMAIR1", .state = ARM_CP_STATE_AA32,
3846 .opc1 = 4, .crn = 10, .crm = 2, .opc2 = 1,
3847 .access = PL2_RW, .type = ARM_CP_ALIAS,
3848 .fieldoffset = offsetofhigh32(CPUARMState, cp15.mair_el[2]) },
2179ef95
PM
3849 { .name = "AMAIR_EL2", .state = ARM_CP_STATE_BOTH,
3850 .opc0 = 3, .opc1 = 4, .crn = 10, .crm = 3, .opc2 = 0,
3851 .access = PL2_RW, .type = ARM_CP_CONST,
3852 .resetvalue = 0 },
3853 /* HAMAIR1 is mapped to AMAIR_EL2[63:32] */
3854 { .name = "HMAIR1", .state = ARM_CP_STATE_AA32,
3855 .opc1 = 4, .crn = 10, .crm = 3, .opc2 = 1,
3856 .access = PL2_RW, .type = ARM_CP_CONST,
3857 .resetvalue = 0 },
37cd6c24
PM
3858 { .name = "AFSR0_EL2", .state = ARM_CP_STATE_BOTH,
3859 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 1, .opc2 = 0,
3860 .access = PL2_RW, .type = ARM_CP_CONST,
3861 .resetvalue = 0 },
3862 { .name = "AFSR1_EL2", .state = ARM_CP_STATE_BOTH,
3863 .opc0 = 3, .opc1 = 4, .crn = 5, .crm = 1, .opc2 = 1,
3864 .access = PL2_RW, .type = ARM_CP_CONST,
3865 .resetvalue = 0 },
06ec4c8c
EI
3866 { .name = "TCR_EL2", .state = ARM_CP_STATE_BOTH,
3867 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 0, .opc2 = 2,
6459b94c
PM
3868 .access = PL2_RW,
3869 /* no .writefn needed as this can't cause an ASID change;
3870 * no .raw_writefn or .resetfn needed as we never use mask/base_mask
3871 */
06ec4c8c 3872 .fieldoffset = offsetof(CPUARMState, cp15.tcr_el[2]) },
68e9c2fe
EI
3873 { .name = "VTCR", .state = ARM_CP_STATE_AA32,
3874 .cp = 15, .opc1 = 4, .crn = 2, .crm = 1, .opc2 = 2,
bf06c112 3875 .type = ARM_CP_ALIAS,
68e9c2fe
EI
3876 .access = PL2_RW, .accessfn = access_el3_aa32ns,
3877 .fieldoffset = offsetof(CPUARMState, cp15.vtcr_el2) },
3878 { .name = "VTCR_EL2", .state = ARM_CP_STATE_AA64,
3879 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 1, .opc2 = 2,
bf06c112
PM
3880 .access = PL2_RW,
3881 /* no .writefn needed as this can't cause an ASID change;
3882 * no .raw_writefn or .resetfn needed as we never use mask/base_mask
3883 */
68e9c2fe 3884 .fieldoffset = offsetof(CPUARMState, cp15.vtcr_el2) },
b698e9cf
EI
3885 { .name = "VTTBR", .state = ARM_CP_STATE_AA32,
3886 .cp = 15, .opc1 = 6, .crm = 2,
3887 .type = ARM_CP_64BIT | ARM_CP_ALIAS,
3888 .access = PL2_RW, .accessfn = access_el3_aa32ns,
3889 .fieldoffset = offsetof(CPUARMState, cp15.vttbr_el2),
3890 .writefn = vttbr_write },
3891 { .name = "VTTBR_EL2", .state = ARM_CP_STATE_AA64,
3892 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 1, .opc2 = 0,
3893 .access = PL2_RW, .writefn = vttbr_write,
3894 .fieldoffset = offsetof(CPUARMState, cp15.vttbr_el2) },
b9cb5323
EI
3895 { .name = "SCTLR_EL2", .state = ARM_CP_STATE_BOTH,
3896 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 0, .opc2 = 0,
3897 .access = PL2_RW, .raw_writefn = raw_write, .writefn = sctlr_write,
3898 .fieldoffset = offsetof(CPUARMState, cp15.sctlr_el[2]) },
ff05f37b
EI
3899 { .name = "TPIDR_EL2", .state = ARM_CP_STATE_BOTH,
3900 .opc0 = 3, .opc1 = 4, .crn = 13, .crm = 0, .opc2 = 2,
3901 .access = PL2_RW, .resetvalue = 0,
3902 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el[2]) },
a57633c0
EI
3903 { .name = "TTBR0_EL2", .state = ARM_CP_STATE_AA64,
3904 .opc0 = 3, .opc1 = 4, .crn = 2, .crm = 0, .opc2 = 0,
3905 .access = PL2_RW, .resetvalue = 0,
3906 .fieldoffset = offsetof(CPUARMState, cp15.ttbr0_el[2]) },
3907 { .name = "HTTBR", .cp = 15, .opc1 = 4, .crm = 2,
3908 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_ALIAS,
a57633c0 3909 .fieldoffset = offsetof(CPUARMState, cp15.ttbr0_el[2]) },
541ef8c2
SS
3910 { .name = "TLBIALLNSNH",
3911 .cp = 15, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 4,
3912 .type = ARM_CP_NO_RAW, .access = PL2_W,
3913 .writefn = tlbiall_nsnh_write },
3914 { .name = "TLBIALLNSNHIS",
3915 .cp = 15, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 4,
3916 .type = ARM_CP_NO_RAW, .access = PL2_W,
3917 .writefn = tlbiall_nsnh_is_write },
3918 { .name = "TLBIALLH", .cp = 15, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 0,
3919 .type = ARM_CP_NO_RAW, .access = PL2_W,
3920 .writefn = tlbiall_hyp_write },
3921 { .name = "TLBIALLHIS", .cp = 15, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 0,
3922 .type = ARM_CP_NO_RAW, .access = PL2_W,
3923 .writefn = tlbiall_hyp_is_write },
3924 { .name = "TLBIMVAH", .cp = 15, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 1,
3925 .type = ARM_CP_NO_RAW, .access = PL2_W,
3926 .writefn = tlbimva_hyp_write },
3927 { .name = "TLBIMVAHIS", .cp = 15, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 1,
3928 .type = ARM_CP_NO_RAW, .access = PL2_W,
3929 .writefn = tlbimva_hyp_is_write },
51da9014
EI
3930 { .name = "TLBI_ALLE2", .state = ARM_CP_STATE_AA64,
3931 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 0,
3932 .type = ARM_CP_NO_RAW, .access = PL2_W,
fd3ed969 3933 .writefn = tlbi_aa64_alle2_write },
8742d49d
EI
3934 { .name = "TLBI_VAE2", .state = ARM_CP_STATE_AA64,
3935 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 1,
3936 .type = ARM_CP_NO_RAW, .access = PL2_W,
fd3ed969 3937 .writefn = tlbi_aa64_vae2_write },
2bfb9d75
PM
3938 { .name = "TLBI_VALE2", .state = ARM_CP_STATE_AA64,
3939 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 7, .opc2 = 5,
3940 .access = PL2_W, .type = ARM_CP_NO_RAW,
3941 .writefn = tlbi_aa64_vae2_write },
3942 { .name = "TLBI_ALLE2IS", .state = ARM_CP_STATE_AA64,
3943 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 0,
3944 .access = PL2_W, .type = ARM_CP_NO_RAW,
3945 .writefn = tlbi_aa64_alle2is_write },
8742d49d
EI
3946 { .name = "TLBI_VAE2IS", .state = ARM_CP_STATE_AA64,
3947 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 1,
3948 .type = ARM_CP_NO_RAW, .access = PL2_W,
fd3ed969 3949 .writefn = tlbi_aa64_vae2is_write },
2bfb9d75
PM
3950 { .name = "TLBI_VALE2IS", .state = ARM_CP_STATE_AA64,
3951 .opc0 = 1, .opc1 = 4, .crn = 8, .crm = 3, .opc2 = 5,
3952 .access = PL2_W, .type = ARM_CP_NO_RAW,
3953 .writefn = tlbi_aa64_vae2is_write },
edac4d8a 3954#ifndef CONFIG_USER_ONLY
2a47df95
PM
3955 /* Unlike the other EL2-related AT operations, these must
3956 * UNDEF from EL3 if EL2 is not implemented, which is why we
3957 * define them here rather than with the rest of the AT ops.
3958 */
3959 { .name = "AT_S1E2R", .state = ARM_CP_STATE_AA64,
3960 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 0,
3961 .access = PL2_W, .accessfn = at_s1e2_access,
3962 .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
3963 { .name = "AT_S1E2W", .state = ARM_CP_STATE_AA64,
3964 .opc0 = 1, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 1,
3965 .access = PL2_W, .accessfn = at_s1e2_access,
3966 .type = ARM_CP_NO_RAW, .writefn = ats_write64 },
14db7fe0
PM
3967 /* The AArch32 ATS1H* operations are CONSTRAINED UNPREDICTABLE
3968 * if EL2 is not implemented; we choose to UNDEF. Behaviour at EL3
3969 * with SCR.NS == 0 outside Monitor mode is UNPREDICTABLE; we choose
3970 * to behave as if SCR.NS was 1.
3971 */
3972 { .name = "ATS1HR", .cp = 15, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 0,
3973 .access = PL2_W,
3974 .writefn = ats1h_write, .type = ARM_CP_NO_RAW },
3975 { .name = "ATS1HW", .cp = 15, .opc1 = 4, .crn = 7, .crm = 8, .opc2 = 1,
3976 .access = PL2_W,
3977 .writefn = ats1h_write, .type = ARM_CP_NO_RAW },
0b6440af
EI
3978 { .name = "CNTHCTL_EL2", .state = ARM_CP_STATE_BOTH,
3979 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 1, .opc2 = 0,
3980 /* ARMv7 requires bit 0 and 1 to reset to 1. ARMv8 defines the
3981 * reset values as IMPDEF. We choose to reset to 3 to comply with
3982 * both ARMv7 and ARMv8.
3983 */
3984 .access = PL2_RW, .resetvalue = 3,
3985 .fieldoffset = offsetof(CPUARMState, cp15.cnthctl_el2) },
edac4d8a
EI
3986 { .name = "CNTVOFF_EL2", .state = ARM_CP_STATE_AA64,
3987 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 0, .opc2 = 3,
3988 .access = PL2_RW, .type = ARM_CP_IO, .resetvalue = 0,
3989 .writefn = gt_cntvoff_write,
3990 .fieldoffset = offsetof(CPUARMState, cp15.cntvoff_el2) },
3991 { .name = "CNTVOFF", .cp = 15, .opc1 = 4, .crm = 14,
3992 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_ALIAS | ARM_CP_IO,
3993 .writefn = gt_cntvoff_write,
3994 .fieldoffset = offsetof(CPUARMState, cp15.cntvoff_el2) },
b0e66d95
EI
3995 { .name = "CNTHP_CVAL_EL2", .state = ARM_CP_STATE_AA64,
3996 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 2,
3997 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_HYP].cval),
3998 .type = ARM_CP_IO, .access = PL2_RW,
3999 .writefn = gt_hyp_cval_write, .raw_writefn = raw_write },
4000 { .name = "CNTHP_CVAL", .cp = 15, .opc1 = 6, .crm = 14,
4001 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_HYP].cval),
4002 .access = PL2_RW, .type = ARM_CP_64BIT | ARM_CP_IO,
4003 .writefn = gt_hyp_cval_write, .raw_writefn = raw_write },
4004 { .name = "CNTHP_TVAL_EL2", .state = ARM_CP_STATE_BOTH,
4005 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 0,
d44ec156 4006 .type = ARM_CP_NO_RAW | ARM_CP_IO, .access = PL2_RW,
b0e66d95
EI
4007 .resetfn = gt_hyp_timer_reset,
4008 .readfn = gt_hyp_tval_read, .writefn = gt_hyp_tval_write },
4009 { .name = "CNTHP_CTL_EL2", .state = ARM_CP_STATE_BOTH,
4010 .type = ARM_CP_IO,
4011 .opc0 = 3, .opc1 = 4, .crn = 14, .crm = 2, .opc2 = 1,
4012 .access = PL2_RW,
4013 .fieldoffset = offsetof(CPUARMState, cp15.c14_timer[GTIMER_HYP].ctl),
4014 .resetvalue = 0,
4015 .writefn = gt_hyp_ctl_write, .raw_writefn = raw_write },
edac4d8a 4016#endif
14cc7b54
SF
4017 /* The only field of MDCR_EL2 that has a defined architectural reset value
4018 * is MDCR_EL2.HPMN which should reset to the value of PMCR_EL0.N; but we
4019 * don't impelment any PMU event counters, so using zero as a reset
4020 * value for MDCR_EL2 is okay
4021 */
4022 { .name = "MDCR_EL2", .state = ARM_CP_STATE_BOTH,
4023 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 1,
4024 .access = PL2_RW, .resetvalue = 0,
4025 .fieldoffset = offsetof(CPUARMState, cp15.mdcr_el2), },
59e05530
EI
4026 { .name = "HPFAR", .state = ARM_CP_STATE_AA32,
4027 .cp = 15, .opc1 = 4, .crn = 6, .crm = 0, .opc2 = 4,
4028 .access = PL2_RW, .accessfn = access_el3_aa32ns,
4029 .fieldoffset = offsetof(CPUARMState, cp15.hpfar_el2) },
4030 { .name = "HPFAR_EL2", .state = ARM_CP_STATE_AA64,
4031 .opc0 = 3, .opc1 = 4, .crn = 6, .crm = 0, .opc2 = 4,
4032 .access = PL2_RW,
4033 .fieldoffset = offsetof(CPUARMState, cp15.hpfar_el2) },
2a5a9abd
AF
4034 { .name = "HSTR_EL2", .state = ARM_CP_STATE_BOTH,
4035 .cp = 15, .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 1, .opc2 = 3,
4036 .access = PL2_RW,
4037 .fieldoffset = offsetof(CPUARMState, cp15.hstr_el2) },
3b685ba7
EI
4038 REGINFO_SENTINEL
4039};
4040
2f027fc5
PM
4041static CPAccessResult nsacr_access(CPUARMState *env, const ARMCPRegInfo *ri,
4042 bool isread)
4043{
4044 /* The NSACR is RW at EL3, and RO for NS EL1 and NS EL2.
4045 * At Secure EL1 it traps to EL3.
4046 */
4047 if (arm_current_el(env) == 3) {
4048 return CP_ACCESS_OK;
4049 }
4050 if (arm_is_secure_below_el3(env)) {
4051 return CP_ACCESS_TRAP_EL3;
4052 }
4053 /* Accesses from EL1 NS and EL2 NS are UNDEF for write but allow reads. */
4054 if (isread) {
4055 return CP_ACCESS_OK;
4056 }
4057 return CP_ACCESS_TRAP_UNCATEGORIZED;
4058}
4059
60fb1a87
GB
4060static const ARMCPRegInfo el3_cp_reginfo[] = {
4061 { .name = "SCR_EL3", .state = ARM_CP_STATE_AA64,
4062 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 1, .opc2 = 0,
4063 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.scr_el3),
4064 .resetvalue = 0, .writefn = scr_write },
7a0e58fa 4065 { .name = "SCR", .type = ARM_CP_ALIAS,
60fb1a87 4066 .cp = 15, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 0,
efe4a274
PM
4067 .access = PL1_RW, .accessfn = access_trap_aa32s_el1,
4068 .fieldoffset = offsetoflow32(CPUARMState, cp15.scr_el3),
b061a82b 4069 .writefn = scr_write },
60fb1a87
GB
4070 { .name = "SDER32_EL3", .state = ARM_CP_STATE_AA64,
4071 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 1, .opc2 = 1,
4072 .access = PL3_RW, .resetvalue = 0,
4073 .fieldoffset = offsetof(CPUARMState, cp15.sder) },
4074 { .name = "SDER",
4075 .cp = 15, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 1,
4076 .access = PL3_RW, .resetvalue = 0,
4077 .fieldoffset = offsetoflow32(CPUARMState, cp15.sder) },
60fb1a87 4078 { .name = "MVBAR", .cp = 15, .opc1 = 0, .crn = 12, .crm = 0, .opc2 = 1,
efe4a274
PM
4079 .access = PL1_RW, .accessfn = access_trap_aa32s_el1,
4080 .writefn = vbar_write, .resetvalue = 0,
60fb1a87 4081 .fieldoffset = offsetof(CPUARMState, cp15.mvbar) },
7dd8c9af
FA
4082 { .name = "TTBR0_EL3", .state = ARM_CP_STATE_AA64,
4083 .opc0 = 3, .opc1 = 6, .crn = 2, .crm = 0, .opc2 = 0,
4084 .access = PL3_RW, .writefn = vmsa_ttbr_write, .resetvalue = 0,
4085 .fieldoffset = offsetof(CPUARMState, cp15.ttbr0_el[3]) },
11f136ee
FA
4086 { .name = "TCR_EL3", .state = ARM_CP_STATE_AA64,
4087 .opc0 = 3, .opc1 = 6, .crn = 2, .crm = 0, .opc2 = 2,
6459b94c
PM
4088 .access = PL3_RW,
4089 /* no .writefn needed as this can't cause an ASID change;
811595a2
PM
4090 * we must provide a .raw_writefn and .resetfn because we handle
4091 * reset and migration for the AArch32 TTBCR(S), which might be
4092 * using mask and base_mask.
6459b94c 4093 */
811595a2 4094 .resetfn = vmsa_ttbcr_reset, .raw_writefn = vmsa_ttbcr_raw_write,
11f136ee 4095 .fieldoffset = offsetof(CPUARMState, cp15.tcr_el[3]) },
81547d66 4096 { .name = "ELR_EL3", .state = ARM_CP_STATE_AA64,
7a0e58fa 4097 .type = ARM_CP_ALIAS,
81547d66
EI
4098 .opc0 = 3, .opc1 = 6, .crn = 4, .crm = 0, .opc2 = 1,
4099 .access = PL3_RW,
4100 .fieldoffset = offsetof(CPUARMState, elr_el[3]) },
f2c30f42 4101 { .name = "ESR_EL3", .state = ARM_CP_STATE_AA64,
f2c30f42
EI
4102 .opc0 = 3, .opc1 = 6, .crn = 5, .crm = 2, .opc2 = 0,
4103 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.esr_el[3]) },
63b60551
EI
4104 { .name = "FAR_EL3", .state = ARM_CP_STATE_AA64,
4105 .opc0 = 3, .opc1 = 6, .crn = 6, .crm = 0, .opc2 = 0,
4106 .access = PL3_RW, .fieldoffset = offsetof(CPUARMState, cp15.far_el[3]) },
81547d66 4107 { .name = "SPSR_EL3", .state = ARM_CP_STATE_AA64,
7a0e58fa 4108 .type = ARM_CP_ALIAS,
81547d66 4109 .opc0 = 3, .opc1 = 6, .crn = 4, .crm = 0, .opc2 = 0,
99a99c1f
SB
4110 .access = PL3_RW,
4111 .fieldoffset = offsetof(CPUARMState, banked_spsr[BANK_MON]) },
a1ba125c
EI
4112 { .name = "VBAR_EL3", .state = ARM_CP_STATE_AA64,
4113 .opc0 = 3, .opc1 = 6, .crn = 12, .crm = 0, .opc2 = 0,
4114 .access = PL3_RW, .writefn = vbar_write,
4115 .fieldoffset = offsetof(CPUARMState, cp15.vbar_el[3]),
4116 .resetvalue = 0 },
c6f19164
GB
4117 { .name = "CPTR_EL3", .state = ARM_CP_STATE_AA64,
4118 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 1, .opc2 = 2,
4119 .access = PL3_RW, .accessfn = cptr_access, .resetvalue = 0,
4120 .fieldoffset = offsetof(CPUARMState, cp15.cptr_el[3]) },
4cfb8ad8
PM
4121 { .name = "TPIDR_EL3", .state = ARM_CP_STATE_AA64,
4122 .opc0 = 3, .opc1 = 6, .crn = 13, .crm = 0, .opc2 = 2,
4123 .access = PL3_RW, .resetvalue = 0,
4124 .fieldoffset = offsetof(CPUARMState, cp15.tpidr_el[3]) },
2179ef95
PM
4125 { .name = "AMAIR_EL3", .state = ARM_CP_STATE_AA64,
4126 .opc0 = 3, .opc1 = 6, .crn = 10, .crm = 3, .opc2 = 0,
4127 .access = PL3_RW, .type = ARM_CP_CONST,
4128 .resetvalue = 0 },
37cd6c24
PM
4129 { .name = "AFSR0_EL3", .state = ARM_CP_STATE_BOTH,
4130 .opc0 = 3, .opc1 = 6, .crn = 5, .crm = 1, .opc2 = 0,
4131 .access = PL3_RW, .type = ARM_CP_CONST,
4132 .resetvalue = 0 },
4133 { .name = "AFSR1_EL3", .state = ARM_CP_STATE_BOTH,
4134 .opc0 = 3, .opc1 = 6, .crn = 5, .crm = 1, .opc2 = 1,
4135 .access = PL3_RW, .type = ARM_CP_CONST,
4136 .resetvalue = 0 },
43efaa33
PM
4137 { .name = "TLBI_ALLE3IS", .state = ARM_CP_STATE_AA64,
4138 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 3, .opc2 = 0,
4139 .access = PL3_W, .type = ARM_CP_NO_RAW,
4140 .writefn = tlbi_aa64_alle3is_write },
4141 { .name = "TLBI_VAE3IS", .state = ARM_CP_STATE_AA64,
4142 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 3, .opc2 = 1,
4143 .access = PL3_W, .type = ARM_CP_NO_RAW,
4144 .writefn = tlbi_aa64_vae3is_write },
4145 { .name = "TLBI_VALE3IS", .state = ARM_CP_STATE_AA64,
4146 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 3, .opc2 = 5,
4147 .access = PL3_W, .type = ARM_CP_NO_RAW,
4148 .writefn = tlbi_aa64_vae3is_write },
4149 { .name = "TLBI_ALLE3", .state = ARM_CP_STATE_AA64,
4150 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 7, .opc2 = 0,
4151 .access = PL3_W, .type = ARM_CP_NO_RAW,
4152 .writefn = tlbi_aa64_alle3_write },
4153 { .name = "TLBI_VAE3", .state = ARM_CP_STATE_AA64,
4154 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 7, .opc2 = 1,
4155 .access = PL3_W, .type = ARM_CP_NO_RAW,
4156 .writefn = tlbi_aa64_vae3_write },
4157 { .name = "TLBI_VALE3", .state = ARM_CP_STATE_AA64,
4158 .opc0 = 1, .opc1 = 6, .crn = 8, .crm = 7, .opc2 = 5,
4159 .access = PL3_W, .type = ARM_CP_NO_RAW,
4160 .writefn = tlbi_aa64_vae3_write },
0f1a3b24
FA
4161 REGINFO_SENTINEL
4162};
4163
3f208fd7
PM
4164static CPAccessResult ctr_el0_access(CPUARMState *env, const ARMCPRegInfo *ri,
4165 bool isread)
7da845b0
PM
4166{
4167 /* Only accessible in EL0 if SCTLR.UCT is set (and only in AArch64,
4168 * but the AArch32 CTR has its own reginfo struct)
4169 */
137feaa9 4170 if (arm_current_el(env) == 0 && !(env->cp15.sctlr_el[1] & SCTLR_UCT)) {
7da845b0
PM
4171 return CP_ACCESS_TRAP;
4172 }
4173 return CP_ACCESS_OK;
4174}
4175
1424ca8d
DM
4176static void oslar_write(CPUARMState *env, const ARMCPRegInfo *ri,
4177 uint64_t value)
4178{
4179 /* Writes to OSLAR_EL1 may update the OS lock status, which can be
4180 * read via a bit in OSLSR_EL1.
4181 */
4182 int oslock;
4183
4184 if (ri->state == ARM_CP_STATE_AA32) {
4185 oslock = (value == 0xC5ACCE55);
4186 } else {
4187 oslock = value & 1;
4188 }
4189
4190 env->cp15.oslsr_el1 = deposit32(env->cp15.oslsr_el1, 1, 1, oslock);
4191}
4192
50300698 4193static const ARMCPRegInfo debug_cp_reginfo[] = {
50300698 4194 /* DBGDRAR, DBGDSAR: always RAZ since we don't implement memory mapped
10aae104
PM
4195 * debug components. The AArch64 version of DBGDRAR is named MDRAR_EL1;
4196 * unlike DBGDRAR it is never accessible from EL0.
4197 * DBGDSAR is deprecated and must RAZ from v8 anyway, so it has no AArch64
4198 * accessor.
50300698
PM
4199 */
4200 { .name = "DBGDRAR", .cp = 14, .crn = 1, .crm = 0, .opc1 = 0, .opc2 = 0,
91b0a238
PM
4201 .access = PL0_R, .accessfn = access_tdra,
4202 .type = ARM_CP_CONST, .resetvalue = 0 },
10aae104
PM
4203 { .name = "MDRAR_EL1", .state = ARM_CP_STATE_AA64,
4204 .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 0,
91b0a238
PM
4205 .access = PL1_R, .accessfn = access_tdra,
4206 .type = ARM_CP_CONST, .resetvalue = 0 },
50300698 4207 { .name = "DBGDSAR", .cp = 14, .crn = 2, .crm = 0, .opc1 = 0, .opc2 = 0,
91b0a238
PM
4208 .access = PL0_R, .accessfn = access_tdra,
4209 .type = ARM_CP_CONST, .resetvalue = 0 },
17a9eb53 4210 /* Monitor debug system control register; the 32-bit alias is DBGDSCRext. */
10aae104
PM
4211 { .name = "MDSCR_EL1", .state = ARM_CP_STATE_BOTH,
4212 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 2,
d6c8cf81 4213 .access = PL1_RW, .accessfn = access_tda,
0e5e8935
PM
4214 .fieldoffset = offsetof(CPUARMState, cp15.mdscr_el1),
4215 .resetvalue = 0 },
5e8b12ff
PM
4216 /* MDCCSR_EL0, aka DBGDSCRint. This is a read-only mirror of MDSCR_EL1.
4217 * We don't implement the configurable EL0 access.
4218 */
4219 { .name = "MDCCSR_EL0", .state = ARM_CP_STATE_BOTH,
4220 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 0,
7a0e58fa 4221 .type = ARM_CP_ALIAS,
d6c8cf81 4222 .access = PL1_R, .accessfn = access_tda,
b061a82b 4223 .fieldoffset = offsetof(CPUARMState, cp15.mdscr_el1), },
10aae104
PM
4224 { .name = "OSLAR_EL1", .state = ARM_CP_STATE_BOTH,
4225 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 4,
1424ca8d 4226 .access = PL1_W, .type = ARM_CP_NO_RAW,
187f678d 4227 .accessfn = access_tdosa,
1424ca8d
DM
4228 .writefn = oslar_write },
4229 { .name = "OSLSR_EL1", .state = ARM_CP_STATE_BOTH,
4230 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 4,
4231 .access = PL1_R, .resetvalue = 10,
187f678d 4232 .accessfn = access_tdosa,
1424ca8d 4233 .fieldoffset = offsetof(CPUARMState, cp15.oslsr_el1) },
5e8b12ff
PM
4234 /* Dummy OSDLR_EL1: 32-bit Linux will read this */
4235 { .name = "OSDLR_EL1", .state = ARM_CP_STATE_BOTH,
4236 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 1, .crm = 3, .opc2 = 4,
187f678d
PM
4237 .access = PL1_RW, .accessfn = access_tdosa,
4238 .type = ARM_CP_NOP },
5e8b12ff
PM
4239 /* Dummy DBGVCR: Linux wants to clear this on startup, but we don't
4240 * implement vector catch debug events yet.
4241 */
4242 { .name = "DBGVCR",
4243 .cp = 14, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 0,
d6c8cf81
PM
4244 .access = PL1_RW, .accessfn = access_tda,
4245 .type = ARM_CP_NOP },
4d2ec4da
PM
4246 /* Dummy DBGVCR32_EL2 (which is only for a 64-bit hypervisor
4247 * to save and restore a 32-bit guest's DBGVCR)
4248 */
4249 { .name = "DBGVCR32_EL2", .state = ARM_CP_STATE_AA64,
4250 .opc0 = 2, .opc1 = 4, .crn = 0, .crm = 7, .opc2 = 0,
4251 .access = PL2_RW, .accessfn = access_tda,
4252 .type = ARM_CP_NOP },
5dbdc434
PM
4253 /* Dummy MDCCINT_EL1, since we don't implement the Debug Communications
4254 * Channel but Linux may try to access this register. The 32-bit
4255 * alias is DBGDCCINT.
4256 */
4257 { .name = "MDCCINT_EL1", .state = ARM_CP_STATE_BOTH,
4258 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 0,
4259 .access = PL1_RW, .accessfn = access_tda,
4260 .type = ARM_CP_NOP },
50300698
PM
4261 REGINFO_SENTINEL
4262};
4263
4264static const ARMCPRegInfo debug_lpae_cp_reginfo[] = {
4265 /* 64 bit access versions of the (dummy) debug registers */
4266 { .name = "DBGDRAR", .cp = 14, .crm = 1, .opc1 = 0,
4267 .access = PL0_R, .type = ARM_CP_CONST|ARM_CP_64BIT, .resetvalue = 0 },
4268 { .name = "DBGDSAR", .cp = 14, .crm = 2, .opc1 = 0,
4269 .access = PL0_R, .type = ARM_CP_CONST|ARM_CP_64BIT, .resetvalue = 0 },
4270 REGINFO_SENTINEL
4271};
4272
5be5e8ed
RH
4273/* Return the exception level to which SVE-disabled exceptions should
4274 * be taken, or 0 if SVE is enabled.
4275 */
4276static int sve_exception_el(CPUARMState *env)
4277{
4278#ifndef CONFIG_USER_ONLY
4279 unsigned current_el = arm_current_el(env);
4280
4281 /* The CPACR.ZEN controls traps to EL1:
4282 * 0, 2 : trap EL0 and EL1 accesses
4283 * 1 : trap only EL0 accesses
4284 * 3 : trap no accesses
4285 */
4286 switch (extract32(env->cp15.cpacr_el1, 16, 2)) {
4287 default:
4288 if (current_el <= 1) {
4289 /* Trap to PL1, which might be EL1 or EL3 */
4290 if (arm_is_secure(env) && !arm_el_is_aa64(env, 3)) {
4291 return 3;
4292 }
4293 return 1;
4294 }
4295 break;
4296 case 1:
4297 if (current_el == 0) {
4298 return 1;
4299 }
4300 break;
4301 case 3:
4302 break;
4303 }
4304
4305 /* Similarly for CPACR.FPEN, after having checked ZEN. */
4306 switch (extract32(env->cp15.cpacr_el1, 20, 2)) {
4307 default:
4308 if (current_el <= 1) {
4309 if (arm_is_secure(env) && !arm_el_is_aa64(env, 3)) {
4310 return 3;
4311 }
4312 return 1;
4313 }
4314 break;
4315 case 1:
4316 if (current_el == 0) {
4317 return 1;
4318 }
4319 break;
4320 case 3:
4321 break;
4322 }
4323
4324 /* CPTR_EL2. Check both TZ and TFP. */
4325 if (current_el <= 2
4326 && (env->cp15.cptr_el[2] & (CPTR_TFP | CPTR_TZ))
4327 && !arm_is_secure_below_el3(env)) {
4328 return 2;
4329 }
4330
4331 /* CPTR_EL3. Check both EZ and TFP. */
4332 if (!(env->cp15.cptr_el[3] & CPTR_EZ)
4333 || (env->cp15.cptr_el[3] & CPTR_TFP)) {
4334 return 3;
4335 }
4336#endif
4337 return 0;
4338}
4339
5be5e8ed
RH
4340static void zcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
4341 uint64_t value)
4342{
4343 /* Bits other than [3:0] are RAZ/WI. */
4344 raw_write(env, ri, value & 0xf);
4345}
4346
4347static const ARMCPRegInfo zcr_el1_reginfo = {
4348 .name = "ZCR_EL1", .state = ARM_CP_STATE_AA64,
4349 .opc0 = 3, .opc1 = 0, .crn = 1, .crm = 2, .opc2 = 0,
490aa7f1 4350 .access = PL1_RW, .type = ARM_CP_SVE | ARM_CP_FPU,
5be5e8ed
RH
4351 .fieldoffset = offsetof(CPUARMState, vfp.zcr_el[1]),
4352 .writefn = zcr_write, .raw_writefn = raw_write
4353};
4354
4355static const ARMCPRegInfo zcr_el2_reginfo = {
4356 .name = "ZCR_EL2", .state = ARM_CP_STATE_AA64,
4357 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 2, .opc2 = 0,
490aa7f1 4358 .access = PL2_RW, .type = ARM_CP_SVE | ARM_CP_FPU,
5be5e8ed
RH
4359 .fieldoffset = offsetof(CPUARMState, vfp.zcr_el[2]),
4360 .writefn = zcr_write, .raw_writefn = raw_write
4361};
4362
4363static const ARMCPRegInfo zcr_no_el2_reginfo = {
4364 .name = "ZCR_EL2", .state = ARM_CP_STATE_AA64,
4365 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 2, .opc2 = 0,
490aa7f1 4366 .access = PL2_RW, .type = ARM_CP_SVE | ARM_CP_FPU,
5be5e8ed
RH
4367 .readfn = arm_cp_read_zero, .writefn = arm_cp_write_ignore
4368};
4369
4370static const ARMCPRegInfo zcr_el3_reginfo = {
4371 .name = "ZCR_EL3", .state = ARM_CP_STATE_AA64,
4372 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 2, .opc2 = 0,
490aa7f1 4373 .access = PL3_RW, .type = ARM_CP_SVE | ARM_CP_FPU,
5be5e8ed
RH
4374 .fieldoffset = offsetof(CPUARMState, vfp.zcr_el[3]),
4375 .writefn = zcr_write, .raw_writefn = raw_write
4376};
4377
9ee98ce8
PM
4378void hw_watchpoint_update(ARMCPU *cpu, int n)
4379{
4380 CPUARMState *env = &cpu->env;
4381 vaddr len = 0;
4382 vaddr wvr = env->cp15.dbgwvr[n];
4383 uint64_t wcr = env->cp15.dbgwcr[n];
4384 int mask;
4385 int flags = BP_CPU | BP_STOP_BEFORE_ACCESS;
4386
4387 if (env->cpu_watchpoint[n]) {
4388 cpu_watchpoint_remove_by_ref(CPU(cpu), env->cpu_watchpoint[n]);
4389 env->cpu_watchpoint[n] = NULL;
4390 }
4391
4392 if (!extract64(wcr, 0, 1)) {
4393 /* E bit clear : watchpoint disabled */
4394 return;
4395 }
4396
4397 switch (extract64(wcr, 3, 2)) {
4398 case 0:
4399 /* LSC 00 is reserved and must behave as if the wp is disabled */
4400 return;
4401 case 1:
4402 flags |= BP_MEM_READ;
4403 break;
4404 case 2:
4405 flags |= BP_MEM_WRITE;
4406 break;
4407 case 3:
4408 flags |= BP_MEM_ACCESS;
4409 break;
4410 }
4411
4412 /* Attempts to use both MASK and BAS fields simultaneously are
4413 * CONSTRAINED UNPREDICTABLE; we opt to ignore BAS in this case,
4414 * thus generating a watchpoint for every byte in the masked region.
4415 */
4416 mask = extract64(wcr, 24, 4);
4417 if (mask == 1 || mask == 2) {
4418 /* Reserved values of MASK; we must act as if the mask value was
4419 * some non-reserved value, or as if the watchpoint were disabled.
4420 * We choose the latter.
4421 */
4422 return;
4423 } else if (mask) {
4424 /* Watchpoint covers an aligned area up to 2GB in size */
4425 len = 1ULL << mask;
4426 /* If masked bits in WVR are not zero it's CONSTRAINED UNPREDICTABLE
4427 * whether the watchpoint fires when the unmasked bits match; we opt
4428 * to generate the exceptions.
4429 */
4430 wvr &= ~(len - 1);
4431 } else {
4432 /* Watchpoint covers bytes defined by the byte address select bits */
4433 int bas = extract64(wcr, 5, 8);
4434 int basstart;
4435
4436 if (bas == 0) {
4437 /* This must act as if the watchpoint is disabled */
4438 return;
4439 }
4440
4441 if (extract64(wvr, 2, 1)) {
4442 /* Deprecated case of an only 4-aligned address. BAS[7:4] are
4443 * ignored, and BAS[3:0] define which bytes to watch.
4444 */
4445 bas &= 0xf;
4446 }
4447 /* The BAS bits are supposed to be programmed to indicate a contiguous
4448 * range of bytes. Otherwise it is CONSTRAINED UNPREDICTABLE whether
4449 * we fire for each byte in the word/doubleword addressed by the WVR.
4450 * We choose to ignore any non-zero bits after the first range of 1s.
4451 */
4452 basstart = ctz32(bas);
4453 len = cto32(bas >> basstart);
4454 wvr += basstart;
4455 }
4456
4457 cpu_watchpoint_insert(CPU(cpu), wvr, len, flags,
4458 &env->cpu_watchpoint[n]);
4459}
4460
4461void hw_watchpoint_update_all(ARMCPU *cpu)
4462{
4463 int i;
4464 CPUARMState *env = &cpu->env;
4465
4466 /* Completely clear out existing QEMU watchpoints and our array, to
4467 * avoid possible stale entries following migration load.
4468 */
4469 cpu_watchpoint_remove_all(CPU(cpu), BP_CPU);
4470 memset(env->cpu_watchpoint, 0, sizeof(env->cpu_watchpoint));
4471
4472 for (i = 0; i < ARRAY_SIZE(cpu->env.cpu_watchpoint); i++) {
4473 hw_watchpoint_update(cpu, i);
4474 }
4475}
4476
4477static void dbgwvr_write(CPUARMState *env, const ARMCPRegInfo *ri,
4478 uint64_t value)
4479{
4480 ARMCPU *cpu = arm_env_get_cpu(env);
4481 int i = ri->crm;
4482
4483 /* Bits [63:49] are hardwired to the value of bit [48]; that is, the
4484 * register reads and behaves as if values written are sign extended.
4485 * Bits [1:0] are RES0.
4486 */
4487 value = sextract64(value, 0, 49) & ~3ULL;
4488
4489 raw_write(env, ri, value);
4490 hw_watchpoint_update(cpu, i);
4491}
4492
4493static void dbgwcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
4494 uint64_t value)
4495{
4496 ARMCPU *cpu = arm_env_get_cpu(env);
4497 int i = ri->crm;
4498
4499 raw_write(env, ri, value);
4500 hw_watchpoint_update(cpu, i);
4501}
4502
46747d15
PM
4503void hw_breakpoint_update(ARMCPU *cpu, int n)
4504{
4505 CPUARMState *env = &cpu->env;
4506 uint64_t bvr = env->cp15.dbgbvr[n];
4507 uint64_t bcr = env->cp15.dbgbcr[n];
4508 vaddr addr;
4509 int bt;
4510 int flags = BP_CPU;
4511
4512 if (env->cpu_breakpoint[n]) {
4513 cpu_breakpoint_remove_by_ref(CPU(cpu), env->cpu_breakpoint[n]);
4514 env->cpu_breakpoint[n] = NULL;
4515 }
4516
4517 if (!extract64(bcr, 0, 1)) {
4518 /* E bit clear : watchpoint disabled */
4519 return;
4520 }
4521
4522 bt = extract64(bcr, 20, 4);
4523
4524 switch (bt) {
4525 case 4: /* unlinked address mismatch (reserved if AArch64) */
4526 case 5: /* linked address mismatch (reserved if AArch64) */
4527 qemu_log_mask(LOG_UNIMP,
4528 "arm: address mismatch breakpoint types not implemented");
4529 return;
4530 case 0: /* unlinked address match */
4531 case 1: /* linked address match */
4532 {
4533 /* Bits [63:49] are hardwired to the value of bit [48]; that is,
4534 * we behave as if the register was sign extended. Bits [1:0] are
4535 * RES0. The BAS field is used to allow setting breakpoints on 16
4536 * bit wide instructions; it is CONSTRAINED UNPREDICTABLE whether
4537 * a bp will fire if the addresses covered by the bp and the addresses
4538 * covered by the insn overlap but the insn doesn't start at the
4539 * start of the bp address range. We choose to require the insn and
4540 * the bp to have the same address. The constraints on writing to
4541 * BAS enforced in dbgbcr_write mean we have only four cases:
4542 * 0b0000 => no breakpoint
4543 * 0b0011 => breakpoint on addr
4544 * 0b1100 => breakpoint on addr + 2
4545 * 0b1111 => breakpoint on addr
4546 * See also figure D2-3 in the v8 ARM ARM (DDI0487A.c).
4547 */
4548 int bas = extract64(bcr, 5, 4);
4549 addr = sextract64(bvr, 0, 49) & ~3ULL;
4550 if (bas == 0) {
4551 return;
4552 }
4553 if (bas == 0xc) {
4554 addr += 2;
4555 }
4556 break;
4557 }
4558 case 2: /* unlinked context ID match */
4559 case 8: /* unlinked VMID match (reserved if no EL2) */
4560 case 10: /* unlinked context ID and VMID match (reserved if no EL2) */
4561 qemu_log_mask(LOG_UNIMP,
4562 "arm: unlinked context breakpoint types not implemented");
4563 return;
4564 case 9: /* linked VMID match (reserved if no EL2) */
4565 case 11: /* linked context ID and VMID match (reserved if no EL2) */
4566 case 3: /* linked context ID match */
4567 default:
4568 /* We must generate no events for Linked context matches (unless
4569 * they are linked to by some other bp/wp, which is handled in
4570 * updates for the linking bp/wp). We choose to also generate no events
4571 * for reserved values.
4572 */
4573 return;
4574 }
4575
4576 cpu_breakpoint_insert(CPU(cpu), addr, flags, &env->cpu_breakpoint[n]);
4577}
4578
4579void hw_breakpoint_update_all(ARMCPU *cpu)
4580{
4581 int i;
4582 CPUARMState *env = &cpu->env;
4583
4584 /* Completely clear out existing QEMU breakpoints and our array, to
4585 * avoid possible stale entries following migration load.
4586 */
4587 cpu_breakpoint_remove_all(CPU(cpu), BP_CPU);
4588 memset(env->cpu_breakpoint, 0, sizeof(env->cpu_breakpoint));
4589
4590 for (i = 0; i < ARRAY_SIZE(cpu->env.cpu_breakpoint); i++) {
4591 hw_breakpoint_update(cpu, i);
4592 }
4593}
4594
4595static void dbgbvr_write(CPUARMState *env, const ARMCPRegInfo *ri,
4596 uint64_t value)
4597{
4598 ARMCPU *cpu = arm_env_get_cpu(env);
4599 int i = ri->crm;
4600
4601 raw_write(env, ri, value);
4602 hw_breakpoint_update(cpu, i);
4603}
4604
4605static void dbgbcr_write(CPUARMState *env, const ARMCPRegInfo *ri,
4606 uint64_t value)
4607{
4608 ARMCPU *cpu = arm_env_get_cpu(env);
4609 int i = ri->crm;
4610
4611 /* BAS[3] is a read-only copy of BAS[2], and BAS[1] a read-only
4612 * copy of BAS[0].
4613 */
4614 value = deposit64(value, 6, 1, extract64(value, 5, 1));
4615 value = deposit64(value, 8, 1, extract64(value, 7, 1));
4616
4617 raw_write(env, ri, value);
4618 hw_breakpoint_update(cpu, i);
4619}
4620
50300698 4621static void define_debug_regs(ARMCPU *cpu)
0b45451e 4622{
50300698
PM
4623 /* Define v7 and v8 architectural debug registers.
4624 * These are just dummy implementations for now.
0b45451e
PM
4625 */
4626 int i;
3ff6fc91 4627 int wrps, brps, ctx_cmps;
48eb3ae6
PM
4628 ARMCPRegInfo dbgdidr = {
4629 .name = "DBGDIDR", .cp = 14, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 0,
d6c8cf81
PM
4630 .access = PL0_R, .accessfn = access_tda,
4631 .type = ARM_CP_CONST, .resetvalue = cpu->dbgdidr,
48eb3ae6
PM
4632 };
4633
3ff6fc91 4634 /* Note that all these register fields hold "number of Xs minus 1". */
48eb3ae6
PM
4635 brps = extract32(cpu->dbgdidr, 24, 4);
4636 wrps = extract32(cpu->dbgdidr, 28, 4);
3ff6fc91
PM
4637 ctx_cmps = extract32(cpu->dbgdidr, 20, 4);
4638
4639 assert(ctx_cmps <= brps);
48eb3ae6
PM
4640
4641 /* The DBGDIDR and ID_AA64DFR0_EL1 define various properties
4642 * of the debug registers such as number of breakpoints;
4643 * check that if they both exist then they agree.
4644 */
4645 if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64)) {
4646 assert(extract32(cpu->id_aa64dfr0, 12, 4) == brps);
4647 assert(extract32(cpu->id_aa64dfr0, 20, 4) == wrps);
3ff6fc91 4648 assert(extract32(cpu->id_aa64dfr0, 28, 4) == ctx_cmps);
48eb3ae6 4649 }
0b45451e 4650
48eb3ae6 4651 define_one_arm_cp_reg(cpu, &dbgdidr);
50300698
PM
4652 define_arm_cp_regs(cpu, debug_cp_reginfo);
4653
4654 if (arm_feature(&cpu->env, ARM_FEATURE_LPAE)) {
4655 define_arm_cp_regs(cpu, debug_lpae_cp_reginfo);
4656 }
4657
48eb3ae6 4658 for (i = 0; i < brps + 1; i++) {
0b45451e 4659 ARMCPRegInfo dbgregs[] = {
10aae104
PM
4660 { .name = "DBGBVR", .state = ARM_CP_STATE_BOTH,
4661 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 4,
d6c8cf81 4662 .access = PL1_RW, .accessfn = access_tda,
46747d15
PM
4663 .fieldoffset = offsetof(CPUARMState, cp15.dbgbvr[i]),
4664 .writefn = dbgbvr_write, .raw_writefn = raw_write
4665 },
10aae104
PM
4666 { .name = "DBGBCR", .state = ARM_CP_STATE_BOTH,
4667 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 5,
d6c8cf81 4668 .access = PL1_RW, .accessfn = access_tda,
46747d15
PM
4669 .fieldoffset = offsetof(CPUARMState, cp15.dbgbcr[i]),
4670 .writefn = dbgbcr_write, .raw_writefn = raw_write
4671 },
48eb3ae6
PM
4672 REGINFO_SENTINEL
4673 };
4674 define_arm_cp_regs(cpu, dbgregs);
4675 }
4676
4677 for (i = 0; i < wrps + 1; i++) {
4678 ARMCPRegInfo dbgregs[] = {
10aae104
PM
4679 { .name = "DBGWVR", .state = ARM_CP_STATE_BOTH,
4680 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 6,
d6c8cf81 4681 .access = PL1_RW, .accessfn = access_tda,
9ee98ce8
PM
4682 .fieldoffset = offsetof(CPUARMState, cp15.dbgwvr[i]),
4683 .writefn = dbgwvr_write, .raw_writefn = raw_write
4684 },
10aae104
PM
4685 { .name = "DBGWCR", .state = ARM_CP_STATE_BOTH,
4686 .cp = 14, .opc0 = 2, .opc1 = 0, .crn = 0, .crm = i, .opc2 = 7,
d6c8cf81 4687 .access = PL1_RW, .accessfn = access_tda,
9ee98ce8
PM
4688 .fieldoffset = offsetof(CPUARMState, cp15.dbgwcr[i]),
4689 .writefn = dbgwcr_write, .raw_writefn = raw_write
4690 },
4691 REGINFO_SENTINEL
0b45451e
PM
4692 };
4693 define_arm_cp_regs(cpu, dbgregs);
4694 }
4695}
4696
96a8b92e
PM
4697/* We don't know until after realize whether there's a GICv3
4698 * attached, and that is what registers the gicv3 sysregs.
4699 * So we have to fill in the GIC fields in ID_PFR/ID_PFR1_EL1/ID_AA64PFR0_EL1
4700 * at runtime.
4701 */
4702static uint64_t id_pfr1_read(CPUARMState *env, const ARMCPRegInfo *ri)
4703{
4704 ARMCPU *cpu = arm_env_get_cpu(env);
4705 uint64_t pfr1 = cpu->id_pfr1;
4706
4707 if (env->gicv3state) {
4708 pfr1 |= 1 << 28;
4709 }
4710 return pfr1;
4711}
4712
4713static uint64_t id_aa64pfr0_read(CPUARMState *env, const ARMCPRegInfo *ri)
4714{
4715 ARMCPU *cpu = arm_env_get_cpu(env);
4716 uint64_t pfr0 = cpu->id_aa64pfr0;
4717
4718 if (env->gicv3state) {
4719 pfr0 |= 1 << 24;
4720 }
4721 return pfr0;
4722}
4723
2ceb98c0
PM
4724void register_cp_regs_for_features(ARMCPU *cpu)
4725{
4726 /* Register all the coprocessor registers based on feature bits */
4727 CPUARMState *env = &cpu->env;
4728 if (arm_feature(env, ARM_FEATURE_M)) {
4729 /* M profile has no coprocessor registers */
4730 return;
4731 }
4732
e9aa6c21 4733 define_arm_cp_regs(cpu, cp_reginfo);
9449fdf6
PM
4734 if (!arm_feature(env, ARM_FEATURE_V8)) {
4735 /* Must go early as it is full of wildcards that may be
4736 * overridden by later definitions.
4737 */
4738 define_arm_cp_regs(cpu, not_v8_cp_reginfo);
4739 }
4740
7d57f408 4741 if (arm_feature(env, ARM_FEATURE_V6)) {
8515a092
PM
4742 /* The ID registers all have impdef reset values */
4743 ARMCPRegInfo v6_idregs[] = {
0ff644a7
PM
4744 { .name = "ID_PFR0", .state = ARM_CP_STATE_BOTH,
4745 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 0,
4746 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4747 .resetvalue = cpu->id_pfr0 },
96a8b92e
PM
4748 /* ID_PFR1 is not a plain ARM_CP_CONST because we don't know
4749 * the value of the GIC field until after we define these regs.
4750 */
0ff644a7
PM
4751 { .name = "ID_PFR1", .state = ARM_CP_STATE_BOTH,
4752 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 1,
96a8b92e
PM
4753 .access = PL1_R, .type = ARM_CP_NO_RAW,
4754 .readfn = id_pfr1_read,
4755 .writefn = arm_cp_write_ignore },
0ff644a7
PM
4756 { .name = "ID_DFR0", .state = ARM_CP_STATE_BOTH,
4757 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 2,
4758 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4759 .resetvalue = cpu->id_dfr0 },
0ff644a7
PM
4760 { .name = "ID_AFR0", .state = ARM_CP_STATE_BOTH,
4761 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 3,
4762 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4763 .resetvalue = cpu->id_afr0 },
0ff644a7
PM
4764 { .name = "ID_MMFR0", .state = ARM_CP_STATE_BOTH,
4765 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 4,
4766 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4767 .resetvalue = cpu->id_mmfr0 },
0ff644a7
PM
4768 { .name = "ID_MMFR1", .state = ARM_CP_STATE_BOTH,
4769 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 5,
4770 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4771 .resetvalue = cpu->id_mmfr1 },
0ff644a7
PM
4772 { .name = "ID_MMFR2", .state = ARM_CP_STATE_BOTH,
4773 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 6,
4774 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4775 .resetvalue = cpu->id_mmfr2 },
0ff644a7
PM
4776 { .name = "ID_MMFR3", .state = ARM_CP_STATE_BOTH,
4777 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 1, .opc2 = 7,
4778 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4779 .resetvalue = cpu->id_mmfr3 },
0ff644a7
PM
4780 { .name = "ID_ISAR0", .state = ARM_CP_STATE_BOTH,
4781 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 0,
4782 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4783 .resetvalue = cpu->id_isar0 },
0ff644a7
PM
4784 { .name = "ID_ISAR1", .state = ARM_CP_STATE_BOTH,
4785 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 1,
4786 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4787 .resetvalue = cpu->id_isar1 },
0ff644a7
PM
4788 { .name = "ID_ISAR2", .state = ARM_CP_STATE_BOTH,
4789 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 2,
4790 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4791 .resetvalue = cpu->id_isar2 },
0ff644a7
PM
4792 { .name = "ID_ISAR3", .state = ARM_CP_STATE_BOTH,
4793 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 3,
4794 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4795 .resetvalue = cpu->id_isar3 },
0ff644a7
PM
4796 { .name = "ID_ISAR4", .state = ARM_CP_STATE_BOTH,
4797 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 4,
4798 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4799 .resetvalue = cpu->id_isar4 },
0ff644a7
PM
4800 { .name = "ID_ISAR5", .state = ARM_CP_STATE_BOTH,
4801 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 5,
4802 .access = PL1_R, .type = ARM_CP_CONST,
8515a092 4803 .resetvalue = cpu->id_isar5 },
e20d84c1
PM
4804 { .name = "ID_MMFR4", .state = ARM_CP_STATE_BOTH,
4805 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 6,
4806 .access = PL1_R, .type = ARM_CP_CONST,
4807 .resetvalue = cpu->id_mmfr4 },
4808 /* 7 is as yet unallocated and must RAZ */
4809 { .name = "ID_ISAR7_RESERVED", .state = ARM_CP_STATE_BOTH,
4810 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 2, .opc2 = 7,
4811 .access = PL1_R, .type = ARM_CP_CONST,
8515a092
PM
4812 .resetvalue = 0 },
4813 REGINFO_SENTINEL
4814 };
4815 define_arm_cp_regs(cpu, v6_idregs);
7d57f408
PM
4816 define_arm_cp_regs(cpu, v6_cp_reginfo);
4817 } else {
4818 define_arm_cp_regs(cpu, not_v6_cp_reginfo);
4819 }
4d31c596
PM
4820 if (arm_feature(env, ARM_FEATURE_V6K)) {
4821 define_arm_cp_regs(cpu, v6k_cp_reginfo);
4822 }
5e5cf9e3 4823 if (arm_feature(env, ARM_FEATURE_V7MP) &&
452a0955 4824 !arm_feature(env, ARM_FEATURE_PMSA)) {
995939a6
PM
4825 define_arm_cp_regs(cpu, v7mp_cp_reginfo);
4826 }
e9aa6c21 4827 if (arm_feature(env, ARM_FEATURE_V7)) {
200ac0ef 4828 /* v7 performance monitor control register: same implementor
7c2cb42b
AF
4829 * field as main ID register, and we implement only the cycle
4830 * count register.
200ac0ef 4831 */
7c2cb42b 4832#ifndef CONFIG_USER_ONLY
200ac0ef
PM
4833 ARMCPRegInfo pmcr = {
4834 .name = "PMCR", .cp = 15, .crn = 9, .crm = 12, .opc1 = 0, .opc2 = 0,
8521466b 4835 .access = PL0_RW,
7a0e58fa 4836 .type = ARM_CP_IO | ARM_CP_ALIAS,
8521466b 4837 .fieldoffset = offsetoflow32(CPUARMState, cp15.c9_pmcr),
fcd25206
PM
4838 .accessfn = pmreg_access, .writefn = pmcr_write,
4839 .raw_writefn = raw_write,
200ac0ef 4840 };
8521466b
AF
4841 ARMCPRegInfo pmcr64 = {
4842 .name = "PMCR_EL0", .state = ARM_CP_STATE_AA64,
4843 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 0,
4844 .access = PL0_RW, .accessfn = pmreg_access,
4845 .type = ARM_CP_IO,
4846 .fieldoffset = offsetof(CPUARMState, cp15.c9_pmcr),
4847 .resetvalue = cpu->midr & 0xff000000,
4848 .writefn = pmcr_write, .raw_writefn = raw_write,
4849 };
7c2cb42b 4850 define_one_arm_cp_reg(cpu, &pmcr);
8521466b 4851 define_one_arm_cp_reg(cpu, &pmcr64);
7c2cb42b 4852#endif
776d4e5c 4853 ARMCPRegInfo clidr = {
7da845b0
PM
4854 .name = "CLIDR", .state = ARM_CP_STATE_BOTH,
4855 .opc0 = 3, .crn = 0, .crm = 0, .opc1 = 1, .opc2 = 1,
776d4e5c
PM
4856 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->clidr
4857 };
776d4e5c 4858 define_one_arm_cp_reg(cpu, &clidr);
e9aa6c21 4859 define_arm_cp_regs(cpu, v7_cp_reginfo);
50300698 4860 define_debug_regs(cpu);
7d57f408
PM
4861 } else {
4862 define_arm_cp_regs(cpu, not_v7_cp_reginfo);
e9aa6c21 4863 }
b0d2b7d0 4864 if (arm_feature(env, ARM_FEATURE_V8)) {
e20d84c1
PM
4865 /* AArch64 ID registers, which all have impdef reset values.
4866 * Note that within the ID register ranges the unused slots
4867 * must all RAZ, not UNDEF; future architecture versions may
4868 * define new registers here.
4869 */
e60cef86 4870 ARMCPRegInfo v8_idregs[] = {
96a8b92e
PM
4871 /* ID_AA64PFR0_EL1 is not a plain ARM_CP_CONST because we don't
4872 * know the right value for the GIC field until after we
4873 * define these regs.
4874 */
e60cef86
PM
4875 { .name = "ID_AA64PFR0_EL1", .state = ARM_CP_STATE_AA64,
4876 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 0,
96a8b92e
PM
4877 .access = PL1_R, .type = ARM_CP_NO_RAW,
4878 .readfn = id_aa64pfr0_read,
4879 .writefn = arm_cp_write_ignore },
e60cef86
PM
4880 { .name = "ID_AA64PFR1_EL1", .state = ARM_CP_STATE_AA64,
4881 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 1,
4882 .access = PL1_R, .type = ARM_CP_CONST,
4883 .resetvalue = cpu->id_aa64pfr1},
e20d84c1
PM
4884 { .name = "ID_AA64PFR2_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4885 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 2,
4886 .access = PL1_R, .type = ARM_CP_CONST,
4887 .resetvalue = 0 },
4888 { .name = "ID_AA64PFR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4889 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 3,
4890 .access = PL1_R, .type = ARM_CP_CONST,
4891 .resetvalue = 0 },
4892 { .name = "ID_AA64PFR4_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4893 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 4,
4894 .access = PL1_R, .type = ARM_CP_CONST,
4895 .resetvalue = 0 },
4896 { .name = "ID_AA64PFR5_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4897 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 5,
4898 .access = PL1_R, .type = ARM_CP_CONST,
4899 .resetvalue = 0 },
4900 { .name = "ID_AA64PFR6_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4901 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 6,
4902 .access = PL1_R, .type = ARM_CP_CONST,
4903 .resetvalue = 0 },
4904 { .name = "ID_AA64PFR7_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4905 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 4, .opc2 = 7,
4906 .access = PL1_R, .type = ARM_CP_CONST,
4907 .resetvalue = 0 },
e60cef86
PM
4908 { .name = "ID_AA64DFR0_EL1", .state = ARM_CP_STATE_AA64,
4909 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 0,
4910 .access = PL1_R, .type = ARM_CP_CONST,
d6f02ce3 4911 .resetvalue = cpu->id_aa64dfr0 },
e60cef86
PM
4912 { .name = "ID_AA64DFR1_EL1", .state = ARM_CP_STATE_AA64,
4913 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 1,
4914 .access = PL1_R, .type = ARM_CP_CONST,
4915 .resetvalue = cpu->id_aa64dfr1 },
e20d84c1
PM
4916 { .name = "ID_AA64DFR2_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4917 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 2,
4918 .access = PL1_R, .type = ARM_CP_CONST,
4919 .resetvalue = 0 },
4920 { .name = "ID_AA64DFR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4921 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 3,
4922 .access = PL1_R, .type = ARM_CP_CONST,
4923 .resetvalue = 0 },
e60cef86
PM
4924 { .name = "ID_AA64AFR0_EL1", .state = ARM_CP_STATE_AA64,
4925 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 4,
4926 .access = PL1_R, .type = ARM_CP_CONST,
4927 .resetvalue = cpu->id_aa64afr0 },
4928 { .name = "ID_AA64AFR1_EL1", .state = ARM_CP_STATE_AA64,
4929 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 5,
4930 .access = PL1_R, .type = ARM_CP_CONST,
4931 .resetvalue = cpu->id_aa64afr1 },
e20d84c1
PM
4932 { .name = "ID_AA64AFR2_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4933 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 6,
4934 .access = PL1_R, .type = ARM_CP_CONST,
4935 .resetvalue = 0 },
4936 { .name = "ID_AA64AFR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4937 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 5, .opc2 = 7,
4938 .access = PL1_R, .type = ARM_CP_CONST,
4939 .resetvalue = 0 },
e60cef86
PM
4940 { .name = "ID_AA64ISAR0_EL1", .state = ARM_CP_STATE_AA64,
4941 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 0,
4942 .access = PL1_R, .type = ARM_CP_CONST,
4943 .resetvalue = cpu->id_aa64isar0 },
4944 { .name = "ID_AA64ISAR1_EL1", .state = ARM_CP_STATE_AA64,
4945 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 1,
4946 .access = PL1_R, .type = ARM_CP_CONST,
4947 .resetvalue = cpu->id_aa64isar1 },
e20d84c1
PM
4948 { .name = "ID_AA64ISAR2_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4949 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 2,
4950 .access = PL1_R, .type = ARM_CP_CONST,
4951 .resetvalue = 0 },
4952 { .name = "ID_AA64ISAR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4953 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 3,
4954 .access = PL1_R, .type = ARM_CP_CONST,
4955 .resetvalue = 0 },
4956 { .name = "ID_AA64ISAR4_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4957 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 4,
4958 .access = PL1_R, .type = ARM_CP_CONST,
4959 .resetvalue = 0 },
4960 { .name = "ID_AA64ISAR5_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4961 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 5,
4962 .access = PL1_R, .type = ARM_CP_CONST,
4963 .resetvalue = 0 },
4964 { .name = "ID_AA64ISAR6_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4965 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 6,
4966 .access = PL1_R, .type = ARM_CP_CONST,
4967 .resetvalue = 0 },
4968 { .name = "ID_AA64ISAR7_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4969 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 6, .opc2 = 7,
4970 .access = PL1_R, .type = ARM_CP_CONST,
4971 .resetvalue = 0 },
e60cef86
PM
4972 { .name = "ID_AA64MMFR0_EL1", .state = ARM_CP_STATE_AA64,
4973 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 0,
4974 .access = PL1_R, .type = ARM_CP_CONST,
4975 .resetvalue = cpu->id_aa64mmfr0 },
4976 { .name = "ID_AA64MMFR1_EL1", .state = ARM_CP_STATE_AA64,
4977 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 1,
4978 .access = PL1_R, .type = ARM_CP_CONST,
4979 .resetvalue = cpu->id_aa64mmfr1 },
e20d84c1
PM
4980 { .name = "ID_AA64MMFR2_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4981 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 2,
4982 .access = PL1_R, .type = ARM_CP_CONST,
4983 .resetvalue = 0 },
4984 { .name = "ID_AA64MMFR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4985 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 3,
4986 .access = PL1_R, .type = ARM_CP_CONST,
4987 .resetvalue = 0 },
4988 { .name = "ID_AA64MMFR4_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4989 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 4,
4990 .access = PL1_R, .type = ARM_CP_CONST,
4991 .resetvalue = 0 },
4992 { .name = "ID_AA64MMFR5_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4993 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 5,
4994 .access = PL1_R, .type = ARM_CP_CONST,
4995 .resetvalue = 0 },
4996 { .name = "ID_AA64MMFR6_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
4997 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 6,
4998 .access = PL1_R, .type = ARM_CP_CONST,
4999 .resetvalue = 0 },
5000 { .name = "ID_AA64MMFR7_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5001 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 7, .opc2 = 7,
5002 .access = PL1_R, .type = ARM_CP_CONST,
5003 .resetvalue = 0 },
a50c0f51
PM
5004 { .name = "MVFR0_EL1", .state = ARM_CP_STATE_AA64,
5005 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 0,
5006 .access = PL1_R, .type = ARM_CP_CONST,
5007 .resetvalue = cpu->mvfr0 },
5008 { .name = "MVFR1_EL1", .state = ARM_CP_STATE_AA64,
5009 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 1,
5010 .access = PL1_R, .type = ARM_CP_CONST,
5011 .resetvalue = cpu->mvfr1 },
5012 { .name = "MVFR2_EL1", .state = ARM_CP_STATE_AA64,
5013 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 2,
5014 .access = PL1_R, .type = ARM_CP_CONST,
5015 .resetvalue = cpu->mvfr2 },
e20d84c1
PM
5016 { .name = "MVFR3_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5017 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 3,
5018 .access = PL1_R, .type = ARM_CP_CONST,
5019 .resetvalue = 0 },
5020 { .name = "MVFR4_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5021 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 4,
5022 .access = PL1_R, .type = ARM_CP_CONST,
5023 .resetvalue = 0 },
5024 { .name = "MVFR5_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5025 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 5,
5026 .access = PL1_R, .type = ARM_CP_CONST,
5027 .resetvalue = 0 },
5028 { .name = "MVFR6_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5029 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 6,
5030 .access = PL1_R, .type = ARM_CP_CONST,
5031 .resetvalue = 0 },
5032 { .name = "MVFR7_EL1_RESERVED", .state = ARM_CP_STATE_AA64,
5033 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 3, .opc2 = 7,
5034 .access = PL1_R, .type = ARM_CP_CONST,
5035 .resetvalue = 0 },
4054bfa9
AF
5036 { .name = "PMCEID0", .state = ARM_CP_STATE_AA32,
5037 .cp = 15, .opc1 = 0, .crn = 9, .crm = 12, .opc2 = 6,
5038 .access = PL0_R, .accessfn = pmreg_access, .type = ARM_CP_CONST,
5039 .resetvalue = cpu->pmceid0 },
5040 { .name = "PMCEID0_EL0", .state = ARM_CP_STATE_AA64,
5041 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 6,
5042 .access = PL0_R, .accessfn = pmreg_access, .type = ARM_CP_CONST,
5043 .resetvalue = cpu->pmceid0 },
5044 { .name = "PMCEID1", .state = ARM_CP_STATE_AA32,
5045 .cp = 15, .opc1 = 0, .crn = 9, .crm = 12, .opc2 = 7,
5046 .access = PL0_R, .accessfn = pmreg_access, .type = ARM_CP_CONST,
5047 .resetvalue = cpu->pmceid1 },
5048 { .name = "PMCEID1_EL0", .state = ARM_CP_STATE_AA64,
5049 .opc0 = 3, .opc1 = 3, .crn = 9, .crm = 12, .opc2 = 7,
5050 .access = PL0_R, .accessfn = pmreg_access, .type = ARM_CP_CONST,
5051 .resetvalue = cpu->pmceid1 },
e60cef86
PM
5052 REGINFO_SENTINEL
5053 };
be8e8128
GB
5054 /* RVBAR_EL1 is only implemented if EL1 is the highest EL */
5055 if (!arm_feature(env, ARM_FEATURE_EL3) &&
5056 !arm_feature(env, ARM_FEATURE_EL2)) {
5057 ARMCPRegInfo rvbar = {
5058 .name = "RVBAR_EL1", .state = ARM_CP_STATE_AA64,
5059 .opc0 = 3, .opc1 = 0, .crn = 12, .crm = 0, .opc2 = 1,
5060 .type = ARM_CP_CONST, .access = PL1_R, .resetvalue = cpu->rvbar
5061 };
5062 define_one_arm_cp_reg(cpu, &rvbar);
5063 }
e60cef86 5064 define_arm_cp_regs(cpu, v8_idregs);
b0d2b7d0
PM
5065 define_arm_cp_regs(cpu, v8_cp_reginfo);
5066 }
3b685ba7 5067 if (arm_feature(env, ARM_FEATURE_EL2)) {
f0d574d6 5068 uint64_t vmpidr_def = mpidr_read_val(env);
731de9e6
EI
5069 ARMCPRegInfo vpidr_regs[] = {
5070 { .name = "VPIDR", .state = ARM_CP_STATE_AA32,
5071 .cp = 15, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 0,
5072 .access = PL2_RW, .accessfn = access_el3_aa32ns,
36476562
PM
5073 .resetvalue = cpu->midr, .type = ARM_CP_ALIAS,
5074 .fieldoffset = offsetoflow32(CPUARMState, cp15.vpidr_el2) },
731de9e6
EI
5075 { .name = "VPIDR_EL2", .state = ARM_CP_STATE_AA64,
5076 .opc0 = 3, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 0,
5077 .access = PL2_RW, .resetvalue = cpu->midr,
5078 .fieldoffset = offsetof(CPUARMState, cp15.vpidr_el2) },
f0d574d6
EI
5079 { .name = "VMPIDR", .state = ARM_CP_STATE_AA32,
5080 .cp = 15, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 5,
5081 .access = PL2_RW, .accessfn = access_el3_aa32ns,
36476562
PM
5082 .resetvalue = vmpidr_def, .type = ARM_CP_ALIAS,
5083 .fieldoffset = offsetoflow32(CPUARMState, cp15.vmpidr_el2) },
f0d574d6
EI
5084 { .name = "VMPIDR_EL2", .state = ARM_CP_STATE_AA64,
5085 .opc0 = 3, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 5,
5086 .access = PL2_RW,
5087 .resetvalue = vmpidr_def,
5088 .fieldoffset = offsetof(CPUARMState, cp15.vmpidr_el2) },
731de9e6
EI
5089 REGINFO_SENTINEL
5090 };
5091 define_arm_cp_regs(cpu, vpidr_regs);
4771cd01 5092 define_arm_cp_regs(cpu, el2_cp_reginfo);
be8e8128
GB
5093 /* RVBAR_EL2 is only implemented if EL2 is the highest EL */
5094 if (!arm_feature(env, ARM_FEATURE_EL3)) {
5095 ARMCPRegInfo rvbar = {
5096 .name = "RVBAR_EL2", .state = ARM_CP_STATE_AA64,
5097 .opc0 = 3, .opc1 = 4, .crn = 12, .crm = 0, .opc2 = 1,
5098 .type = ARM_CP_CONST, .access = PL2_R, .resetvalue = cpu->rvbar
5099 };
5100 define_one_arm_cp_reg(cpu, &rvbar);
5101 }
d42e3c26
EI
5102 } else {
5103 /* If EL2 is missing but higher ELs are enabled, we need to
5104 * register the no_el2 reginfos.
5105 */
5106 if (arm_feature(env, ARM_FEATURE_EL3)) {
f0d574d6
EI
5107 /* When EL3 exists but not EL2, VPIDR and VMPIDR take the value
5108 * of MIDR_EL1 and MPIDR_EL1.
731de9e6
EI
5109 */
5110 ARMCPRegInfo vpidr_regs[] = {
5111 { .name = "VPIDR_EL2", .state = ARM_CP_STATE_BOTH,
5112 .opc0 = 3, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 0,
5113 .access = PL2_RW, .accessfn = access_el3_aa32ns_aa64any,
5114 .type = ARM_CP_CONST, .resetvalue = cpu->midr,
5115 .fieldoffset = offsetof(CPUARMState, cp15.vpidr_el2) },
f0d574d6
EI
5116 { .name = "VMPIDR_EL2", .state = ARM_CP_STATE_BOTH,
5117 .opc0 = 3, .opc1 = 4, .crn = 0, .crm = 0, .opc2 = 5,
5118 .access = PL2_RW, .accessfn = access_el3_aa32ns_aa64any,
5119 .type = ARM_CP_NO_RAW,
5120 .writefn = arm_cp_write_ignore, .readfn = mpidr_read },
731de9e6
EI
5121 REGINFO_SENTINEL
5122 };
5123 define_arm_cp_regs(cpu, vpidr_regs);
4771cd01 5124 define_arm_cp_regs(cpu, el3_no_el2_cp_reginfo);
d42e3c26 5125 }
3b685ba7 5126 }
81547d66 5127 if (arm_feature(env, ARM_FEATURE_EL3)) {
0f1a3b24 5128 define_arm_cp_regs(cpu, el3_cp_reginfo);
e24fdd23
PM
5129 ARMCPRegInfo el3_regs[] = {
5130 { .name = "RVBAR_EL3", .state = ARM_CP_STATE_AA64,
5131 .opc0 = 3, .opc1 = 6, .crn = 12, .crm = 0, .opc2 = 1,
5132 .type = ARM_CP_CONST, .access = PL3_R, .resetvalue = cpu->rvbar },
5133 { .name = "SCTLR_EL3", .state = ARM_CP_STATE_AA64,
5134 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 0, .opc2 = 0,
5135 .access = PL3_RW,
5136 .raw_writefn = raw_write, .writefn = sctlr_write,
5137 .fieldoffset = offsetof(CPUARMState, cp15.sctlr_el[3]),
5138 .resetvalue = cpu->reset_sctlr },
5139 REGINFO_SENTINEL
be8e8128 5140 };
e24fdd23
PM
5141
5142 define_arm_cp_regs(cpu, el3_regs);
81547d66 5143 }
2f027fc5
PM
5144 /* The behaviour of NSACR is sufficiently various that we don't
5145 * try to describe it in a single reginfo:
5146 * if EL3 is 64 bit, then trap to EL3 from S EL1,
5147 * reads as constant 0xc00 from NS EL1 and NS EL2
5148 * if EL3 is 32 bit, then RW at EL3, RO at NS EL1 and NS EL2
5149 * if v7 without EL3, register doesn't exist
5150 * if v8 without EL3, reads as constant 0xc00 from NS EL1 and NS EL2
5151 */
5152 if (arm_feature(env, ARM_FEATURE_EL3)) {
5153 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
5154 ARMCPRegInfo nsacr = {
5155 .name = "NSACR", .type = ARM_CP_CONST,
5156 .cp = 15, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 2,
5157 .access = PL1_RW, .accessfn = nsacr_access,
5158 .resetvalue = 0xc00
5159 };
5160 define_one_arm_cp_reg(cpu, &nsacr);
5161 } else {
5162 ARMCPRegInfo nsacr = {
5163 .name = "NSACR",
5164 .cp = 15, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 2,
5165 .access = PL3_RW | PL1_R,
5166 .resetvalue = 0,
5167 .fieldoffset = offsetof(CPUARMState, cp15.nsacr)
5168 };
5169 define_one_arm_cp_reg(cpu, &nsacr);
5170 }
5171 } else {
5172 if (arm_feature(env, ARM_FEATURE_V8)) {
5173 ARMCPRegInfo nsacr = {
5174 .name = "NSACR", .type = ARM_CP_CONST,
5175 .cp = 15, .opc1 = 0, .crn = 1, .crm = 1, .opc2 = 2,
5176 .access = PL1_R,
5177 .resetvalue = 0xc00
5178 };
5179 define_one_arm_cp_reg(cpu, &nsacr);
5180 }
5181 }
5182
452a0955 5183 if (arm_feature(env, ARM_FEATURE_PMSA)) {
6cb0b013
PC
5184 if (arm_feature(env, ARM_FEATURE_V6)) {
5185 /* PMSAv6 not implemented */
5186 assert(arm_feature(env, ARM_FEATURE_V7));
5187 define_arm_cp_regs(cpu, vmsa_pmsa_cp_reginfo);
5188 define_arm_cp_regs(cpu, pmsav7_cp_reginfo);
5189 } else {
5190 define_arm_cp_regs(cpu, pmsav5_cp_reginfo);
5191 }
18032bec 5192 } else {
8e5d75c9 5193 define_arm_cp_regs(cpu, vmsa_pmsa_cp_reginfo);
18032bec
PM
5194 define_arm_cp_regs(cpu, vmsa_cp_reginfo);
5195 }
c326b979
PM
5196 if (arm_feature(env, ARM_FEATURE_THUMB2EE)) {
5197 define_arm_cp_regs(cpu, t2ee_cp_reginfo);
5198 }
6cc7a3ae
PM
5199 if (arm_feature(env, ARM_FEATURE_GENERIC_TIMER)) {
5200 define_arm_cp_regs(cpu, generic_timer_cp_reginfo);
5201 }
4a501606
PM
5202 if (arm_feature(env, ARM_FEATURE_VAPA)) {
5203 define_arm_cp_regs(cpu, vapa_cp_reginfo);
5204 }
c4804214
PM
5205 if (arm_feature(env, ARM_FEATURE_CACHE_TEST_CLEAN)) {
5206 define_arm_cp_regs(cpu, cache_test_clean_cp_reginfo);
5207 }
5208 if (arm_feature(env, ARM_FEATURE_CACHE_DIRTY_REG)) {
5209 define_arm_cp_regs(cpu, cache_dirty_status_cp_reginfo);
5210 }
5211 if (arm_feature(env, ARM_FEATURE_CACHE_BLOCK_OPS)) {
5212 define_arm_cp_regs(cpu, cache_block_ops_cp_reginfo);
5213 }
18032bec
PM
5214 if (arm_feature(env, ARM_FEATURE_OMAPCP)) {
5215 define_arm_cp_regs(cpu, omap_cp_reginfo);
5216 }
34f90529
PM
5217 if (arm_feature(env, ARM_FEATURE_STRONGARM)) {
5218 define_arm_cp_regs(cpu, strongarm_cp_reginfo);
5219 }
1047b9d7
PM
5220 if (arm_feature(env, ARM_FEATURE_XSCALE)) {
5221 define_arm_cp_regs(cpu, xscale_cp_reginfo);
5222 }
5223 if (arm_feature(env, ARM_FEATURE_DUMMY_C15_REGS)) {
5224 define_arm_cp_regs(cpu, dummy_c15_cp_reginfo);
5225 }
7ac681cf
PM
5226 if (arm_feature(env, ARM_FEATURE_LPAE)) {
5227 define_arm_cp_regs(cpu, lpae_cp_reginfo);
5228 }
7884849c
PM
5229 /* Slightly awkwardly, the OMAP and StrongARM cores need all of
5230 * cp15 crn=0 to be writes-ignored, whereas for other cores they should
5231 * be read-only (ie write causes UNDEF exception).
5232 */
5233 {
00a29f3d
PM
5234 ARMCPRegInfo id_pre_v8_midr_cp_reginfo[] = {
5235 /* Pre-v8 MIDR space.
5236 * Note that the MIDR isn't a simple constant register because
7884849c
PM
5237 * of the TI925 behaviour where writes to another register can
5238 * cause the MIDR value to change.
97ce8d61
PC
5239 *
5240 * Unimplemented registers in the c15 0 0 0 space default to
5241 * MIDR. Define MIDR first as this entire space, then CTR, TCMTR
5242 * and friends override accordingly.
7884849c
PM
5243 */
5244 { .name = "MIDR",
97ce8d61 5245 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = CP_ANY,
7884849c 5246 .access = PL1_R, .resetvalue = cpu->midr,
d4e6df63 5247 .writefn = arm_cp_write_ignore, .raw_writefn = raw_write,
731de9e6 5248 .readfn = midr_read,
97ce8d61
PC
5249 .fieldoffset = offsetof(CPUARMState, cp15.c0_cpuid),
5250 .type = ARM_CP_OVERRIDE },
7884849c
PM
5251 /* crn = 0 op1 = 0 crm = 3..7 : currently unassigned; we RAZ. */
5252 { .name = "DUMMY",
5253 .cp = 15, .crn = 0, .crm = 3, .opc1 = 0, .opc2 = CP_ANY,
5254 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
5255 { .name = "DUMMY",
5256 .cp = 15, .crn = 0, .crm = 4, .opc1 = 0, .opc2 = CP_ANY,
5257 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
5258 { .name = "DUMMY",
5259 .cp = 15, .crn = 0, .crm = 5, .opc1 = 0, .opc2 = CP_ANY,
5260 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
5261 { .name = "DUMMY",
5262 .cp = 15, .crn = 0, .crm = 6, .opc1 = 0, .opc2 = CP_ANY,
5263 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
5264 { .name = "DUMMY",
5265 .cp = 15, .crn = 0, .crm = 7, .opc1 = 0, .opc2 = CP_ANY,
5266 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
5267 REGINFO_SENTINEL
5268 };
00a29f3d 5269 ARMCPRegInfo id_v8_midr_cp_reginfo[] = {
00a29f3d
PM
5270 { .name = "MIDR_EL1", .state = ARM_CP_STATE_BOTH,
5271 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 0, .opc2 = 0,
731de9e6
EI
5272 .access = PL1_R, .type = ARM_CP_NO_RAW, .resetvalue = cpu->midr,
5273 .fieldoffset = offsetof(CPUARMState, cp15.c0_cpuid),
5274 .readfn = midr_read },
ac00c79f
SF
5275 /* crn = 0 op1 = 0 crm = 0 op2 = 4,7 : AArch32 aliases of MIDR */
5276 { .name = "MIDR", .type = ARM_CP_ALIAS | ARM_CP_CONST,
5277 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 4,
5278 .access = PL1_R, .resetvalue = cpu->midr },
5279 { .name = "MIDR", .type = ARM_CP_ALIAS | ARM_CP_CONST,
5280 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 7,
5281 .access = PL1_R, .resetvalue = cpu->midr },
00a29f3d
PM
5282 { .name = "REVIDR_EL1", .state = ARM_CP_STATE_BOTH,
5283 .opc0 = 3, .opc1 = 0, .crn = 0, .crm = 0, .opc2 = 6,
13b72b2b 5284 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->revidr },
00a29f3d
PM
5285 REGINFO_SENTINEL
5286 };
5287 ARMCPRegInfo id_cp_reginfo[] = {
5288 /* These are common to v8 and pre-v8 */
5289 { .name = "CTR",
5290 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 1,
5291 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = cpu->ctr },
5292 { .name = "CTR_EL0", .state = ARM_CP_STATE_AA64,
5293 .opc0 = 3, .opc1 = 3, .opc2 = 1, .crn = 0, .crm = 0,
5294 .access = PL0_R, .accessfn = ctr_el0_access,
5295 .type = ARM_CP_CONST, .resetvalue = cpu->ctr },
5296 /* TCMTR and TLBTR exist in v8 but have no 64-bit versions */
5297 { .name = "TCMTR",
5298 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 2,
5299 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0 },
00a29f3d
PM
5300 REGINFO_SENTINEL
5301 };
8085ce63
PC
5302 /* TLBTR is specific to VMSA */
5303 ARMCPRegInfo id_tlbtr_reginfo = {
5304 .name = "TLBTR",
5305 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 3,
5306 .access = PL1_R, .type = ARM_CP_CONST, .resetvalue = 0,
5307 };
3281af81
PC
5308 /* MPUIR is specific to PMSA V6+ */
5309 ARMCPRegInfo id_mpuir_reginfo = {
5310 .name = "MPUIR",
5311 .cp = 15, .crn = 0, .crm = 0, .opc1 = 0, .opc2 = 4,
5312 .access = PL1_R, .type = ARM_CP_CONST,
5313 .resetvalue = cpu->pmsav7_dregion << 8
5314 };
7884849c
PM
5315 ARMCPRegInfo crn0_wi_reginfo = {
5316 .name = "CRN0_WI", .cp = 15, .crn = 0, .crm = CP_ANY,
5317 .opc1 = CP_ANY, .opc2 = CP_ANY, .access = PL1_W,
5318 .type = ARM_CP_NOP | ARM_CP_OVERRIDE
5319 };
5320 if (arm_feature(env, ARM_FEATURE_OMAPCP) ||
5321 arm_feature(env, ARM_FEATURE_STRONGARM)) {
5322 ARMCPRegInfo *r;
5323 /* Register the blanket "writes ignored" value first to cover the
a703eda1
PC
5324 * whole space. Then update the specific ID registers to allow write
5325 * access, so that they ignore writes rather than causing them to
5326 * UNDEF.
7884849c
PM
5327 */
5328 define_one_arm_cp_reg(cpu, &crn0_wi_reginfo);
00a29f3d
PM
5329 for (r = id_pre_v8_midr_cp_reginfo;
5330 r->type != ARM_CP_SENTINEL; r++) {
5331 r->access = PL1_RW;
5332 }
7884849c
PM
5333 for (r = id_cp_reginfo; r->type != ARM_CP_SENTINEL; r++) {
5334 r->access = PL1_RW;
7884849c 5335 }
8085ce63 5336 id_tlbtr_reginfo.access = PL1_RW;
3281af81 5337 id_tlbtr_reginfo.access = PL1_RW;
7884849c 5338 }
00a29f3d
PM
5339 if (arm_feature(env, ARM_FEATURE_V8)) {
5340 define_arm_cp_regs(cpu, id_v8_midr_cp_reginfo);
5341 } else {
5342 define_arm_cp_regs(cpu, id_pre_v8_midr_cp_reginfo);
5343 }
a703eda1 5344 define_arm_cp_regs(cpu, id_cp_reginfo);
452a0955 5345 if (!arm_feature(env, ARM_FEATURE_PMSA)) {
8085ce63 5346 define_one_arm_cp_reg(cpu, &id_tlbtr_reginfo);
3281af81
PC
5347 } else if (arm_feature(env, ARM_FEATURE_V7)) {
5348 define_one_arm_cp_reg(cpu, &id_mpuir_reginfo);
8085ce63 5349 }
7884849c
PM
5350 }
5351
97ce8d61
PC
5352 if (arm_feature(env, ARM_FEATURE_MPIDR)) {
5353 define_arm_cp_regs(cpu, mpidr_cp_reginfo);
5354 }
5355
2771db27 5356 if (arm_feature(env, ARM_FEATURE_AUXCR)) {
834a6c69
PM
5357 ARMCPRegInfo auxcr_reginfo[] = {
5358 { .name = "ACTLR_EL1", .state = ARM_CP_STATE_BOTH,
5359 .opc0 = 3, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 1,
5360 .access = PL1_RW, .type = ARM_CP_CONST,
5361 .resetvalue = cpu->reset_auxcr },
5362 { .name = "ACTLR_EL2", .state = ARM_CP_STATE_BOTH,
5363 .opc0 = 3, .opc1 = 4, .crn = 1, .crm = 0, .opc2 = 1,
5364 .access = PL2_RW, .type = ARM_CP_CONST,
5365 .resetvalue = 0 },
5366 { .name = "ACTLR_EL3", .state = ARM_CP_STATE_AA64,
5367 .opc0 = 3, .opc1 = 6, .crn = 1, .crm = 0, .opc2 = 1,
5368 .access = PL3_RW, .type = ARM_CP_CONST,
5369 .resetvalue = 0 },
5370 REGINFO_SENTINEL
2771db27 5371 };
834a6c69 5372 define_arm_cp_regs(cpu, auxcr_reginfo);
2771db27
PM
5373 }
5374
d8ba780b 5375 if (arm_feature(env, ARM_FEATURE_CBAR)) {
f318cec6
PM
5376 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
5377 /* 32 bit view is [31:18] 0...0 [43:32]. */
5378 uint32_t cbar32 = (extract64(cpu->reset_cbar, 18, 14) << 18)
5379 | extract64(cpu->reset_cbar, 32, 12);
5380 ARMCPRegInfo cbar_reginfo[] = {
5381 { .name = "CBAR",
5382 .type = ARM_CP_CONST,
5383 .cp = 15, .crn = 15, .crm = 0, .opc1 = 4, .opc2 = 0,
5384 .access = PL1_R, .resetvalue = cpu->reset_cbar },
5385 { .name = "CBAR_EL1", .state = ARM_CP_STATE_AA64,
5386 .type = ARM_CP_CONST,
5387 .opc0 = 3, .opc1 = 1, .crn = 15, .crm = 3, .opc2 = 0,
5388 .access = PL1_R, .resetvalue = cbar32 },
5389 REGINFO_SENTINEL
5390 };
5391 /* We don't implement a r/w 64 bit CBAR currently */
5392 assert(arm_feature(env, ARM_FEATURE_CBAR_RO));
5393 define_arm_cp_regs(cpu, cbar_reginfo);
5394 } else {
5395 ARMCPRegInfo cbar = {
5396 .name = "CBAR",
5397 .cp = 15, .crn = 15, .crm = 0, .opc1 = 4, .opc2 = 0,
5398 .access = PL1_R|PL3_W, .resetvalue = cpu->reset_cbar,
5399 .fieldoffset = offsetof(CPUARMState,
5400 cp15.c15_config_base_address)
5401 };
5402 if (arm_feature(env, ARM_FEATURE_CBAR_RO)) {
5403 cbar.access = PL1_R;
5404 cbar.fieldoffset = 0;
5405 cbar.type = ARM_CP_CONST;
5406 }
5407 define_one_arm_cp_reg(cpu, &cbar);
5408 }
d8ba780b
PC
5409 }
5410
91db4642
CLG
5411 if (arm_feature(env, ARM_FEATURE_VBAR)) {
5412 ARMCPRegInfo vbar_cp_reginfo[] = {
5413 { .name = "VBAR", .state = ARM_CP_STATE_BOTH,
5414 .opc0 = 3, .crn = 12, .crm = 0, .opc1 = 0, .opc2 = 0,
5415 .access = PL1_RW, .writefn = vbar_write,
5416 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.vbar_s),
5417 offsetof(CPUARMState, cp15.vbar_ns) },
5418 .resetvalue = 0 },
5419 REGINFO_SENTINEL
5420 };
5421 define_arm_cp_regs(cpu, vbar_cp_reginfo);
5422 }
5423
2771db27
PM
5424 /* Generic registers whose values depend on the implementation */
5425 {
5426 ARMCPRegInfo sctlr = {
5ebafdf3 5427 .name = "SCTLR", .state = ARM_CP_STATE_BOTH,
137feaa9
FA
5428 .opc0 = 3, .opc1 = 0, .crn = 1, .crm = 0, .opc2 = 0,
5429 .access = PL1_RW,
5430 .bank_fieldoffsets = { offsetof(CPUARMState, cp15.sctlr_s),
5431 offsetof(CPUARMState, cp15.sctlr_ns) },
d4e6df63
PM
5432 .writefn = sctlr_write, .resetvalue = cpu->reset_sctlr,
5433 .raw_writefn = raw_write,
2771db27
PM
5434 };
5435 if (arm_feature(env, ARM_FEATURE_XSCALE)) {
5436 /* Normally we would always end the TB on an SCTLR write, but Linux
5437 * arch/arm/mach-pxa/sleep.S expects two instructions following
5438 * an MMU enable to execute from cache. Imitate this behaviour.
5439 */
5440 sctlr.type |= ARM_CP_SUPPRESS_TB_END;
5441 }
5442 define_one_arm_cp_reg(cpu, &sctlr);
5443 }
5be5e8ed
RH
5444
5445 if (arm_feature(env, ARM_FEATURE_SVE)) {
5446 define_one_arm_cp_reg(cpu, &zcr_el1_reginfo);
5447 if (arm_feature(env, ARM_FEATURE_EL2)) {
5448 define_one_arm_cp_reg(cpu, &zcr_el2_reginfo);
5449 } else {
5450 define_one_arm_cp_reg(cpu, &zcr_no_el2_reginfo);
5451 }
5452 if (arm_feature(env, ARM_FEATURE_EL3)) {
5453 define_one_arm_cp_reg(cpu, &zcr_el3_reginfo);
5454 }
5455 }
2ceb98c0
PM
5456}
5457
14969266
AF
5458void arm_cpu_register_gdb_regs_for_features(ARMCPU *cpu)
5459{
22169d41 5460 CPUState *cs = CPU(cpu);
14969266
AF
5461 CPUARMState *env = &cpu->env;
5462
6a669427
PM
5463 if (arm_feature(env, ARM_FEATURE_AARCH64)) {
5464 gdb_register_coprocessor(cs, aarch64_fpu_gdb_get_reg,
5465 aarch64_fpu_gdb_set_reg,
5466 34, "aarch64-fpu.xml", 0);
5467 } else if (arm_feature(env, ARM_FEATURE_NEON)) {
22169d41 5468 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
5469 51, "arm-neon.xml", 0);
5470 } else if (arm_feature(env, ARM_FEATURE_VFP3)) {
22169d41 5471 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
5472 35, "arm-vfp3.xml", 0);
5473 } else if (arm_feature(env, ARM_FEATURE_VFP)) {
22169d41 5474 gdb_register_coprocessor(cs, vfp_gdb_get_reg, vfp_gdb_set_reg,
56aebc89
PB
5475 19, "arm-vfp.xml", 0);
5476 }
40f137e1
PB
5477}
5478
777dc784
PM
5479/* Sort alphabetically by type name, except for "any". */
5480static gint arm_cpu_list_compare(gconstpointer a, gconstpointer b)
5adb4839 5481{
777dc784
PM
5482 ObjectClass *class_a = (ObjectClass *)a;
5483 ObjectClass *class_b = (ObjectClass *)b;
5484 const char *name_a, *name_b;
5adb4839 5485
777dc784
PM
5486 name_a = object_class_get_name(class_a);
5487 name_b = object_class_get_name(class_b);
51492fd1 5488 if (strcmp(name_a, "any-" TYPE_ARM_CPU) == 0) {
777dc784 5489 return 1;
51492fd1 5490 } else if (strcmp(name_b, "any-" TYPE_ARM_CPU) == 0) {
777dc784
PM
5491 return -1;
5492 } else {
5493 return strcmp(name_a, name_b);
5adb4839
PB
5494 }
5495}
5496
777dc784 5497static void arm_cpu_list_entry(gpointer data, gpointer user_data)
40f137e1 5498{
777dc784 5499 ObjectClass *oc = data;
92a31361 5500 CPUListState *s = user_data;
51492fd1
AF
5501 const char *typename;
5502 char *name;
3371d272 5503
51492fd1
AF
5504 typename = object_class_get_name(oc);
5505 name = g_strndup(typename, strlen(typename) - strlen("-" TYPE_ARM_CPU));
777dc784 5506 (*s->cpu_fprintf)(s->file, " %s\n",
51492fd1
AF
5507 name);
5508 g_free(name);
777dc784
PM
5509}
5510
5511void arm_cpu_list(FILE *f, fprintf_function cpu_fprintf)
5512{
92a31361 5513 CPUListState s = {
777dc784
PM
5514 .file = f,
5515 .cpu_fprintf = cpu_fprintf,
5516 };
5517 GSList *list;
5518
5519 list = object_class_get_list(TYPE_ARM_CPU, false);
5520 list = g_slist_sort(list, arm_cpu_list_compare);
5521 (*cpu_fprintf)(f, "Available CPUs:\n");
5522 g_slist_foreach(list, arm_cpu_list_entry, &s);
5523 g_slist_free(list);
a96c0514
PM
5524#ifdef CONFIG_KVM
5525 /* The 'host' CPU type is dynamically registered only if KVM is
5526 * enabled, so we have to special-case it here:
5527 */
5528 (*cpu_fprintf)(f, " host (only available in KVM mode)\n");
5529#endif
40f137e1
PB
5530}
5531
78027bb6
CR
5532static void arm_cpu_add_definition(gpointer data, gpointer user_data)
5533{
5534 ObjectClass *oc = data;
5535 CpuDefinitionInfoList **cpu_list = user_data;
5536 CpuDefinitionInfoList *entry;
5537 CpuDefinitionInfo *info;
5538 const char *typename;
5539
5540 typename = object_class_get_name(oc);
5541 info = g_malloc0(sizeof(*info));
5542 info->name = g_strndup(typename,
5543 strlen(typename) - strlen("-" TYPE_ARM_CPU));
8ed877b7 5544 info->q_typename = g_strdup(typename);
78027bb6
CR
5545
5546 entry = g_malloc0(sizeof(*entry));
5547 entry->value = info;
5548 entry->next = *cpu_list;
5549 *cpu_list = entry;
5550}
5551
5552CpuDefinitionInfoList *arch_query_cpu_definitions(Error **errp)
5553{
5554 CpuDefinitionInfoList *cpu_list = NULL;
5555 GSList *list;
5556
5557 list = object_class_get_list(TYPE_ARM_CPU, false);
5558 g_slist_foreach(list, arm_cpu_add_definition, &cpu_list);
5559 g_slist_free(list);
5560
5561 return cpu_list;
5562}
5563
6e6efd61 5564static void add_cpreg_to_hashtable(ARMCPU *cpu, const ARMCPRegInfo *r,
51a79b03 5565 void *opaque, int state, int secstate,
f5a0a5a5 5566 int crm, int opc1, int opc2)
6e6efd61
PM
5567{
5568 /* Private utility function for define_one_arm_cp_reg_with_opaque():
5569 * add a single reginfo struct to the hash table.
5570 */
5571 uint32_t *key = g_new(uint32_t, 1);
5572 ARMCPRegInfo *r2 = g_memdup(r, sizeof(ARMCPRegInfo));
5573 int is64 = (r->type & ARM_CP_64BIT) ? 1 : 0;
3f3c82a5
FA
5574 int ns = (secstate & ARM_CP_SECSTATE_NS) ? 1 : 0;
5575
5576 /* Reset the secure state to the specific incoming state. This is
5577 * necessary as the register may have been defined with both states.
5578 */
5579 r2->secure = secstate;
5580
5581 if (r->bank_fieldoffsets[0] && r->bank_fieldoffsets[1]) {
5582 /* Register is banked (using both entries in array).
5583 * Overwriting fieldoffset as the array is only used to define
5584 * banked registers but later only fieldoffset is used.
f5a0a5a5 5585 */
3f3c82a5
FA
5586 r2->fieldoffset = r->bank_fieldoffsets[ns];
5587 }
5588
5589 if (state == ARM_CP_STATE_AA32) {
5590 if (r->bank_fieldoffsets[0] && r->bank_fieldoffsets[1]) {
5591 /* If the register is banked then we don't need to migrate or
5592 * reset the 32-bit instance in certain cases:
5593 *
5594 * 1) If the register has both 32-bit and 64-bit instances then we
5595 * can count on the 64-bit instance taking care of the
5596 * non-secure bank.
5597 * 2) If ARMv8 is enabled then we can count on a 64-bit version
5598 * taking care of the secure bank. This requires that separate
5599 * 32 and 64-bit definitions are provided.
5600 */
5601 if ((r->state == ARM_CP_STATE_BOTH && ns) ||
5602 (arm_feature(&cpu->env, ARM_FEATURE_V8) && !ns)) {
7a0e58fa 5603 r2->type |= ARM_CP_ALIAS;
3f3c82a5
FA
5604 }
5605 } else if ((secstate != r->secure) && !ns) {
5606 /* The register is not banked so we only want to allow migration of
5607 * the non-secure instance.
5608 */
7a0e58fa 5609 r2->type |= ARM_CP_ALIAS;
58a1d8ce 5610 }
3f3c82a5
FA
5611
5612 if (r->state == ARM_CP_STATE_BOTH) {
5613 /* We assume it is a cp15 register if the .cp field is left unset.
5614 */
5615 if (r2->cp == 0) {
5616 r2->cp = 15;
5617 }
5618
f5a0a5a5 5619#ifdef HOST_WORDS_BIGENDIAN
3f3c82a5
FA
5620 if (r2->fieldoffset) {
5621 r2->fieldoffset += sizeof(uint32_t);
5622 }
f5a0a5a5 5623#endif
3f3c82a5 5624 }
f5a0a5a5
PM
5625 }
5626 if (state == ARM_CP_STATE_AA64) {
5627 /* To allow abbreviation of ARMCPRegInfo
5628 * definitions, we treat cp == 0 as equivalent to
5629 * the value for "standard guest-visible sysreg".
58a1d8ce
PM
5630 * STATE_BOTH definitions are also always "standard
5631 * sysreg" in their AArch64 view (the .cp value may
5632 * be non-zero for the benefit of the AArch32 view).
f5a0a5a5 5633 */
58a1d8ce 5634 if (r->cp == 0 || r->state == ARM_CP_STATE_BOTH) {
f5a0a5a5
PM
5635 r2->cp = CP_REG_ARM64_SYSREG_CP;
5636 }
5637 *key = ENCODE_AA64_CP_REG(r2->cp, r2->crn, crm,
5638 r2->opc0, opc1, opc2);
5639 } else {
51a79b03 5640 *key = ENCODE_CP_REG(r2->cp, is64, ns, r2->crn, crm, opc1, opc2);
f5a0a5a5 5641 }
6e6efd61
PM
5642 if (opaque) {
5643 r2->opaque = opaque;
5644 }
67ed771d
PM
5645 /* reginfo passed to helpers is correct for the actual access,
5646 * and is never ARM_CP_STATE_BOTH:
5647 */
5648 r2->state = state;
6e6efd61
PM
5649 /* Make sure reginfo passed to helpers for wildcarded regs
5650 * has the correct crm/opc1/opc2 for this reg, not CP_ANY:
5651 */
5652 r2->crm = crm;
5653 r2->opc1 = opc1;
5654 r2->opc2 = opc2;
5655 /* By convention, for wildcarded registers only the first
5656 * entry is used for migration; the others are marked as
7a0e58fa 5657 * ALIAS so we don't try to transfer the register
6e6efd61 5658 * multiple times. Special registers (ie NOP/WFI) are
7a0e58fa 5659 * never migratable and not even raw-accessible.
6e6efd61 5660 */
7a0e58fa
PM
5661 if ((r->type & ARM_CP_SPECIAL)) {
5662 r2->type |= ARM_CP_NO_RAW;
5663 }
5664 if (((r->crm == CP_ANY) && crm != 0) ||
6e6efd61
PM
5665 ((r->opc1 == CP_ANY) && opc1 != 0) ||
5666 ((r->opc2 == CP_ANY) && opc2 != 0)) {
7a0e58fa 5667 r2->type |= ARM_CP_ALIAS;
6e6efd61
PM
5668 }
5669
375421cc
PM
5670 /* Check that raw accesses are either forbidden or handled. Note that
5671 * we can't assert this earlier because the setup of fieldoffset for
5672 * banked registers has to be done first.
5673 */
5674 if (!(r2->type & ARM_CP_NO_RAW)) {
5675 assert(!raw_accessors_invalid(r2));
5676 }
5677
6e6efd61
PM
5678 /* Overriding of an existing definition must be explicitly
5679 * requested.
5680 */
5681 if (!(r->type & ARM_CP_OVERRIDE)) {
5682 ARMCPRegInfo *oldreg;
5683 oldreg = g_hash_table_lookup(cpu->cp_regs, key);
5684 if (oldreg && !(oldreg->type & ARM_CP_OVERRIDE)) {
5685 fprintf(stderr, "Register redefined: cp=%d %d bit "
5686 "crn=%d crm=%d opc1=%d opc2=%d, "
5687 "was %s, now %s\n", r2->cp, 32 + 32 * is64,
5688 r2->crn, r2->crm, r2->opc1, r2->opc2,
5689 oldreg->name, r2->name);
5690 g_assert_not_reached();
5691 }
5692 }
5693 g_hash_table_insert(cpu->cp_regs, key, r2);
5694}
5695
5696
4b6a83fb
PM
5697void define_one_arm_cp_reg_with_opaque(ARMCPU *cpu,
5698 const ARMCPRegInfo *r, void *opaque)
5699{
5700 /* Define implementations of coprocessor registers.
5701 * We store these in a hashtable because typically
5702 * there are less than 150 registers in a space which
5703 * is 16*16*16*8*8 = 262144 in size.
5704 * Wildcarding is supported for the crm, opc1 and opc2 fields.
5705 * If a register is defined twice then the second definition is
5706 * used, so this can be used to define some generic registers and
5707 * then override them with implementation specific variations.
5708 * At least one of the original and the second definition should
5709 * include ARM_CP_OVERRIDE in its type bits -- this is just a guard
5710 * against accidental use.
f5a0a5a5
PM
5711 *
5712 * The state field defines whether the register is to be
5713 * visible in the AArch32 or AArch64 execution state. If the
5714 * state is set to ARM_CP_STATE_BOTH then we synthesise a
5715 * reginfo structure for the AArch32 view, which sees the lower
5716 * 32 bits of the 64 bit register.
5717 *
5718 * Only registers visible in AArch64 may set r->opc0; opc0 cannot
5719 * be wildcarded. AArch64 registers are always considered to be 64
5720 * bits; the ARM_CP_64BIT* flag applies only to the AArch32 view of
5721 * the register, if any.
4b6a83fb 5722 */
f5a0a5a5 5723 int crm, opc1, opc2, state;
4b6a83fb
PM
5724 int crmmin = (r->crm == CP_ANY) ? 0 : r->crm;
5725 int crmmax = (r->crm == CP_ANY) ? 15 : r->crm;
5726 int opc1min = (r->opc1 == CP_ANY) ? 0 : r->opc1;
5727 int opc1max = (r->opc1 == CP_ANY) ? 7 : r->opc1;
5728 int opc2min = (r->opc2 == CP_ANY) ? 0 : r->opc2;
5729 int opc2max = (r->opc2 == CP_ANY) ? 7 : r->opc2;
5730 /* 64 bit registers have only CRm and Opc1 fields */
5731 assert(!((r->type & ARM_CP_64BIT) && (r->opc2 || r->crn)));
f5a0a5a5
PM
5732 /* op0 only exists in the AArch64 encodings */
5733 assert((r->state != ARM_CP_STATE_AA32) || (r->opc0 == 0));
5734 /* AArch64 regs are all 64 bit so ARM_CP_64BIT is meaningless */
5735 assert((r->state != ARM_CP_STATE_AA64) || !(r->type & ARM_CP_64BIT));
5736 /* The AArch64 pseudocode CheckSystemAccess() specifies that op1
5737 * encodes a minimum access level for the register. We roll this
5738 * runtime check into our general permission check code, so check
5739 * here that the reginfo's specified permissions are strict enough
5740 * to encompass the generic architectural permission check.
5741 */
5742 if (r->state != ARM_CP_STATE_AA32) {
5743 int mask = 0;
5744 switch (r->opc1) {
5745 case 0: case 1: case 2:
5746 /* min_EL EL1 */
5747 mask = PL1_RW;
5748 break;
5749 case 3:
5750 /* min_EL EL0 */
5751 mask = PL0_RW;
5752 break;
5753 case 4:
5754 /* min_EL EL2 */
5755 mask = PL2_RW;
5756 break;
5757 case 5:
5758 /* unallocated encoding, so not possible */
5759 assert(false);
5760 break;
5761 case 6:
5762 /* min_EL EL3 */
5763 mask = PL3_RW;
5764 break;
5765 case 7:
5766 /* min_EL EL1, secure mode only (we don't check the latter) */
5767 mask = PL1_RW;
5768 break;
5769 default:
5770 /* broken reginfo with out-of-range opc1 */
5771 assert(false);
5772 break;
5773 }
5774 /* assert our permissions are not too lax (stricter is fine) */
5775 assert((r->access & ~mask) == 0);
5776 }
5777
4b6a83fb
PM
5778 /* Check that the register definition has enough info to handle
5779 * reads and writes if they are permitted.
5780 */
5781 if (!(r->type & (ARM_CP_SPECIAL|ARM_CP_CONST))) {
5782 if (r->access & PL3_R) {
3f3c82a5
FA
5783 assert((r->fieldoffset ||
5784 (r->bank_fieldoffsets[0] && r->bank_fieldoffsets[1])) ||
5785 r->readfn);
4b6a83fb
PM
5786 }
5787 if (r->access & PL3_W) {
3f3c82a5
FA
5788 assert((r->fieldoffset ||
5789 (r->bank_fieldoffsets[0] && r->bank_fieldoffsets[1])) ||
5790 r->writefn);
4b6a83fb
PM
5791 }
5792 }
5793 /* Bad type field probably means missing sentinel at end of reg list */
5794 assert(cptype_valid(r->type));
5795 for (crm = crmmin; crm <= crmmax; crm++) {
5796 for (opc1 = opc1min; opc1 <= opc1max; opc1++) {
5797 for (opc2 = opc2min; opc2 <= opc2max; opc2++) {
f5a0a5a5
PM
5798 for (state = ARM_CP_STATE_AA32;
5799 state <= ARM_CP_STATE_AA64; state++) {
5800 if (r->state != state && r->state != ARM_CP_STATE_BOTH) {
5801 continue;
5802 }
3f3c82a5
FA
5803 if (state == ARM_CP_STATE_AA32) {
5804 /* Under AArch32 CP registers can be common
5805 * (same for secure and non-secure world) or banked.
5806 */
5807 switch (r->secure) {
5808 case ARM_CP_SECSTATE_S:
5809 case ARM_CP_SECSTATE_NS:
5810 add_cpreg_to_hashtable(cpu, r, opaque, state,
5811 r->secure, crm, opc1, opc2);
5812 break;
5813 default:
5814 add_cpreg_to_hashtable(cpu, r, opaque, state,
5815 ARM_CP_SECSTATE_S,
5816 crm, opc1, opc2);
5817 add_cpreg_to_hashtable(cpu, r, opaque, state,
5818 ARM_CP_SECSTATE_NS,
5819 crm, opc1, opc2);
5820 break;
5821 }
5822 } else {
5823 /* AArch64 registers get mapped to non-secure instance
5824 * of AArch32 */
5825 add_cpreg_to_hashtable(cpu, r, opaque, state,
5826 ARM_CP_SECSTATE_NS,
5827 crm, opc1, opc2);
5828 }
f5a0a5a5 5829 }
4b6a83fb
PM
5830 }
5831 }
5832 }
5833}
5834
5835void define_arm_cp_regs_with_opaque(ARMCPU *cpu,
5836 const ARMCPRegInfo *regs, void *opaque)
5837{
5838 /* Define a whole list of registers */
5839 const ARMCPRegInfo *r;
5840 for (r = regs; r->type != ARM_CP_SENTINEL; r++) {
5841 define_one_arm_cp_reg_with_opaque(cpu, r, opaque);
5842 }
5843}
5844
60322b39 5845const ARMCPRegInfo *get_arm_cp_reginfo(GHashTable *cpregs, uint32_t encoded_cp)
4b6a83fb 5846{
60322b39 5847 return g_hash_table_lookup(cpregs, &encoded_cp);
4b6a83fb
PM
5848}
5849
c4241c7d
PM
5850void arm_cp_write_ignore(CPUARMState *env, const ARMCPRegInfo *ri,
5851 uint64_t value)
4b6a83fb
PM
5852{
5853 /* Helper coprocessor write function for write-ignore registers */
4b6a83fb
PM
5854}
5855
c4241c7d 5856uint64_t arm_cp_read_zero(CPUARMState *env, const ARMCPRegInfo *ri)
4b6a83fb
PM
5857{
5858 /* Helper coprocessor write function for read-as-zero registers */
4b6a83fb
PM
5859 return 0;
5860}
5861
f5a0a5a5
PM
5862void arm_cp_reset_ignore(CPUARMState *env, const ARMCPRegInfo *opaque)
5863{
5864 /* Helper coprocessor reset function for do-nothing-on-reset registers */
5865}
5866
af393ffc 5867static int bad_mode_switch(CPUARMState *env, int mode, CPSRWriteType write_type)
37064a8b
PM
5868{
5869 /* Return true if it is not valid for us to switch to
5870 * this CPU mode (ie all the UNPREDICTABLE cases in
5871 * the ARM ARM CPSRWriteByInstr pseudocode).
5872 */
af393ffc
PM
5873
5874 /* Changes to or from Hyp via MSR and CPS are illegal. */
5875 if (write_type == CPSRWriteByInstr &&
5876 ((env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_HYP ||
5877 mode == ARM_CPU_MODE_HYP)) {
5878 return 1;
5879 }
5880
37064a8b
PM
5881 switch (mode) {
5882 case ARM_CPU_MODE_USR:
10eacda7 5883 return 0;
37064a8b
PM
5884 case ARM_CPU_MODE_SYS:
5885 case ARM_CPU_MODE_SVC:
5886 case ARM_CPU_MODE_ABT:
5887 case ARM_CPU_MODE_UND:
5888 case ARM_CPU_MODE_IRQ:
5889 case ARM_CPU_MODE_FIQ:
52ff951b
PM
5890 /* Note that we don't implement the IMPDEF NSACR.RFR which in v7
5891 * allows FIQ mode to be Secure-only. (In v8 this doesn't exist.)
5892 */
10eacda7
PM
5893 /* If HCR.TGE is set then changes from Monitor to NS PL1 via MSR
5894 * and CPS are treated as illegal mode changes.
5895 */
5896 if (write_type == CPSRWriteByInstr &&
5897 (env->cp15.hcr_el2 & HCR_TGE) &&
5898 (env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_MON &&
5899 !arm_is_secure_below_el3(env)) {
5900 return 1;
5901 }
37064a8b 5902 return 0;
e6c8fc07
PM
5903 case ARM_CPU_MODE_HYP:
5904 return !arm_feature(env, ARM_FEATURE_EL2)
5905 || arm_current_el(env) < 2 || arm_is_secure(env);
027fc527 5906 case ARM_CPU_MODE_MON:
58ae2d1f 5907 return arm_current_el(env) < 3;
37064a8b
PM
5908 default:
5909 return 1;
5910 }
5911}
5912
2f4a40e5
AZ
5913uint32_t cpsr_read(CPUARMState *env)
5914{
5915 int ZF;
6fbe23d5
PB
5916 ZF = (env->ZF == 0);
5917 return env->uncached_cpsr | (env->NF & 0x80000000) | (ZF << 30) |
2f4a40e5
AZ
5918 (env->CF << 29) | ((env->VF & 0x80000000) >> 3) | (env->QF << 27)
5919 | (env->thumb << 5) | ((env->condexec_bits & 3) << 25)
5920 | ((env->condexec_bits & 0xfc) << 8)
af519934 5921 | (env->GE << 16) | (env->daif & CPSR_AIF);
2f4a40e5
AZ
5922}
5923
50866ba5
PM
5924void cpsr_write(CPUARMState *env, uint32_t val, uint32_t mask,
5925 CPSRWriteType write_type)
2f4a40e5 5926{
6e8801f9
FA
5927 uint32_t changed_daif;
5928
2f4a40e5 5929 if (mask & CPSR_NZCV) {
6fbe23d5
PB
5930 env->ZF = (~val) & CPSR_Z;
5931 env->NF = val;
2f4a40e5
AZ
5932 env->CF = (val >> 29) & 1;
5933 env->VF = (val << 3) & 0x80000000;
5934 }
5935 if (mask & CPSR_Q)
5936 env->QF = ((val & CPSR_Q) != 0);
5937 if (mask & CPSR_T)
5938 env->thumb = ((val & CPSR_T) != 0);
5939 if (mask & CPSR_IT_0_1) {
5940 env->condexec_bits &= ~3;
5941 env->condexec_bits |= (val >> 25) & 3;
5942 }
5943 if (mask & CPSR_IT_2_7) {
5944 env->condexec_bits &= 3;
5945 env->condexec_bits |= (val >> 8) & 0xfc;
5946 }
5947 if (mask & CPSR_GE) {
5948 env->GE = (val >> 16) & 0xf;
5949 }
5950
6e8801f9
FA
5951 /* In a V7 implementation that includes the security extensions but does
5952 * not include Virtualization Extensions the SCR.FW and SCR.AW bits control
5953 * whether non-secure software is allowed to change the CPSR_F and CPSR_A
5954 * bits respectively.
5955 *
5956 * In a V8 implementation, it is permitted for privileged software to
5957 * change the CPSR A/F bits regardless of the SCR.AW/FW bits.
5958 */
f8c88bbc 5959 if (write_type != CPSRWriteRaw && !arm_feature(env, ARM_FEATURE_V8) &&
6e8801f9
FA
5960 arm_feature(env, ARM_FEATURE_EL3) &&
5961 !arm_feature(env, ARM_FEATURE_EL2) &&
5962 !arm_is_secure(env)) {
5963
5964 changed_daif = (env->daif ^ val) & mask;
5965
5966 if (changed_daif & CPSR_A) {
5967 /* Check to see if we are allowed to change the masking of async
5968 * abort exceptions from a non-secure state.
5969 */
5970 if (!(env->cp15.scr_el3 & SCR_AW)) {
5971 qemu_log_mask(LOG_GUEST_ERROR,
5972 "Ignoring attempt to switch CPSR_A flag from "
5973 "non-secure world with SCR.AW bit clear\n");
5974 mask &= ~CPSR_A;
5975 }
5976 }
5977
5978 if (changed_daif & CPSR_F) {
5979 /* Check to see if we are allowed to change the masking of FIQ
5980 * exceptions from a non-secure state.
5981 */
5982 if (!(env->cp15.scr_el3 & SCR_FW)) {
5983 qemu_log_mask(LOG_GUEST_ERROR,
5984 "Ignoring attempt to switch CPSR_F flag from "
5985 "non-secure world with SCR.FW bit clear\n");
5986 mask &= ~CPSR_F;
5987 }
5988
5989 /* Check whether non-maskable FIQ (NMFI) support is enabled.
5990 * If this bit is set software is not allowed to mask
5991 * FIQs, but is allowed to set CPSR_F to 0.
5992 */
5993 if ((A32_BANKED_CURRENT_REG_GET(env, sctlr) & SCTLR_NMFI) &&
5994 (val & CPSR_F)) {
5995 qemu_log_mask(LOG_GUEST_ERROR,
5996 "Ignoring attempt to enable CPSR_F flag "
5997 "(non-maskable FIQ [NMFI] support enabled)\n");
5998 mask &= ~CPSR_F;
5999 }
6000 }
6001 }
6002
4cc35614
PM
6003 env->daif &= ~(CPSR_AIF & mask);
6004 env->daif |= val & CPSR_AIF & mask;
6005
f8c88bbc
PM
6006 if (write_type != CPSRWriteRaw &&
6007 ((env->uncached_cpsr ^ val) & mask & CPSR_M)) {
8c4f0eb9
PM
6008 if ((env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_USR) {
6009 /* Note that we can only get here in USR mode if this is a
6010 * gdb stub write; for this case we follow the architectural
6011 * behaviour for guest writes in USR mode of ignoring an attempt
6012 * to switch mode. (Those are caught by translate.c for writes
6013 * triggered by guest instructions.)
6014 */
6015 mask &= ~CPSR_M;
6016 } else if (bad_mode_switch(env, val & CPSR_M, write_type)) {
81907a58
PM
6017 /* Attempt to switch to an invalid mode: this is UNPREDICTABLE in
6018 * v7, and has defined behaviour in v8:
6019 * + leave CPSR.M untouched
6020 * + allow changes to the other CPSR fields
6021 * + set PSTATE.IL
6022 * For user changes via the GDB stub, we don't set PSTATE.IL,
6023 * as this would be unnecessarily harsh for a user error.
37064a8b
PM
6024 */
6025 mask &= ~CPSR_M;
81907a58
PM
6026 if (write_type != CPSRWriteByGDBStub &&
6027 arm_feature(env, ARM_FEATURE_V8)) {
6028 mask |= CPSR_IL;
6029 val |= CPSR_IL;
6030 }
37064a8b
PM
6031 } else {
6032 switch_mode(env, val & CPSR_M);
6033 }
2f4a40e5
AZ
6034 }
6035 mask &= ~CACHED_CPSR_BITS;
6036 env->uncached_cpsr = (env->uncached_cpsr & ~mask) | (val & mask);
6037}
6038
b26eefb6
PB
6039/* Sign/zero extend */
6040uint32_t HELPER(sxtb16)(uint32_t x)
6041{
6042 uint32_t res;
6043 res = (uint16_t)(int8_t)x;
6044 res |= (uint32_t)(int8_t)(x >> 16) << 16;
6045 return res;
6046}
6047
6048uint32_t HELPER(uxtb16)(uint32_t x)
6049{
6050 uint32_t res;
6051 res = (uint16_t)(uint8_t)x;
6052 res |= (uint32_t)(uint8_t)(x >> 16) << 16;
6053 return res;
6054}
6055
3670669c
PB
6056int32_t HELPER(sdiv)(int32_t num, int32_t den)
6057{
6058 if (den == 0)
6059 return 0;
686eeb93
AJ
6060 if (num == INT_MIN && den == -1)
6061 return INT_MIN;
3670669c
PB
6062 return num / den;
6063}
6064
6065uint32_t HELPER(udiv)(uint32_t num, uint32_t den)
6066{
6067 if (den == 0)
6068 return 0;
6069 return num / den;
6070}
6071
6072uint32_t HELPER(rbit)(uint32_t x)
6073{
42fedbca 6074 return revbit32(x);
3670669c
PB
6075}
6076
5fafdf24 6077#if defined(CONFIG_USER_ONLY)
b5ff1b31 6078
9ee6e8bb 6079/* These should probably raise undefined insn exceptions. */
0ecb72a5 6080void HELPER(v7m_msr)(CPUARMState *env, uint32_t reg, uint32_t val)
9ee6e8bb 6081{
a47dddd7
AF
6082 ARMCPU *cpu = arm_env_get_cpu(env);
6083
6084 cpu_abort(CPU(cpu), "v7m_msr %d\n", reg);
9ee6e8bb
PB
6085}
6086
0ecb72a5 6087uint32_t HELPER(v7m_mrs)(CPUARMState *env, uint32_t reg)
9ee6e8bb 6088{
a47dddd7
AF
6089 ARMCPU *cpu = arm_env_get_cpu(env);
6090
6091 cpu_abort(CPU(cpu), "v7m_mrs %d\n", reg);
9ee6e8bb
PB
6092 return 0;
6093}
6094
fb602cb7
PM
6095void HELPER(v7m_bxns)(CPUARMState *env, uint32_t dest)
6096{
6097 /* translate.c should never generate calls here in user-only mode */
6098 g_assert_not_reached();
6099}
6100
3e3fa230
PM
6101void HELPER(v7m_blxns)(CPUARMState *env, uint32_t dest)
6102{
6103 /* translate.c should never generate calls here in user-only mode */
6104 g_assert_not_reached();
6105}
6106
5158de24
PM
6107uint32_t HELPER(v7m_tt)(CPUARMState *env, uint32_t addr, uint32_t op)
6108{
6109 /* The TT instructions can be used by unprivileged code, but in
6110 * user-only emulation we don't have the MPU.
6111 * Luckily since we know we are NonSecure unprivileged (and that in
6112 * turn means that the A flag wasn't specified), all the bits in the
6113 * register must be zero:
6114 * IREGION: 0 because IRVALID is 0
6115 * IRVALID: 0 because NS
6116 * S: 0 because NS
6117 * NSRW: 0 because NS
6118 * NSR: 0 because NS
6119 * RW: 0 because unpriv and A flag not set
6120 * R: 0 because unpriv and A flag not set
6121 * SRVALID: 0 because NS
6122 * MRVALID: 0 because unpriv and A flag not set
6123 * SREGION: 0 becaus SRVALID is 0
6124 * MREGION: 0 because MRVALID is 0
6125 */
6126 return 0;
6127}
6128
0ecb72a5 6129void switch_mode(CPUARMState *env, int mode)
b5ff1b31 6130{
a47dddd7
AF
6131 ARMCPU *cpu = arm_env_get_cpu(env);
6132
6133 if (mode != ARM_CPU_MODE_USR) {
6134 cpu_abort(CPU(cpu), "Tried to switch out of user mode\n");
6135 }
b5ff1b31
FB
6136}
6137
012a906b
GB
6138uint32_t arm_phys_excp_target_el(CPUState *cs, uint32_t excp_idx,
6139 uint32_t cur_el, bool secure)
9e729b57
EI
6140{
6141 return 1;
6142}
6143
ce02049d
GB
6144void aarch64_sync_64_to_32(CPUARMState *env)
6145{
6146 g_assert_not_reached();
6147}
6148
b5ff1b31
FB
6149#else
6150
0ecb72a5 6151void switch_mode(CPUARMState *env, int mode)
b5ff1b31
FB
6152{
6153 int old_mode;
6154 int i;
6155
6156 old_mode = env->uncached_cpsr & CPSR_M;
6157 if (mode == old_mode)
6158 return;
6159
6160 if (old_mode == ARM_CPU_MODE_FIQ) {
6161 memcpy (env->fiq_regs, env->regs + 8, 5 * sizeof(uint32_t));
8637c67f 6162 memcpy (env->regs + 8, env->usr_regs, 5 * sizeof(uint32_t));
b5ff1b31
FB
6163 } else if (mode == ARM_CPU_MODE_FIQ) {
6164 memcpy (env->usr_regs, env->regs + 8, 5 * sizeof(uint32_t));
8637c67f 6165 memcpy (env->regs + 8, env->fiq_regs, 5 * sizeof(uint32_t));
b5ff1b31
FB
6166 }
6167
f5206413 6168 i = bank_number(old_mode);
b5ff1b31
FB
6169 env->banked_r13[i] = env->regs[13];
6170 env->banked_r14[i] = env->regs[14];
6171 env->banked_spsr[i] = env->spsr;
6172
f5206413 6173 i = bank_number(mode);
b5ff1b31
FB
6174 env->regs[13] = env->banked_r13[i];
6175 env->regs[14] = env->banked_r14[i];
6176 env->spsr = env->banked_spsr[i];
6177}
6178
0eeb17d6
GB
6179/* Physical Interrupt Target EL Lookup Table
6180 *
6181 * [ From ARM ARM section G1.13.4 (Table G1-15) ]
6182 *
6183 * The below multi-dimensional table is used for looking up the target
6184 * exception level given numerous condition criteria. Specifically, the
6185 * target EL is based on SCR and HCR routing controls as well as the
6186 * currently executing EL and secure state.
6187 *
6188 * Dimensions:
6189 * target_el_table[2][2][2][2][2][4]
6190 * | | | | | +--- Current EL
6191 * | | | | +------ Non-secure(0)/Secure(1)
6192 * | | | +--------- HCR mask override
6193 * | | +------------ SCR exec state control
6194 * | +--------------- SCR mask override
6195 * +------------------ 32-bit(0)/64-bit(1) EL3
6196 *
6197 * The table values are as such:
6198 * 0-3 = EL0-EL3
6199 * -1 = Cannot occur
6200 *
6201 * The ARM ARM target EL table includes entries indicating that an "exception
6202 * is not taken". The two cases where this is applicable are:
6203 * 1) An exception is taken from EL3 but the SCR does not have the exception
6204 * routed to EL3.
6205 * 2) An exception is taken from EL2 but the HCR does not have the exception
6206 * routed to EL2.
6207 * In these two cases, the below table contain a target of EL1. This value is
6208 * returned as it is expected that the consumer of the table data will check
6209 * for "target EL >= current EL" to ensure the exception is not taken.
6210 *
6211 * SCR HCR
6212 * 64 EA AMO From
6213 * BIT IRQ IMO Non-secure Secure
6214 * EL3 FIQ RW FMO EL0 EL1 EL2 EL3 EL0 EL1 EL2 EL3
6215 */
82c39f6a 6216static const int8_t target_el_table[2][2][2][2][2][4] = {
0eeb17d6
GB
6217 {{{{/* 0 0 0 0 */{ 1, 1, 2, -1 },{ 3, -1, -1, 3 },},
6218 {/* 0 0 0 1 */{ 2, 2, 2, -1 },{ 3, -1, -1, 3 },},},
6219 {{/* 0 0 1 0 */{ 1, 1, 2, -1 },{ 3, -1, -1, 3 },},
6220 {/* 0 0 1 1 */{ 2, 2, 2, -1 },{ 3, -1, -1, 3 },},},},
6221 {{{/* 0 1 0 0 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},
6222 {/* 0 1 0 1 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},},
6223 {{/* 0 1 1 0 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},
6224 {/* 0 1 1 1 */{ 3, 3, 3, -1 },{ 3, -1, -1, 3 },},},},},
6225 {{{{/* 1 0 0 0 */{ 1, 1, 2, -1 },{ 1, 1, -1, 1 },},
6226 {/* 1 0 0 1 */{ 2, 2, 2, -1 },{ 1, 1, -1, 1 },},},
6227 {{/* 1 0 1 0 */{ 1, 1, 1, -1 },{ 1, 1, -1, 1 },},
6228 {/* 1 0 1 1 */{ 2, 2, 2, -1 },{ 1, 1, -1, 1 },},},},
6229 {{{/* 1 1 0 0 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},
6230 {/* 1 1 0 1 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},},
6231 {{/* 1 1 1 0 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},
6232 {/* 1 1 1 1 */{ 3, 3, 3, -1 },{ 3, 3, -1, 3 },},},},},
6233};
6234
6235/*
6236 * Determine the target EL for physical exceptions
6237 */
012a906b
GB
6238uint32_t arm_phys_excp_target_el(CPUState *cs, uint32_t excp_idx,
6239 uint32_t cur_el, bool secure)
0eeb17d6
GB
6240{
6241 CPUARMState *env = cs->env_ptr;
2cde031f 6242 int rw;
0eeb17d6
GB
6243 int scr;
6244 int hcr;
6245 int target_el;
2cde031f
SS
6246 /* Is the highest EL AArch64? */
6247 int is64 = arm_feature(env, ARM_FEATURE_AARCH64);
6248
6249 if (arm_feature(env, ARM_FEATURE_EL3)) {
6250 rw = ((env->cp15.scr_el3 & SCR_RW) == SCR_RW);
6251 } else {
6252 /* Either EL2 is the highest EL (and so the EL2 register width
6253 * is given by is64); or there is no EL2 or EL3, in which case
6254 * the value of 'rw' does not affect the table lookup anyway.
6255 */
6256 rw = is64;
6257 }
0eeb17d6
GB
6258
6259 switch (excp_idx) {
6260 case EXCP_IRQ:
6261 scr = ((env->cp15.scr_el3 & SCR_IRQ) == SCR_IRQ);
6262 hcr = ((env->cp15.hcr_el2 & HCR_IMO) == HCR_IMO);
6263 break;
6264 case EXCP_FIQ:
6265 scr = ((env->cp15.scr_el3 & SCR_FIQ) == SCR_FIQ);
6266 hcr = ((env->cp15.hcr_el2 & HCR_FMO) == HCR_FMO);
6267 break;
6268 default:
6269 scr = ((env->cp15.scr_el3 & SCR_EA) == SCR_EA);
6270 hcr = ((env->cp15.hcr_el2 & HCR_AMO) == HCR_AMO);
6271 break;
6272 };
6273
6274 /* If HCR.TGE is set then HCR is treated as being 1 */
6275 hcr |= ((env->cp15.hcr_el2 & HCR_TGE) == HCR_TGE);
6276
6277 /* Perform a table-lookup for the target EL given the current state */
6278 target_el = target_el_table[is64][scr][rw][hcr][secure][cur_el];
6279
6280 assert(target_el > 0);
6281
6282 return target_el;
6283}
6284
fd592d89
PM
6285static bool v7m_stack_write(ARMCPU *cpu, uint32_t addr, uint32_t value,
6286 ARMMMUIdx mmu_idx, bool ignfault)
9ee6e8bb 6287{
fd592d89
PM
6288 CPUState *cs = CPU(cpu);
6289 CPUARMState *env = &cpu->env;
6290 MemTxAttrs attrs = {};
6291 MemTxResult txres;
6292 target_ulong page_size;
6293 hwaddr physaddr;
6294 int prot;
6295 ARMMMUFaultInfo fi;
6296 bool secure = mmu_idx & ARM_MMU_IDX_M_S;
6297 int exc;
6298 bool exc_secure;
6299
6300 if (get_phys_addr(env, addr, MMU_DATA_STORE, mmu_idx, &physaddr,
6301 &attrs, &prot, &page_size, &fi, NULL)) {
6302 /* MPU/SAU lookup failed */
6303 if (fi.type == ARMFault_QEMU_SFault) {
6304 qemu_log_mask(CPU_LOG_INT,
6305 "...SecureFault with SFSR.AUVIOL during stacking\n");
6306 env->v7m.sfsr |= R_V7M_SFSR_AUVIOL_MASK | R_V7M_SFSR_SFARVALID_MASK;
6307 env->v7m.sfar = addr;
6308 exc = ARMV7M_EXCP_SECURE;
6309 exc_secure = false;
6310 } else {
6311 qemu_log_mask(CPU_LOG_INT, "...MemManageFault with CFSR.MSTKERR\n");
6312 env->v7m.cfsr[secure] |= R_V7M_CFSR_MSTKERR_MASK;
6313 exc = ARMV7M_EXCP_MEM;
6314 exc_secure = secure;
6315 }
6316 goto pend_fault;
6317 }
6318 address_space_stl_le(arm_addressspace(cs, attrs), physaddr, value,
6319 attrs, &txres);
6320 if (txres != MEMTX_OK) {
6321 /* BusFault trying to write the data */
6322 qemu_log_mask(CPU_LOG_INT, "...BusFault with BFSR.STKERR\n");
6323 env->v7m.cfsr[M_REG_NS] |= R_V7M_CFSR_STKERR_MASK;
6324 exc = ARMV7M_EXCP_BUS;
6325 exc_secure = false;
6326 goto pend_fault;
6327 }
6328 return true;
70d74660 6329
fd592d89
PM
6330pend_fault:
6331 /* By pending the exception at this point we are making
6332 * the IMPDEF choice "overridden exceptions pended" (see the
6333 * MergeExcInfo() pseudocode). The other choice would be to not
6334 * pend them now and then make a choice about which to throw away
6335 * later if we have two derived exceptions.
6336 * The only case when we must not pend the exception but instead
6337 * throw it away is if we are doing the push of the callee registers
6338 * and we've already generated a derived exception. Even in this
6339 * case we will still update the fault status registers.
6340 */
6341 if (!ignfault) {
6342 armv7m_nvic_set_pending_derived(env->nvic, exc, exc_secure);
6343 }
6344 return false;
9ee6e8bb
PB
6345}
6346
95695eff
PM
6347static bool v7m_stack_read(ARMCPU *cpu, uint32_t *dest, uint32_t addr,
6348 ARMMMUIdx mmu_idx)
6349{
6350 CPUState *cs = CPU(cpu);
6351 CPUARMState *env = &cpu->env;
6352 MemTxAttrs attrs = {};
6353 MemTxResult txres;
6354 target_ulong page_size;
6355 hwaddr physaddr;
6356 int prot;
6357 ARMMMUFaultInfo fi;
6358 bool secure = mmu_idx & ARM_MMU_IDX_M_S;
6359 int exc;
6360 bool exc_secure;
6361 uint32_t value;
6362
6363 if (get_phys_addr(env, addr, MMU_DATA_LOAD, mmu_idx, &physaddr,
6364 &attrs, &prot, &page_size, &fi, NULL)) {
6365 /* MPU/SAU lookup failed */
6366 if (fi.type == ARMFault_QEMU_SFault) {
6367 qemu_log_mask(CPU_LOG_INT,
6368 "...SecureFault with SFSR.AUVIOL during unstack\n");
6369 env->v7m.sfsr |= R_V7M_SFSR_AUVIOL_MASK | R_V7M_SFSR_SFARVALID_MASK;
6370 env->v7m.sfar = addr;
6371 exc = ARMV7M_EXCP_SECURE;
6372 exc_secure = false;
6373 } else {
6374 qemu_log_mask(CPU_LOG_INT,
6375 "...MemManageFault with CFSR.MUNSTKERR\n");
6376 env->v7m.cfsr[secure] |= R_V7M_CFSR_MUNSTKERR_MASK;
6377 exc = ARMV7M_EXCP_MEM;
6378 exc_secure = secure;
6379 }
6380 goto pend_fault;
6381 }
6382
6383 value = address_space_ldl(arm_addressspace(cs, attrs), physaddr,
6384 attrs, &txres);
6385 if (txres != MEMTX_OK) {
6386 /* BusFault trying to read the data */
6387 qemu_log_mask(CPU_LOG_INT, "...BusFault with BFSR.UNSTKERR\n");
6388 env->v7m.cfsr[M_REG_NS] |= R_V7M_CFSR_UNSTKERR_MASK;
6389 exc = ARMV7M_EXCP_BUS;
6390 exc_secure = false;
6391 goto pend_fault;
6392 }
6393
6394 *dest = value;
6395 return true;
6396
6397pend_fault:
6398 /* By pending the exception at this point we are making
6399 * the IMPDEF choice "overridden exceptions pended" (see the
6400 * MergeExcInfo() pseudocode). The other choice would be to not
6401 * pend them now and then make a choice about which to throw away
6402 * later if we have two derived exceptions.
6403 */
6404 armv7m_nvic_set_pending(env->nvic, exc, exc_secure);
6405 return false;
6406}
6407
fb602cb7
PM
6408/* Return true if we're using the process stack pointer (not the MSP) */
6409static bool v7m_using_psp(CPUARMState *env)
6410{
6411 /* Handler mode always uses the main stack; for thread mode
6412 * the CONTROL.SPSEL bit determines the answer.
6413 * Note that in v7M it is not possible to be in Handler mode with
6414 * CONTROL.SPSEL non-zero, but in v8M it is, so we must check both.
6415 */
6416 return !arm_v7m_is_handler_mode(env) &&
6417 env->v7m.control[env->v7m.secure] & R_V7M_CONTROL_SPSEL_MASK;
6418}
6419
3f0cddee
PM
6420/* Write to v7M CONTROL.SPSEL bit for the specified security bank.
6421 * This may change the current stack pointer between Main and Process
6422 * stack pointers if it is done for the CONTROL register for the current
6423 * security state.
de2db7ec 6424 */
3f0cddee
PM
6425static void write_v7m_control_spsel_for_secstate(CPUARMState *env,
6426 bool new_spsel,
6427 bool secstate)
9ee6e8bb 6428{
3f0cddee 6429 bool old_is_psp = v7m_using_psp(env);
de2db7ec 6430
3f0cddee
PM
6431 env->v7m.control[secstate] =
6432 deposit32(env->v7m.control[secstate],
de2db7ec
PM
6433 R_V7M_CONTROL_SPSEL_SHIFT,
6434 R_V7M_CONTROL_SPSEL_LENGTH, new_spsel);
6435
3f0cddee
PM
6436 if (secstate == env->v7m.secure) {
6437 bool new_is_psp = v7m_using_psp(env);
6438 uint32_t tmp;
abc24d86 6439
3f0cddee
PM
6440 if (old_is_psp != new_is_psp) {
6441 tmp = env->v7m.other_sp;
6442 env->v7m.other_sp = env->regs[13];
6443 env->regs[13] = tmp;
6444 }
de2db7ec
PM
6445 }
6446}
6447
3f0cddee
PM
6448/* Write to v7M CONTROL.SPSEL bit. This may change the current
6449 * stack pointer between Main and Process stack pointers.
6450 */
6451static void write_v7m_control_spsel(CPUARMState *env, bool new_spsel)
6452{
6453 write_v7m_control_spsel_for_secstate(env, new_spsel, env->v7m.secure);
6454}
6455
de2db7ec
PM
6456void write_v7m_exception(CPUARMState *env, uint32_t new_exc)
6457{
6458 /* Write a new value to v7m.exception, thus transitioning into or out
6459 * of Handler mode; this may result in a change of active stack pointer.
6460 */
6461 bool new_is_psp, old_is_psp = v7m_using_psp(env);
6462 uint32_t tmp;
abc24d86 6463
de2db7ec
PM
6464 env->v7m.exception = new_exc;
6465
6466 new_is_psp = v7m_using_psp(env);
6467
6468 if (old_is_psp != new_is_psp) {
6469 tmp = env->v7m.other_sp;
6470 env->v7m.other_sp = env->regs[13];
6471 env->regs[13] = tmp;
9ee6e8bb
PB
6472 }
6473}
6474
fb602cb7
PM
6475/* Switch M profile security state between NS and S */
6476static void switch_v7m_security_state(CPUARMState *env, bool new_secstate)
6477{
6478 uint32_t new_ss_msp, new_ss_psp;
6479
6480 if (env->v7m.secure == new_secstate) {
6481 return;
6482 }
6483
6484 /* All the banked state is accessed by looking at env->v7m.secure
6485 * except for the stack pointer; rearrange the SP appropriately.
6486 */
6487 new_ss_msp = env->v7m.other_ss_msp;
6488 new_ss_psp = env->v7m.other_ss_psp;
6489
6490 if (v7m_using_psp(env)) {
6491 env->v7m.other_ss_psp = env->regs[13];
6492 env->v7m.other_ss_msp = env->v7m.other_sp;
6493 } else {
6494 env->v7m.other_ss_msp = env->regs[13];
6495 env->v7m.other_ss_psp = env->v7m.other_sp;
6496 }
6497
6498 env->v7m.secure = new_secstate;
6499
6500 if (v7m_using_psp(env)) {
6501 env->regs[13] = new_ss_psp;
6502 env->v7m.other_sp = new_ss_msp;
6503 } else {
6504 env->regs[13] = new_ss_msp;
6505 env->v7m.other_sp = new_ss_psp;
6506 }
6507}
6508
6509void HELPER(v7m_bxns)(CPUARMState *env, uint32_t dest)
6510{
6511 /* Handle v7M BXNS:
6512 * - if the return value is a magic value, do exception return (like BX)
6513 * - otherwise bit 0 of the return value is the target security state
6514 */
d02a8698
PM
6515 uint32_t min_magic;
6516
6517 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
6518 /* Covers FNC_RETURN and EXC_RETURN magic */
6519 min_magic = FNC_RETURN_MIN_MAGIC;
6520 } else {
6521 /* EXC_RETURN magic only */
6522 min_magic = EXC_RETURN_MIN_MAGIC;
6523 }
6524
6525 if (dest >= min_magic) {
fb602cb7
PM
6526 /* This is an exception return magic value; put it where
6527 * do_v7m_exception_exit() expects and raise EXCEPTION_EXIT.
6528 * Note that if we ever add gen_ss_advance() singlestep support to
6529 * M profile this should count as an "instruction execution complete"
6530 * event (compare gen_bx_excret_final_code()).
6531 */
6532 env->regs[15] = dest & ~1;
6533 env->thumb = dest & 1;
6534 HELPER(exception_internal)(env, EXCP_EXCEPTION_EXIT);
6535 /* notreached */
6536 }
6537
6538 /* translate.c should have made BXNS UNDEF unless we're secure */
6539 assert(env->v7m.secure);
6540
6541 switch_v7m_security_state(env, dest & 1);
6542 env->thumb = 1;
6543 env->regs[15] = dest & ~1;
6544}
6545
3e3fa230
PM
6546void HELPER(v7m_blxns)(CPUARMState *env, uint32_t dest)
6547{
6548 /* Handle v7M BLXNS:
6549 * - bit 0 of the destination address is the target security state
6550 */
6551
6552 /* At this point regs[15] is the address just after the BLXNS */
6553 uint32_t nextinst = env->regs[15] | 1;
6554 uint32_t sp = env->regs[13] - 8;
6555 uint32_t saved_psr;
6556
6557 /* translate.c will have made BLXNS UNDEF unless we're secure */
6558 assert(env->v7m.secure);
6559
6560 if (dest & 1) {
6561 /* target is Secure, so this is just a normal BLX,
6562 * except that the low bit doesn't indicate Thumb/not.
6563 */
6564 env->regs[14] = nextinst;
6565 env->thumb = 1;
6566 env->regs[15] = dest & ~1;
6567 return;
6568 }
6569
6570 /* Target is non-secure: first push a stack frame */
6571 if (!QEMU_IS_ALIGNED(sp, 8)) {
6572 qemu_log_mask(LOG_GUEST_ERROR,
6573 "BLXNS with misaligned SP is UNPREDICTABLE\n");
6574 }
6575
6576 saved_psr = env->v7m.exception;
6577 if (env->v7m.control[M_REG_S] & R_V7M_CONTROL_SFPA_MASK) {
6578 saved_psr |= XPSR_SFPA;
6579 }
6580
6581 /* Note that these stores can throw exceptions on MPU faults */
6582 cpu_stl_data(env, sp, nextinst);
6583 cpu_stl_data(env, sp + 4, saved_psr);
6584
6585 env->regs[13] = sp;
6586 env->regs[14] = 0xfeffffff;
6587 if (arm_v7m_is_handler_mode(env)) {
6588 /* Write a dummy value to IPSR, to avoid leaking the current secure
6589 * exception number to non-secure code. This is guaranteed not
6590 * to cause write_v7m_exception() to actually change stacks.
6591 */
6592 write_v7m_exception(env, 1);
6593 }
6594 switch_v7m_security_state(env, 0);
6595 env->thumb = 1;
6596 env->regs[15] = dest;
6597}
6598
5b522399
PM
6599static uint32_t *get_v7m_sp_ptr(CPUARMState *env, bool secure, bool threadmode,
6600 bool spsel)
6601{
6602 /* Return a pointer to the location where we currently store the
6603 * stack pointer for the requested security state and thread mode.
6604 * This pointer will become invalid if the CPU state is updated
6605 * such that the stack pointers are switched around (eg changing
6606 * the SPSEL control bit).
6607 * Compare the v8M ARM ARM pseudocode LookUpSP_with_security_mode().
6608 * Unlike that pseudocode, we require the caller to pass us in the
6609 * SPSEL control bit value; this is because we also use this
6610 * function in handling of pushing of the callee-saves registers
6611 * part of the v8M stack frame (pseudocode PushCalleeStack()),
6612 * and in the tailchain codepath the SPSEL bit comes from the exception
6613 * return magic LR value from the previous exception. The pseudocode
6614 * opencodes the stack-selection in PushCalleeStack(), but we prefer
6615 * to make this utility function generic enough to do the job.
6616 */
6617 bool want_psp = threadmode && spsel;
6618
6619 if (secure == env->v7m.secure) {
de2db7ec
PM
6620 if (want_psp == v7m_using_psp(env)) {
6621 return &env->regs[13];
6622 } else {
6623 return &env->v7m.other_sp;
6624 }
5b522399
PM
6625 } else {
6626 if (want_psp) {
6627 return &env->v7m.other_ss_psp;
6628 } else {
6629 return &env->v7m.other_ss_msp;
6630 }
6631 }
6632}
6633
600c33f2
PM
6634static bool arm_v7m_load_vector(ARMCPU *cpu, int exc, bool targets_secure,
6635 uint32_t *pvec)
39ae2474
PM
6636{
6637 CPUState *cs = CPU(cpu);
6638 CPUARMState *env = &cpu->env;
6639 MemTxResult result;
600c33f2
PM
6640 uint32_t addr = env->v7m.vecbase[targets_secure] + exc * 4;
6641 uint32_t vector_entry;
6642 MemTxAttrs attrs = {};
6643 ARMMMUIdx mmu_idx;
6644 bool exc_secure;
6645
6646 mmu_idx = arm_v7m_mmu_idx_for_secstate_and_priv(env, targets_secure, true);
39ae2474 6647
600c33f2
PM
6648 /* We don't do a get_phys_addr() here because the rules for vector
6649 * loads are special: they always use the default memory map, and
6650 * the default memory map permits reads from all addresses.
6651 * Since there's no easy way to pass through to pmsav8_mpu_lookup()
6652 * that we want this special case which would always say "yes",
6653 * we just do the SAU lookup here followed by a direct physical load.
6654 */
6655 attrs.secure = targets_secure;
6656 attrs.user = false;
6657
6658 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
6659 V8M_SAttributes sattrs = {};
6660
6661 v8m_security_lookup(env, addr, MMU_DATA_LOAD, mmu_idx, &sattrs);
6662 if (sattrs.ns) {
6663 attrs.secure = false;
6664 } else if (!targets_secure) {
6665 /* NS access to S memory */
6666 goto load_fail;
6667 }
6668 }
6669
6670 vector_entry = address_space_ldl(arm_addressspace(cs, attrs), addr,
6671 attrs, &result);
39ae2474 6672 if (result != MEMTX_OK) {
600c33f2 6673 goto load_fail;
39ae2474 6674 }
600c33f2
PM
6675 *pvec = vector_entry;
6676 return true;
6677
6678load_fail:
6679 /* All vector table fetch fails are reported as HardFault, with
6680 * HFSR.VECTTBL and .FORCED set. (FORCED is set because
6681 * technically the underlying exception is a MemManage or BusFault
6682 * that is escalated to HardFault.) This is a terminal exception,
6683 * so we will either take the HardFault immediately or else enter
6684 * lockup (the latter case is handled in armv7m_nvic_set_pending_derived()).
6685 */
6686 exc_secure = targets_secure ||
6687 !(cpu->env.v7m.aircr & R_V7M_AIRCR_BFHFNMINS_MASK);
6688 env->v7m.hfsr |= R_V7M_HFSR_VECTTBL_MASK | R_V7M_HFSR_FORCED_MASK;
6689 armv7m_nvic_set_pending_derived(env->nvic, ARMV7M_EXCP_HARD, exc_secure);
6690 return false;
39ae2474
PM
6691}
6692
65b4234f 6693static bool v7m_push_callee_stack(ARMCPU *cpu, uint32_t lr, bool dotailchain,
0094ca70 6694 bool ignore_faults)
d3392718
PM
6695{
6696 /* For v8M, push the callee-saves register part of the stack frame.
6697 * Compare the v8M pseudocode PushCalleeStack().
6698 * In the tailchaining case this may not be the current stack.
6699 */
6700 CPUARMState *env = &cpu->env;
d3392718
PM
6701 uint32_t *frame_sp_p;
6702 uint32_t frameptr;
65b4234f
PM
6703 ARMMMUIdx mmu_idx;
6704 bool stacked_ok;
d3392718
PM
6705
6706 if (dotailchain) {
65b4234f
PM
6707 bool mode = lr & R_V7M_EXCRET_MODE_MASK;
6708 bool priv = !(env->v7m.control[M_REG_S] & R_V7M_CONTROL_NPRIV_MASK) ||
6709 !mode;
6710
6711 mmu_idx = arm_v7m_mmu_idx_for_secstate_and_priv(env, M_REG_S, priv);
6712 frame_sp_p = get_v7m_sp_ptr(env, M_REG_S, mode,
d3392718
PM
6713 lr & R_V7M_EXCRET_SPSEL_MASK);
6714 } else {
65b4234f 6715 mmu_idx = core_to_arm_mmu_idx(env, cpu_mmu_index(env, false));
d3392718
PM
6716 frame_sp_p = &env->regs[13];
6717 }
6718
6719 frameptr = *frame_sp_p - 0x28;
6720
65b4234f
PM
6721 /* Write as much of the stack frame as we can. A write failure may
6722 * cause us to pend a derived exception.
6723 */
6724 stacked_ok =
6725 v7m_stack_write(cpu, frameptr, 0xfefa125b, mmu_idx, ignore_faults) &&
6726 v7m_stack_write(cpu, frameptr + 0x8, env->regs[4], mmu_idx,
6727 ignore_faults) &&
6728 v7m_stack_write(cpu, frameptr + 0xc, env->regs[5], mmu_idx,
6729 ignore_faults) &&
6730 v7m_stack_write(cpu, frameptr + 0x10, env->regs[6], mmu_idx,
6731 ignore_faults) &&
6732 v7m_stack_write(cpu, frameptr + 0x14, env->regs[7], mmu_idx,
6733 ignore_faults) &&
6734 v7m_stack_write(cpu, frameptr + 0x18, env->regs[8], mmu_idx,
6735 ignore_faults) &&
6736 v7m_stack_write(cpu, frameptr + 0x1c, env->regs[9], mmu_idx,
6737 ignore_faults) &&
6738 v7m_stack_write(cpu, frameptr + 0x20, env->regs[10], mmu_idx,
6739 ignore_faults) &&
6740 v7m_stack_write(cpu, frameptr + 0x24, env->regs[11], mmu_idx,
6741 ignore_faults);
6742
6743 /* Update SP regardless of whether any of the stack accesses failed.
6744 * When we implement v8M stack limit checking then this attempt to
6745 * update SP might also fail and result in a derived exception.
6746 */
d3392718 6747 *frame_sp_p = frameptr;
65b4234f
PM
6748
6749 return !stacked_ok;
d3392718
PM
6750}
6751
0094ca70
PM
6752static void v7m_exception_taken(ARMCPU *cpu, uint32_t lr, bool dotailchain,
6753 bool ignore_stackfaults)
39ae2474
PM
6754{
6755 /* Do the "take the exception" parts of exception entry,
6756 * but not the pushing of state to the stack. This is
6757 * similar to the pseudocode ExceptionTaken() function.
6758 */
6759 CPUARMState *env = &cpu->env;
6760 uint32_t addr;
d3392718 6761 bool targets_secure;
6c948518 6762 int exc;
65b4234f 6763 bool push_failed = false;
d3392718 6764
6c948518 6765 armv7m_nvic_get_pending_irq_info(env->nvic, &exc, &targets_secure);
d3392718
PM
6766
6767 if (arm_feature(env, ARM_FEATURE_V8)) {
6768 if (arm_feature(env, ARM_FEATURE_M_SECURITY) &&
6769 (lr & R_V7M_EXCRET_S_MASK)) {
6770 /* The background code (the owner of the registers in the
6771 * exception frame) is Secure. This means it may either already
6772 * have or now needs to push callee-saves registers.
6773 */
6774 if (targets_secure) {
6775 if (dotailchain && !(lr & R_V7M_EXCRET_ES_MASK)) {
6776 /* We took an exception from Secure to NonSecure
6777 * (which means the callee-saved registers got stacked)
6778 * and are now tailchaining to a Secure exception.
6779 * Clear DCRS so eventual return from this Secure
6780 * exception unstacks the callee-saved registers.
6781 */
6782 lr &= ~R_V7M_EXCRET_DCRS_MASK;
6783 }
6784 } else {
6785 /* We're going to a non-secure exception; push the
6786 * callee-saves registers to the stack now, if they're
6787 * not already saved.
6788 */
6789 if (lr & R_V7M_EXCRET_DCRS_MASK &&
6790 !(dotailchain && (lr & R_V7M_EXCRET_ES_MASK))) {
65b4234f
PM
6791 push_failed = v7m_push_callee_stack(cpu, lr, dotailchain,
6792 ignore_stackfaults);
d3392718
PM
6793 }
6794 lr |= R_V7M_EXCRET_DCRS_MASK;
6795 }
6796 }
6797
6798 lr &= ~R_V7M_EXCRET_ES_MASK;
6799 if (targets_secure || !arm_feature(env, ARM_FEATURE_M_SECURITY)) {
6800 lr |= R_V7M_EXCRET_ES_MASK;
6801 }
6802 lr &= ~R_V7M_EXCRET_SPSEL_MASK;
6803 if (env->v7m.control[targets_secure] & R_V7M_CONTROL_SPSEL_MASK) {
6804 lr |= R_V7M_EXCRET_SPSEL_MASK;
6805 }
6806
6807 /* Clear registers if necessary to prevent non-secure exception
6808 * code being able to see register values from secure code.
6809 * Where register values become architecturally UNKNOWN we leave
6810 * them with their previous values.
6811 */
6812 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
6813 if (!targets_secure) {
6814 /* Always clear the caller-saved registers (they have been
6815 * pushed to the stack earlier in v7m_push_stack()).
6816 * Clear callee-saved registers if the background code is
6817 * Secure (in which case these regs were saved in
6818 * v7m_push_callee_stack()).
6819 */
6820 int i;
6821
6822 for (i = 0; i < 13; i++) {
6823 /* r4..r11 are callee-saves, zero only if EXCRET.S == 1 */
6824 if (i < 4 || i > 11 || (lr & R_V7M_EXCRET_S_MASK)) {
6825 env->regs[i] = 0;
6826 }
6827 }
6828 /* Clear EAPSR */
6829 xpsr_write(env, 0, XPSR_NZCV | XPSR_Q | XPSR_GE | XPSR_IT);
6830 }
6831 }
6832 }
39ae2474 6833
65b4234f
PM
6834 if (push_failed && !ignore_stackfaults) {
6835 /* Derived exception on callee-saves register stacking:
6836 * we might now want to take a different exception which
6837 * targets a different security state, so try again from the top.
6838 */
6839 v7m_exception_taken(cpu, lr, true, true);
6840 return;
6841 }
6842
600c33f2
PM
6843 if (!arm_v7m_load_vector(cpu, exc, targets_secure, &addr)) {
6844 /* Vector load failed: derived exception */
6845 v7m_exception_taken(cpu, lr, true, true);
6846 return;
6847 }
6c948518
PM
6848
6849 /* Now we've done everything that might cause a derived exception
6850 * we can go ahead and activate whichever exception we're going to
6851 * take (which might now be the derived exception).
6852 */
6853 armv7m_nvic_acknowledge_irq(env->nvic);
6854
d3392718
PM
6855 /* Switch to target security state -- must do this before writing SPSEL */
6856 switch_v7m_security_state(env, targets_secure);
de2db7ec 6857 write_v7m_control_spsel(env, 0);
dc3c4c14 6858 arm_clear_exclusive(env);
39ae2474
PM
6859 /* Clear IT bits */
6860 env->condexec_bits = 0;
6861 env->regs[14] = lr;
39ae2474
PM
6862 env->regs[15] = addr & 0xfffffffe;
6863 env->thumb = addr & 1;
6864}
6865
0094ca70 6866static bool v7m_push_stack(ARMCPU *cpu)
39ae2474
PM
6867{
6868 /* Do the "set up stack frame" part of exception entry,
6869 * similar to pseudocode PushStack().
0094ca70
PM
6870 * Return true if we generate a derived exception (and so
6871 * should ignore further stack faults trying to process
6872 * that derived exception.)
39ae2474 6873 */
fd592d89 6874 bool stacked_ok;
39ae2474
PM
6875 CPUARMState *env = &cpu->env;
6876 uint32_t xpsr = xpsr_read(env);
fd592d89
PM
6877 uint32_t frameptr = env->regs[13];
6878 ARMMMUIdx mmu_idx = core_to_arm_mmu_idx(env, cpu_mmu_index(env, false));
39ae2474
PM
6879
6880 /* Align stack pointer if the guest wants that */
fd592d89 6881 if ((frameptr & 4) &&
9d40cd8a 6882 (env->v7m.ccr[env->v7m.secure] & R_V7M_CCR_STKALIGN_MASK)) {
fd592d89 6883 frameptr -= 4;
987ab45e 6884 xpsr |= XPSR_SPREALIGN;
39ae2474 6885 }
0094ca70 6886
fd592d89
PM
6887 frameptr -= 0x20;
6888
6889 /* Write as much of the stack frame as we can. If we fail a stack
6890 * write this will result in a derived exception being pended
6891 * (which may be taken in preference to the one we started with
6892 * if it has higher priority).
6893 */
6894 stacked_ok =
6895 v7m_stack_write(cpu, frameptr, env->regs[0], mmu_idx, false) &&
6896 v7m_stack_write(cpu, frameptr + 4, env->regs[1], mmu_idx, false) &&
6897 v7m_stack_write(cpu, frameptr + 8, env->regs[2], mmu_idx, false) &&
6898 v7m_stack_write(cpu, frameptr + 12, env->regs[3], mmu_idx, false) &&
6899 v7m_stack_write(cpu, frameptr + 16, env->regs[12], mmu_idx, false) &&
6900 v7m_stack_write(cpu, frameptr + 20, env->regs[14], mmu_idx, false) &&
6901 v7m_stack_write(cpu, frameptr + 24, env->regs[15], mmu_idx, false) &&
6902 v7m_stack_write(cpu, frameptr + 28, xpsr, mmu_idx, false);
6903
6904 /* Update SP regardless of whether any of the stack accesses failed.
6905 * When we implement v8M stack limit checking then this attempt to
6906 * update SP might also fail and result in a derived exception.
6907 */
6908 env->regs[13] = frameptr;
6909
6910 return !stacked_ok;
39ae2474
PM
6911}
6912
aa488fe3 6913static void do_v7m_exception_exit(ARMCPU *cpu)
9ee6e8bb 6914{
aa488fe3 6915 CPUARMState *env = &cpu->env;
5b522399 6916 CPUState *cs = CPU(cpu);
351e527a 6917 uint32_t excret;
9ee6e8bb 6918 uint32_t xpsr;
aa488fe3 6919 bool ufault = false;
bfb2eb52
PM
6920 bool sfault = false;
6921 bool return_to_sp_process;
6922 bool return_to_handler;
aa488fe3 6923 bool rettobase = false;
5cb18069 6924 bool exc_secure = false;
5b522399 6925 bool return_to_secure;
aa488fe3 6926
d02a8698
PM
6927 /* If we're not in Handler mode then jumps to magic exception-exit
6928 * addresses don't have magic behaviour. However for the v8M
6929 * security extensions the magic secure-function-return has to
6930 * work in thread mode too, so to avoid doing an extra check in
6931 * the generated code we allow exception-exit magic to also cause the
6932 * internal exception and bring us here in thread mode. Correct code
6933 * will never try to do this (the following insn fetch will always
6934 * fault) so we the overhead of having taken an unnecessary exception
6935 * doesn't matter.
aa488fe3 6936 */
d02a8698
PM
6937 if (!arm_v7m_is_handler_mode(env)) {
6938 return;
6939 }
aa488fe3
PM
6940
6941 /* In the spec pseudocode ExceptionReturn() is called directly
6942 * from BXWritePC() and gets the full target PC value including
6943 * bit zero. In QEMU's implementation we treat it as a normal
6944 * jump-to-register (which is then caught later on), and so split
6945 * the target value up between env->regs[15] and env->thumb in
6946 * gen_bx(). Reconstitute it.
6947 */
351e527a 6948 excret = env->regs[15];
aa488fe3 6949 if (env->thumb) {
351e527a 6950 excret |= 1;
aa488fe3
PM
6951 }
6952
6953 qemu_log_mask(CPU_LOG_INT, "Exception return: magic PC %" PRIx32
6954 " previous exception %d\n",
351e527a 6955 excret, env->v7m.exception);
aa488fe3 6956
351e527a 6957 if ((excret & R_V7M_EXCRET_RES1_MASK) != R_V7M_EXCRET_RES1_MASK) {
aa488fe3 6958 qemu_log_mask(LOG_GUEST_ERROR, "M profile: zero high bits in exception "
351e527a
PM
6959 "exit PC value 0x%" PRIx32 " are UNPREDICTABLE\n",
6960 excret);
aa488fe3
PM
6961 }
6962
bfb2eb52
PM
6963 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
6964 /* EXC_RETURN.ES validation check (R_SMFL). We must do this before
6965 * we pick which FAULTMASK to clear.
6966 */
6967 if (!env->v7m.secure &&
6968 ((excret & R_V7M_EXCRET_ES_MASK) ||
6969 !(excret & R_V7M_EXCRET_DCRS_MASK))) {
6970 sfault = 1;
6971 /* For all other purposes, treat ES as 0 (R_HXSR) */
6972 excret &= ~R_V7M_EXCRET_ES_MASK;
6973 }
6974 }
6975
a20ee600 6976 if (env->v7m.exception != ARMV7M_EXCP_NMI) {
42a6686b
PM
6977 /* Auto-clear FAULTMASK on return from other than NMI.
6978 * If the security extension is implemented then this only
6979 * happens if the raw execution priority is >= 0; the
6980 * value of the ES bit in the exception return value indicates
6981 * which security state's faultmask to clear. (v8M ARM ARM R_KBNF.)
6982 */
6983 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
5cb18069 6984 exc_secure = excret & R_V7M_EXCRET_ES_MASK;
42a6686b 6985 if (armv7m_nvic_raw_execution_priority(env->nvic) >= 0) {
5cb18069 6986 env->v7m.faultmask[exc_secure] = 0;
42a6686b
PM
6987 }
6988 } else {
6989 env->v7m.faultmask[M_REG_NS] = 0;
6990 }
a20ee600 6991 }
aa488fe3 6992
5cb18069
PM
6993 switch (armv7m_nvic_complete_irq(env->nvic, env->v7m.exception,
6994 exc_secure)) {
aa488fe3
PM
6995 case -1:
6996 /* attempt to exit an exception that isn't active */
6997 ufault = true;
6998 break;
6999 case 0:
7000 /* still an irq active now */
7001 break;
7002 case 1:
7003 /* we returned to base exception level, no nesting.
7004 * (In the pseudocode this is written using "NestedActivation != 1"
7005 * where we have 'rettobase == false'.)
7006 */
7007 rettobase = true;
7008 break;
7009 default:
7010 g_assert_not_reached();
7011 }
7012
bfb2eb52
PM
7013 return_to_handler = !(excret & R_V7M_EXCRET_MODE_MASK);
7014 return_to_sp_process = excret & R_V7M_EXCRET_SPSEL_MASK;
5b522399
PM
7015 return_to_secure = arm_feature(env, ARM_FEATURE_M_SECURITY) &&
7016 (excret & R_V7M_EXCRET_S_MASK);
7017
bfb2eb52
PM
7018 if (arm_feature(env, ARM_FEATURE_V8)) {
7019 if (!arm_feature(env, ARM_FEATURE_M_SECURITY)) {
7020 /* UNPREDICTABLE if S == 1 or DCRS == 0 or ES == 1 (R_XLCP);
7021 * we choose to take the UsageFault.
7022 */
7023 if ((excret & R_V7M_EXCRET_S_MASK) ||
7024 (excret & R_V7M_EXCRET_ES_MASK) ||
7025 !(excret & R_V7M_EXCRET_DCRS_MASK)) {
7026 ufault = true;
7027 }
7028 }
7029 if (excret & R_V7M_EXCRET_RES0_MASK) {
aa488fe3
PM
7030 ufault = true;
7031 }
bfb2eb52
PM
7032 } else {
7033 /* For v7M we only recognize certain combinations of the low bits */
7034 switch (excret & 0xf) {
7035 case 1: /* Return to Handler */
7036 break;
7037 case 13: /* Return to Thread using Process stack */
7038 case 9: /* Return to Thread using Main stack */
7039 /* We only need to check NONBASETHRDENA for v7M, because in
7040 * v8M this bit does not exist (it is RES1).
7041 */
7042 if (!rettobase &&
7043 !(env->v7m.ccr[env->v7m.secure] &
7044 R_V7M_CCR_NONBASETHRDENA_MASK)) {
7045 ufault = true;
7046 }
7047 break;
7048 default:
7049 ufault = true;
7050 }
7051 }
7052
7053 if (sfault) {
7054 env->v7m.sfsr |= R_V7M_SFSR_INVER_MASK;
7055 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SECURE, false);
0094ca70 7056 v7m_exception_taken(cpu, excret, true, false);
bfb2eb52
PM
7057 qemu_log_mask(CPU_LOG_INT, "...taking SecureFault on existing "
7058 "stackframe: failed EXC_RETURN.ES validity check\n");
7059 return;
aa488fe3
PM
7060 }
7061
7062 if (ufault) {
7063 /* Bad exception return: instead of popping the exception
7064 * stack, directly take a usage fault on the current stack.
7065 */
334e8dad 7066 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_INVPC_MASK;
2fb50a33 7067 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE, env->v7m.secure);
0094ca70 7068 v7m_exception_taken(cpu, excret, true, false);
aa488fe3
PM
7069 qemu_log_mask(CPU_LOG_INT, "...taking UsageFault on existing "
7070 "stackframe: failed exception return integrity check\n");
7071 return;
a20ee600 7072 }
9ee6e8bb 7073
de2db7ec
PM
7074 /* Set CONTROL.SPSEL from excret.SPSEL. Since we're still in
7075 * Handler mode (and will be until we write the new XPSR.Interrupt
7076 * field) this does not switch around the current stack pointer.
5b522399 7077 */
3f0cddee 7078 write_v7m_control_spsel_for_secstate(env, return_to_sp_process, exc_secure);
5b522399 7079
3919e60b
PM
7080 switch_v7m_security_state(env, return_to_secure);
7081
5b522399
PM
7082 {
7083 /* The stack pointer we should be reading the exception frame from
7084 * depends on bits in the magic exception return type value (and
7085 * for v8M isn't necessarily the stack pointer we will eventually
7086 * end up resuming execution with). Get a pointer to the location
7087 * in the CPU state struct where the SP we need is currently being
7088 * stored; we will use and modify it in place.
7089 * We use this limited C variable scope so we don't accidentally
7090 * use 'frame_sp_p' after we do something that makes it invalid.
fcf83ab1 7091 */
5b522399
PM
7092 uint32_t *frame_sp_p = get_v7m_sp_ptr(env,
7093 return_to_secure,
7094 !return_to_handler,
7095 return_to_sp_process);
7096 uint32_t frameptr = *frame_sp_p;
95695eff
PM
7097 bool pop_ok = true;
7098 ARMMMUIdx mmu_idx;
7099
7100 mmu_idx = arm_v7m_mmu_idx_for_secstate_and_priv(env, return_to_secure,
7101 !return_to_handler);
5b522399 7102
cb484f9a
PM
7103 if (!QEMU_IS_ALIGNED(frameptr, 8) &&
7104 arm_feature(env, ARM_FEATURE_V8)) {
7105 qemu_log_mask(LOG_GUEST_ERROR,
7106 "M profile exception return with non-8-aligned SP "
7107 "for destination state is UNPREDICTABLE\n");
7108 }
7109
907bedb3
PM
7110 /* Do we need to pop callee-saved registers? */
7111 if (return_to_secure &&
7112 ((excret & R_V7M_EXCRET_ES_MASK) == 0 ||
7113 (excret & R_V7M_EXCRET_DCRS_MASK) == 0)) {
7114 uint32_t expected_sig = 0xfefa125b;
7115 uint32_t actual_sig = ldl_phys(cs->as, frameptr);
7116
7117 if (expected_sig != actual_sig) {
7118 /* Take a SecureFault on the current stack */
7119 env->v7m.sfsr |= R_V7M_SFSR_INVIS_MASK;
7120 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SECURE, false);
0094ca70 7121 v7m_exception_taken(cpu, excret, true, false);
907bedb3
PM
7122 qemu_log_mask(CPU_LOG_INT, "...taking SecureFault on existing "
7123 "stackframe: failed exception return integrity "
7124 "signature check\n");
7125 return;
7126 }
7127
95695eff
PM
7128 pop_ok =
7129 v7m_stack_read(cpu, &env->regs[4], frameptr + 0x8, mmu_idx) &&
7130 v7m_stack_read(cpu, &env->regs[4], frameptr + 0x8, mmu_idx) &&
7131 v7m_stack_read(cpu, &env->regs[5], frameptr + 0xc, mmu_idx) &&
7132 v7m_stack_read(cpu, &env->regs[6], frameptr + 0x10, mmu_idx) &&
7133 v7m_stack_read(cpu, &env->regs[7], frameptr + 0x14, mmu_idx) &&
7134 v7m_stack_read(cpu, &env->regs[8], frameptr + 0x18, mmu_idx) &&
7135 v7m_stack_read(cpu, &env->regs[9], frameptr + 0x1c, mmu_idx) &&
7136 v7m_stack_read(cpu, &env->regs[10], frameptr + 0x20, mmu_idx) &&
7137 v7m_stack_read(cpu, &env->regs[11], frameptr + 0x24, mmu_idx);
907bedb3
PM
7138
7139 frameptr += 0x28;
7140 }
7141
95695eff
PM
7142 /* Pop registers */
7143 pop_ok = pop_ok &&
7144 v7m_stack_read(cpu, &env->regs[0], frameptr, mmu_idx) &&
7145 v7m_stack_read(cpu, &env->regs[1], frameptr + 0x4, mmu_idx) &&
7146 v7m_stack_read(cpu, &env->regs[2], frameptr + 0x8, mmu_idx) &&
7147 v7m_stack_read(cpu, &env->regs[3], frameptr + 0xc, mmu_idx) &&
7148 v7m_stack_read(cpu, &env->regs[12], frameptr + 0x10, mmu_idx) &&
7149 v7m_stack_read(cpu, &env->regs[14], frameptr + 0x14, mmu_idx) &&
7150 v7m_stack_read(cpu, &env->regs[15], frameptr + 0x18, mmu_idx) &&
7151 v7m_stack_read(cpu, &xpsr, frameptr + 0x1c, mmu_idx);
7152
7153 if (!pop_ok) {
7154 /* v7m_stack_read() pended a fault, so take it (as a tail
7155 * chained exception on the same stack frame)
7156 */
7157 v7m_exception_taken(cpu, excret, true, false);
7158 return;
7159 }
4e4259d3
PM
7160
7161 /* Returning from an exception with a PC with bit 0 set is defined
7162 * behaviour on v8M (bit 0 is ignored), but for v7M it was specified
7163 * to be UNPREDICTABLE. In practice actual v7M hardware seems to ignore
7164 * the lsbit, and there are several RTOSes out there which incorrectly
7165 * assume the r15 in the stack frame should be a Thumb-style "lsbit
7166 * indicates ARM/Thumb" value, so ignore the bit on v7M as well, but
7167 * complain about the badly behaved guest.
7168 */
5b522399 7169 if (env->regs[15] & 1) {
5b522399 7170 env->regs[15] &= ~1U;
4e4259d3
PM
7171 if (!arm_feature(env, ARM_FEATURE_V8)) {
7172 qemu_log_mask(LOG_GUEST_ERROR,
7173 "M profile return from interrupt with misaligned "
7174 "PC is UNPREDICTABLE on v7M\n");
7175 }
5b522399 7176 }
4e4259d3 7177
224e0c30
PM
7178 if (arm_feature(env, ARM_FEATURE_V8)) {
7179 /* For v8M we have to check whether the xPSR exception field
7180 * matches the EXCRET value for return to handler/thread
7181 * before we commit to changing the SP and xPSR.
7182 */
7183 bool will_be_handler = (xpsr & XPSR_EXCP) != 0;
7184 if (return_to_handler != will_be_handler) {
7185 /* Take an INVPC UsageFault on the current stack.
7186 * By this point we will have switched to the security state
7187 * for the background state, so this UsageFault will target
7188 * that state.
7189 */
7190 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE,
7191 env->v7m.secure);
7192 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_INVPC_MASK;
0094ca70 7193 v7m_exception_taken(cpu, excret, true, false);
224e0c30
PM
7194 qemu_log_mask(CPU_LOG_INT, "...taking UsageFault on existing "
7195 "stackframe: failed exception return integrity "
7196 "check\n");
7197 return;
7198 }
7199 }
7200
5b522399
PM
7201 /* Commit to consuming the stack frame */
7202 frameptr += 0x20;
7203 /* Undo stack alignment (the SPREALIGN bit indicates that the original
7204 * pre-exception SP was not 8-aligned and we added a padding word to
7205 * align it, so we undo this by ORing in the bit that increases it
7206 * from the current 8-aligned value to the 8-unaligned value. (Adding 4
7207 * would work too but a logical OR is how the pseudocode specifies it.)
7208 */
7209 if (xpsr & XPSR_SPREALIGN) {
7210 frameptr |= 4;
7211 }
7212 *frame_sp_p = frameptr;
fcf83ab1 7213 }
5b522399 7214 /* This xpsr_write() will invalidate frame_sp_p as it may switch stack */
987ab45e 7215 xpsr_write(env, xpsr, ~XPSR_SPREALIGN);
aa488fe3
PM
7216
7217 /* The restored xPSR exception field will be zero if we're
7218 * resuming in Thread mode. If that doesn't match what the
351e527a 7219 * exception return excret specified then this is a UsageFault.
224e0c30 7220 * v7M requires we make this check here; v8M did it earlier.
aa488fe3 7221 */
15b3f556 7222 if (return_to_handler != arm_v7m_is_handler_mode(env)) {
224e0c30
PM
7223 /* Take an INVPC UsageFault by pushing the stack again;
7224 * we know we're v7M so this is never a Secure UsageFault.
2fb50a33 7225 */
0094ca70
PM
7226 bool ignore_stackfaults;
7227
224e0c30 7228 assert(!arm_feature(env, ARM_FEATURE_V8));
2fb50a33 7229 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE, false);
334e8dad 7230 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_INVPC_MASK;
0094ca70
PM
7231 ignore_stackfaults = v7m_push_stack(cpu);
7232 v7m_exception_taken(cpu, excret, false, ignore_stackfaults);
aa488fe3
PM
7233 qemu_log_mask(CPU_LOG_INT, "...taking UsageFault on new stackframe: "
7234 "failed exception return integrity check\n");
7235 return;
7236 }
7237
7238 /* Otherwise, we have a successful exception exit. */
dc3c4c14 7239 arm_clear_exclusive(env);
aa488fe3 7240 qemu_log_mask(CPU_LOG_INT, "...successful exception return\n");
9ee6e8bb
PB
7241}
7242
d02a8698
PM
7243static bool do_v7m_function_return(ARMCPU *cpu)
7244{
7245 /* v8M security extensions magic function return.
7246 * We may either:
7247 * (1) throw an exception (longjump)
7248 * (2) return true if we successfully handled the function return
7249 * (3) return false if we failed a consistency check and have
7250 * pended a UsageFault that needs to be taken now
7251 *
7252 * At this point the magic return value is split between env->regs[15]
7253 * and env->thumb. We don't bother to reconstitute it because we don't
7254 * need it (all values are handled the same way).
7255 */
7256 CPUARMState *env = &cpu->env;
7257 uint32_t newpc, newpsr, newpsr_exc;
7258
7259 qemu_log_mask(CPU_LOG_INT, "...really v7M secure function return\n");
7260
7261 {
7262 bool threadmode, spsel;
7263 TCGMemOpIdx oi;
7264 ARMMMUIdx mmu_idx;
7265 uint32_t *frame_sp_p;
7266 uint32_t frameptr;
7267
7268 /* Pull the return address and IPSR from the Secure stack */
7269 threadmode = !arm_v7m_is_handler_mode(env);
7270 spsel = env->v7m.control[M_REG_S] & R_V7M_CONTROL_SPSEL_MASK;
7271
7272 frame_sp_p = get_v7m_sp_ptr(env, true, threadmode, spsel);
7273 frameptr = *frame_sp_p;
7274
7275 /* These loads may throw an exception (for MPU faults). We want to
7276 * do them as secure, so work out what MMU index that is.
7277 */
7278 mmu_idx = arm_v7m_mmu_idx_for_secstate(env, true);
7279 oi = make_memop_idx(MO_LE, arm_to_core_mmu_idx(mmu_idx));
7280 newpc = helper_le_ldul_mmu(env, frameptr, oi, 0);
7281 newpsr = helper_le_ldul_mmu(env, frameptr + 4, oi, 0);
7282
7283 /* Consistency checks on new IPSR */
7284 newpsr_exc = newpsr & XPSR_EXCP;
7285 if (!((env->v7m.exception == 0 && newpsr_exc == 0) ||
7286 (env->v7m.exception == 1 && newpsr_exc != 0))) {
7287 /* Pend the fault and tell our caller to take it */
7288 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_INVPC_MASK;
7289 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE,
7290 env->v7m.secure);
7291 qemu_log_mask(CPU_LOG_INT,
7292 "...taking INVPC UsageFault: "
7293 "IPSR consistency check failed\n");
7294 return false;
7295 }
7296
7297 *frame_sp_p = frameptr + 8;
7298 }
7299
7300 /* This invalidates frame_sp_p */
7301 switch_v7m_security_state(env, true);
7302 env->v7m.exception = newpsr_exc;
7303 env->v7m.control[M_REG_S] &= ~R_V7M_CONTROL_SFPA_MASK;
7304 if (newpsr & XPSR_SFPA) {
7305 env->v7m.control[M_REG_S] |= R_V7M_CONTROL_SFPA_MASK;
7306 }
7307 xpsr_write(env, 0, XPSR_IT);
7308 env->thumb = newpc & 1;
7309 env->regs[15] = newpc & ~1;
7310
7311 qemu_log_mask(CPU_LOG_INT, "...function return successful\n");
7312 return true;
7313}
7314
27a7ea8a
PB
7315static void arm_log_exception(int idx)
7316{
7317 if (qemu_loglevel_mask(CPU_LOG_INT)) {
7318 const char *exc = NULL;
2c4a7cc5
PM
7319 static const char * const excnames[] = {
7320 [EXCP_UDEF] = "Undefined Instruction",
7321 [EXCP_SWI] = "SVC",
7322 [EXCP_PREFETCH_ABORT] = "Prefetch Abort",
7323 [EXCP_DATA_ABORT] = "Data Abort",
7324 [EXCP_IRQ] = "IRQ",
7325 [EXCP_FIQ] = "FIQ",
7326 [EXCP_BKPT] = "Breakpoint",
7327 [EXCP_EXCEPTION_EXIT] = "QEMU v7M exception exit",
7328 [EXCP_KERNEL_TRAP] = "QEMU intercept of kernel commpage",
7329 [EXCP_HVC] = "Hypervisor Call",
7330 [EXCP_HYP_TRAP] = "Hypervisor Trap",
7331 [EXCP_SMC] = "Secure Monitor Call",
7332 [EXCP_VIRQ] = "Virtual IRQ",
7333 [EXCP_VFIQ] = "Virtual FIQ",
7334 [EXCP_SEMIHOST] = "Semihosting call",
7335 [EXCP_NOCP] = "v7M NOCP UsageFault",
7336 [EXCP_INVSTATE] = "v7M INVSTATE UsageFault",
7337 };
27a7ea8a
PB
7338
7339 if (idx >= 0 && idx < ARRAY_SIZE(excnames)) {
7340 exc = excnames[idx];
7341 }
7342 if (!exc) {
7343 exc = "unknown";
7344 }
7345 qemu_log_mask(CPU_LOG_INT, "Taking exception %d [%s]\n", idx, exc);
7346 }
7347}
7348
333e10c5
PM
7349static bool v7m_read_half_insn(ARMCPU *cpu, ARMMMUIdx mmu_idx,
7350 uint32_t addr, uint16_t *insn)
7351{
7352 /* Load a 16-bit portion of a v7M instruction, returning true on success,
7353 * or false on failure (in which case we will have pended the appropriate
7354 * exception).
7355 * We need to do the instruction fetch's MPU and SAU checks
7356 * like this because there is no MMU index that would allow
7357 * doing the load with a single function call. Instead we must
7358 * first check that the security attributes permit the load
7359 * and that they don't mismatch on the two halves of the instruction,
7360 * and then we do the load as a secure load (ie using the security
7361 * attributes of the address, not the CPU, as architecturally required).
7362 */
7363 CPUState *cs = CPU(cpu);
7364 CPUARMState *env = &cpu->env;
7365 V8M_SAttributes sattrs = {};
7366 MemTxAttrs attrs = {};
7367 ARMMMUFaultInfo fi = {};
7368 MemTxResult txres;
7369 target_ulong page_size;
7370 hwaddr physaddr;
7371 int prot;
333e10c5
PM
7372
7373 v8m_security_lookup(env, addr, MMU_INST_FETCH, mmu_idx, &sattrs);
7374 if (!sattrs.nsc || sattrs.ns) {
7375 /* This must be the second half of the insn, and it straddles a
7376 * region boundary with the second half not being S&NSC.
7377 */
7378 env->v7m.sfsr |= R_V7M_SFSR_INVEP_MASK;
7379 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SECURE, false);
7380 qemu_log_mask(CPU_LOG_INT,
7381 "...really SecureFault with SFSR.INVEP\n");
7382 return false;
7383 }
7384 if (get_phys_addr(env, addr, MMU_INST_FETCH, mmu_idx,
bc52bfeb 7385 &physaddr, &attrs, &prot, &page_size, &fi, NULL)) {
333e10c5
PM
7386 /* the MPU lookup failed */
7387 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_IACCVIOL_MASK;
7388 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_MEM, env->v7m.secure);
7389 qemu_log_mask(CPU_LOG_INT, "...really MemManage with CFSR.IACCVIOL\n");
7390 return false;
7391 }
7392 *insn = address_space_lduw_le(arm_addressspace(cs, attrs), physaddr,
7393 attrs, &txres);
7394 if (txres != MEMTX_OK) {
7395 env->v7m.cfsr[M_REG_NS] |= R_V7M_CFSR_IBUSERR_MASK;
7396 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_BUS, false);
7397 qemu_log_mask(CPU_LOG_INT, "...really BusFault with CFSR.IBUSERR\n");
7398 return false;
7399 }
7400 return true;
7401}
7402
7403static bool v7m_handle_execute_nsc(ARMCPU *cpu)
7404{
7405 /* Check whether this attempt to execute code in a Secure & NS-Callable
7406 * memory region is for an SG instruction; if so, then emulate the
7407 * effect of the SG instruction and return true. Otherwise pend
7408 * the correct kind of exception and return false.
7409 */
7410 CPUARMState *env = &cpu->env;
7411 ARMMMUIdx mmu_idx;
7412 uint16_t insn;
7413
7414 /* We should never get here unless get_phys_addr_pmsav8() caused
7415 * an exception for NS executing in S&NSC memory.
7416 */
7417 assert(!env->v7m.secure);
7418 assert(arm_feature(env, ARM_FEATURE_M_SECURITY));
7419
7420 /* We want to do the MPU lookup as secure; work out what mmu_idx that is */
7421 mmu_idx = arm_v7m_mmu_idx_for_secstate(env, true);
7422
7423 if (!v7m_read_half_insn(cpu, mmu_idx, env->regs[15], &insn)) {
7424 return false;
7425 }
7426
7427 if (!env->thumb) {
7428 goto gen_invep;
7429 }
7430
7431 if (insn != 0xe97f) {
7432 /* Not an SG instruction first half (we choose the IMPDEF
7433 * early-SG-check option).
7434 */
7435 goto gen_invep;
7436 }
7437
7438 if (!v7m_read_half_insn(cpu, mmu_idx, env->regs[15] + 2, &insn)) {
7439 return false;
7440 }
7441
7442 if (insn != 0xe97f) {
7443 /* Not an SG instruction second half (yes, both halves of the SG
7444 * insn have the same hex value)
7445 */
7446 goto gen_invep;
7447 }
7448
7449 /* OK, we have confirmed that we really have an SG instruction.
7450 * We know we're NS in S memory so don't need to repeat those checks.
7451 */
7452 qemu_log_mask(CPU_LOG_INT, "...really an SG instruction at 0x%08" PRIx32
7453 ", executing it\n", env->regs[15]);
7454 env->regs[14] &= ~1;
7455 switch_v7m_security_state(env, true);
7456 xpsr_write(env, 0, XPSR_IT);
7457 env->regs[15] += 4;
7458 return true;
7459
7460gen_invep:
7461 env->v7m.sfsr |= R_V7M_SFSR_INVEP_MASK;
7462 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SECURE, false);
7463 qemu_log_mask(CPU_LOG_INT,
7464 "...really SecureFault with SFSR.INVEP\n");
7465 return false;
7466}
7467
e6f010cc 7468void arm_v7m_cpu_do_interrupt(CPUState *cs)
9ee6e8bb 7469{
e6f010cc
AF
7470 ARMCPU *cpu = ARM_CPU(cs);
7471 CPUARMState *env = &cpu->env;
9ee6e8bb 7472 uint32_t lr;
0094ca70 7473 bool ignore_stackfaults;
9ee6e8bb 7474
27103424 7475 arm_log_exception(cs->exception_index);
3f1beaca 7476
9ee6e8bb
PB
7477 /* For exceptions we just mark as pending on the NVIC, and let that
7478 handle it. */
27103424 7479 switch (cs->exception_index) {
9ee6e8bb 7480 case EXCP_UDEF:
2fb50a33 7481 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE, env->v7m.secure);
334e8dad 7482 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_UNDEFINSTR_MASK;
a25dc805 7483 break;
7517748e 7484 case EXCP_NOCP:
2fb50a33 7485 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE, env->v7m.secure);
334e8dad 7486 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_NOCP_MASK;
a25dc805 7487 break;
e13886e3 7488 case EXCP_INVSTATE:
2fb50a33 7489 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_USAGE, env->v7m.secure);
334e8dad 7490 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_INVSTATE_MASK;
e13886e3 7491 break;
9ee6e8bb 7492 case EXCP_SWI:
314e2296 7493 /* The PC already points to the next instruction. */
2fb50a33 7494 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SVC, env->v7m.secure);
a25dc805 7495 break;
9ee6e8bb
PB
7496 case EXCP_PREFETCH_ABORT:
7497 case EXCP_DATA_ABORT:
5dd0641d
MD
7498 /* Note that for M profile we don't have a guest facing FSR, but
7499 * the env->exception.fsr will be populated by the code that
7500 * raises the fault, in the A profile short-descriptor format.
abf1172f 7501 */
5dd0641d 7502 switch (env->exception.fsr & 0xf) {
35337cc3
PM
7503 case M_FAKE_FSR_NSC_EXEC:
7504 /* Exception generated when we try to execute code at an address
7505 * which is marked as Secure & Non-Secure Callable and the CPU
7506 * is in the Non-Secure state. The only instruction which can
7507 * be executed like this is SG (and that only if both halves of
7508 * the SG instruction have the same security attributes.)
7509 * Everything else must generate an INVEP SecureFault, so we
7510 * emulate the SG instruction here.
35337cc3 7511 */
333e10c5
PM
7512 if (v7m_handle_execute_nsc(cpu)) {
7513 return;
7514 }
35337cc3
PM
7515 break;
7516 case M_FAKE_FSR_SFAULT:
7517 /* Various flavours of SecureFault for attempts to execute or
7518 * access data in the wrong security state.
7519 */
7520 switch (cs->exception_index) {
7521 case EXCP_PREFETCH_ABORT:
7522 if (env->v7m.secure) {
7523 env->v7m.sfsr |= R_V7M_SFSR_INVTRAN_MASK;
7524 qemu_log_mask(CPU_LOG_INT,
7525 "...really SecureFault with SFSR.INVTRAN\n");
7526 } else {
7527 env->v7m.sfsr |= R_V7M_SFSR_INVEP_MASK;
7528 qemu_log_mask(CPU_LOG_INT,
7529 "...really SecureFault with SFSR.INVEP\n");
7530 }
7531 break;
7532 case EXCP_DATA_ABORT:
7533 /* This must be an NS access to S memory */
7534 env->v7m.sfsr |= R_V7M_SFSR_AUVIOL_MASK;
7535 qemu_log_mask(CPU_LOG_INT,
7536 "...really SecureFault with SFSR.AUVIOL\n");
7537 break;
7538 }
7539 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_SECURE, false);
7540 break;
5dd0641d
MD
7541 case 0x8: /* External Abort */
7542 switch (cs->exception_index) {
7543 case EXCP_PREFETCH_ABORT:
c6158878
PM
7544 env->v7m.cfsr[M_REG_NS] |= R_V7M_CFSR_IBUSERR_MASK;
7545 qemu_log_mask(CPU_LOG_INT, "...with CFSR.IBUSERR\n");
5dd0641d
MD
7546 break;
7547 case EXCP_DATA_ABORT:
334e8dad 7548 env->v7m.cfsr[M_REG_NS] |=
c6158878 7549 (R_V7M_CFSR_PRECISERR_MASK | R_V7M_CFSR_BFARVALID_MASK);
5dd0641d
MD
7550 env->v7m.bfar = env->exception.vaddress;
7551 qemu_log_mask(CPU_LOG_INT,
c6158878 7552 "...with CFSR.PRECISERR and BFAR 0x%x\n",
5dd0641d
MD
7553 env->v7m.bfar);
7554 break;
7555 }
2fb50a33 7556 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_BUS, false);
5dd0641d
MD
7557 break;
7558 default:
7559 /* All other FSR values are either MPU faults or "can't happen
7560 * for M profile" cases.
7561 */
7562 switch (cs->exception_index) {
7563 case EXCP_PREFETCH_ABORT:
334e8dad 7564 env->v7m.cfsr[env->v7m.secure] |= R_V7M_CFSR_IACCVIOL_MASK;
5dd0641d
MD
7565 qemu_log_mask(CPU_LOG_INT, "...with CFSR.IACCVIOL\n");
7566 break;
7567 case EXCP_DATA_ABORT:
334e8dad 7568 env->v7m.cfsr[env->v7m.secure] |=
5dd0641d 7569 (R_V7M_CFSR_DACCVIOL_MASK | R_V7M_CFSR_MMARVALID_MASK);
c51a5cfc 7570 env->v7m.mmfar[env->v7m.secure] = env->exception.vaddress;
5dd0641d
MD
7571 qemu_log_mask(CPU_LOG_INT,
7572 "...with CFSR.DACCVIOL and MMFAR 0x%x\n",
c51a5cfc 7573 env->v7m.mmfar[env->v7m.secure]);
5dd0641d
MD
7574 break;
7575 }
2fb50a33
PM
7576 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_MEM,
7577 env->v7m.secure);
5dd0641d
MD
7578 break;
7579 }
a25dc805 7580 break;
9ee6e8bb 7581 case EXCP_BKPT:
cfe67cef 7582 if (semihosting_enabled()) {
2ad207d4 7583 int nr;
f9fd40eb 7584 nr = arm_lduw_code(env, env->regs[15], arm_sctlr_b(env)) & 0xff;
2ad207d4
PB
7585 if (nr == 0xab) {
7586 env->regs[15] += 2;
205ace55
CC
7587 qemu_log_mask(CPU_LOG_INT,
7588 "...handling as semihosting call 0x%x\n",
7589 env->regs[0]);
2ad207d4
PB
7590 env->regs[0] = do_arm_semihosting(env);
7591 return;
7592 }
7593 }
2fb50a33 7594 armv7m_nvic_set_pending(env->nvic, ARMV7M_EXCP_DEBUG, false);
a25dc805 7595 break;
9ee6e8bb 7596 case EXCP_IRQ:
9ee6e8bb
PB
7597 break;
7598 case EXCP_EXCEPTION_EXIT:
d02a8698
PM
7599 if (env->regs[15] < EXC_RETURN_MIN_MAGIC) {
7600 /* Must be v8M security extension function return */
7601 assert(env->regs[15] >= FNC_RETURN_MIN_MAGIC);
7602 assert(arm_feature(env, ARM_FEATURE_M_SECURITY));
7603 if (do_v7m_function_return(cpu)) {
7604 return;
7605 }
7606 } else {
7607 do_v7m_exception_exit(cpu);
7608 return;
7609 }
7610 break;
9ee6e8bb 7611 default:
a47dddd7 7612 cpu_abort(cs, "Unhandled exception 0x%x\n", cs->exception_index);
9ee6e8bb
PB
7613 return; /* Never happens. Keep compiler happy. */
7614 }
7615
d3392718
PM
7616 if (arm_feature(env, ARM_FEATURE_V8)) {
7617 lr = R_V7M_EXCRET_RES1_MASK |
7618 R_V7M_EXCRET_DCRS_MASK |
7619 R_V7M_EXCRET_FTYPE_MASK;
7620 /* The S bit indicates whether we should return to Secure
7621 * or NonSecure (ie our current state).
7622 * The ES bit indicates whether we're taking this exception
7623 * to Secure or NonSecure (ie our target state). We set it
7624 * later, in v7m_exception_taken().
7625 * The SPSEL bit is also set in v7m_exception_taken() for v8M.
7626 * This corresponds to the ARM ARM pseudocode for v8M setting
7627 * some LR bits in PushStack() and some in ExceptionTaken();
7628 * the distinction matters for the tailchain cases where we
7629 * can take an exception without pushing the stack.
7630 */
7631 if (env->v7m.secure) {
7632 lr |= R_V7M_EXCRET_S_MASK;
7633 }
7634 } else {
7635 lr = R_V7M_EXCRET_RES1_MASK |
7636 R_V7M_EXCRET_S_MASK |
7637 R_V7M_EXCRET_DCRS_MASK |
7638 R_V7M_EXCRET_FTYPE_MASK |
7639 R_V7M_EXCRET_ES_MASK;
7640 if (env->v7m.control[M_REG_NS] & R_V7M_CONTROL_SPSEL_MASK) {
7641 lr |= R_V7M_EXCRET_SPSEL_MASK;
7642 }
bd70b29b 7643 }
15b3f556 7644 if (!arm_v7m_is_handler_mode(env)) {
4d1e7a47 7645 lr |= R_V7M_EXCRET_MODE_MASK;
bd70b29b
PM
7646 }
7647
0094ca70
PM
7648 ignore_stackfaults = v7m_push_stack(cpu);
7649 v7m_exception_taken(cpu, lr, false, ignore_stackfaults);
a25dc805 7650 qemu_log_mask(CPU_LOG_INT, "... as %d\n", env->v7m.exception);
9ee6e8bb
PB
7651}
7652
ce02049d
GB
7653/* Function used to synchronize QEMU's AArch64 register set with AArch32
7654 * register set. This is necessary when switching between AArch32 and AArch64
7655 * execution state.
7656 */
7657void aarch64_sync_32_to_64(CPUARMState *env)
7658{
7659 int i;
7660 uint32_t mode = env->uncached_cpsr & CPSR_M;
7661
7662 /* We can blanket copy R[0:7] to X[0:7] */
7663 for (i = 0; i < 8; i++) {
7664 env->xregs[i] = env->regs[i];
7665 }
7666
7667 /* Unless we are in FIQ mode, x8-x12 come from the user registers r8-r12.
7668 * Otherwise, they come from the banked user regs.
7669 */
7670 if (mode == ARM_CPU_MODE_FIQ) {
7671 for (i = 8; i < 13; i++) {
7672 env->xregs[i] = env->usr_regs[i - 8];
7673 }
7674 } else {
7675 for (i = 8; i < 13; i++) {
7676 env->xregs[i] = env->regs[i];
7677 }
7678 }
7679
7680 /* Registers x13-x23 are the various mode SP and FP registers. Registers
7681 * r13 and r14 are only copied if we are in that mode, otherwise we copy
7682 * from the mode banked register.
7683 */
7684 if (mode == ARM_CPU_MODE_USR || mode == ARM_CPU_MODE_SYS) {
7685 env->xregs[13] = env->regs[13];
7686 env->xregs[14] = env->regs[14];
7687 } else {
7688 env->xregs[13] = env->banked_r13[bank_number(ARM_CPU_MODE_USR)];
7689 /* HYP is an exception in that it is copied from r14 */
7690 if (mode == ARM_CPU_MODE_HYP) {
7691 env->xregs[14] = env->regs[14];
7692 } else {
7693 env->xregs[14] = env->banked_r14[bank_number(ARM_CPU_MODE_USR)];
7694 }
7695 }
7696
7697 if (mode == ARM_CPU_MODE_HYP) {
7698 env->xregs[15] = env->regs[13];
7699 } else {
7700 env->xregs[15] = env->banked_r13[bank_number(ARM_CPU_MODE_HYP)];
7701 }
7702
7703 if (mode == ARM_CPU_MODE_IRQ) {
3a9148d0
SS
7704 env->xregs[16] = env->regs[14];
7705 env->xregs[17] = env->regs[13];
ce02049d 7706 } else {
3a9148d0
SS
7707 env->xregs[16] = env->banked_r14[bank_number(ARM_CPU_MODE_IRQ)];
7708 env->xregs[17] = env->banked_r13[bank_number(ARM_CPU_MODE_IRQ)];
ce02049d
GB
7709 }
7710
7711 if (mode == ARM_CPU_MODE_SVC) {
3a9148d0
SS
7712 env->xregs[18] = env->regs[14];
7713 env->xregs[19] = env->regs[13];
ce02049d 7714 } else {
3a9148d0
SS
7715 env->xregs[18] = env->banked_r14[bank_number(ARM_CPU_MODE_SVC)];
7716 env->xregs[19] = env->banked_r13[bank_number(ARM_CPU_MODE_SVC)];
ce02049d
GB
7717 }
7718
7719 if (mode == ARM_CPU_MODE_ABT) {
3a9148d0
SS
7720 env->xregs[20] = env->regs[14];
7721 env->xregs[21] = env->regs[13];
ce02049d 7722 } else {
3a9148d0
SS
7723 env->xregs[20] = env->banked_r14[bank_number(ARM_CPU_MODE_ABT)];
7724 env->xregs[21] = env->banked_r13[bank_number(ARM_CPU_MODE_ABT)];
ce02049d
GB
7725 }
7726
7727 if (mode == ARM_CPU_MODE_UND) {
3a9148d0
SS
7728 env->xregs[22] = env->regs[14];
7729 env->xregs[23] = env->regs[13];
ce02049d 7730 } else {
3a9148d0
SS
7731 env->xregs[22] = env->banked_r14[bank_number(ARM_CPU_MODE_UND)];
7732 env->xregs[23] = env->banked_r13[bank_number(ARM_CPU_MODE_UND)];
ce02049d
GB
7733 }
7734
7735 /* Registers x24-x30 are mapped to r8-r14 in FIQ mode. If we are in FIQ
7736 * mode, then we can copy from r8-r14. Otherwise, we copy from the
7737 * FIQ bank for r8-r14.
7738 */
7739 if (mode == ARM_CPU_MODE_FIQ) {
7740 for (i = 24; i < 31; i++) {
7741 env->xregs[i] = env->regs[i - 16]; /* X[24:30] <- R[8:14] */
7742 }
7743 } else {
7744 for (i = 24; i < 29; i++) {
7745 env->xregs[i] = env->fiq_regs[i - 24];
7746 }
7747 env->xregs[29] = env->banked_r13[bank_number(ARM_CPU_MODE_FIQ)];
7748 env->xregs[30] = env->banked_r14[bank_number(ARM_CPU_MODE_FIQ)];
7749 }
7750
7751 env->pc = env->regs[15];
7752}
7753
7754/* Function used to synchronize QEMU's AArch32 register set with AArch64
7755 * register set. This is necessary when switching between AArch32 and AArch64
7756 * execution state.
7757 */
7758void aarch64_sync_64_to_32(CPUARMState *env)
7759{
7760 int i;
7761 uint32_t mode = env->uncached_cpsr & CPSR_M;
7762
7763 /* We can blanket copy X[0:7] to R[0:7] */
7764 for (i = 0; i < 8; i++) {
7765 env->regs[i] = env->xregs[i];
7766 }
7767
7768 /* Unless we are in FIQ mode, r8-r12 come from the user registers x8-x12.
7769 * Otherwise, we copy x8-x12 into the banked user regs.
7770 */
7771 if (mode == ARM_CPU_MODE_FIQ) {
7772 for (i = 8; i < 13; i++) {
7773 env->usr_regs[i - 8] = env->xregs[i];
7774 }
7775 } else {
7776 for (i = 8; i < 13; i++) {
7777 env->regs[i] = env->xregs[i];
7778 }
7779 }
7780
7781 /* Registers r13 & r14 depend on the current mode.
7782 * If we are in a given mode, we copy the corresponding x registers to r13
7783 * and r14. Otherwise, we copy the x register to the banked r13 and r14
7784 * for the mode.
7785 */
7786 if (mode == ARM_CPU_MODE_USR || mode == ARM_CPU_MODE_SYS) {
7787 env->regs[13] = env->xregs[13];
7788 env->regs[14] = env->xregs[14];
7789 } else {
7790 env->banked_r13[bank_number(ARM_CPU_MODE_USR)] = env->xregs[13];
7791
7792 /* HYP is an exception in that it does not have its own banked r14 but
7793 * shares the USR r14
7794 */
7795 if (mode == ARM_CPU_MODE_HYP) {
7796 env->regs[14] = env->xregs[14];
7797 } else {
7798 env->banked_r14[bank_number(ARM_CPU_MODE_USR)] = env->xregs[14];
7799 }
7800 }
7801
7802 if (mode == ARM_CPU_MODE_HYP) {
7803 env->regs[13] = env->xregs[15];
7804 } else {
7805 env->banked_r13[bank_number(ARM_CPU_MODE_HYP)] = env->xregs[15];
7806 }
7807
7808 if (mode == ARM_CPU_MODE_IRQ) {
3a9148d0
SS
7809 env->regs[14] = env->xregs[16];
7810 env->regs[13] = env->xregs[17];
ce02049d 7811 } else {
3a9148d0
SS
7812 env->banked_r14[bank_number(ARM_CPU_MODE_IRQ)] = env->xregs[16];
7813 env->banked_r13[bank_number(ARM_CPU_MODE_IRQ)] = env->xregs[17];
ce02049d
GB
7814 }
7815
7816 if (mode == ARM_CPU_MODE_SVC) {
3a9148d0
SS
7817 env->regs[14] = env->xregs[18];
7818 env->regs[13] = env->xregs[19];
ce02049d 7819 } else {
3a9148d0
SS
7820 env->banked_r14[bank_number(ARM_CPU_MODE_SVC)] = env->xregs[18];
7821 env->banked_r13[bank_number(ARM_CPU_MODE_SVC)] = env->xregs[19];
ce02049d
GB
7822 }
7823
7824 if (mode == ARM_CPU_MODE_ABT) {
3a9148d0
SS
7825 env->regs[14] = env->xregs[20];
7826 env->regs[13] = env->xregs[21];
ce02049d 7827 } else {
3a9148d0
SS
7828 env->banked_r14[bank_number(ARM_CPU_MODE_ABT)] = env->xregs[20];
7829 env->banked_r13[bank_number(ARM_CPU_MODE_ABT)] = env->xregs[21];
ce02049d
GB
7830 }
7831
7832 if (mode == ARM_CPU_MODE_UND) {
3a9148d0
SS
7833 env->regs[14] = env->xregs[22];
7834 env->regs[13] = env->xregs[23];
ce02049d 7835 } else {
3a9148d0
SS
7836 env->banked_r14[bank_number(ARM_CPU_MODE_UND)] = env->xregs[22];
7837 env->banked_r13[bank_number(ARM_CPU_MODE_UND)] = env->xregs[23];
ce02049d
GB
7838 }
7839
7840 /* Registers x24-x30 are mapped to r8-r14 in FIQ mode. If we are in FIQ
7841 * mode, then we can copy to r8-r14. Otherwise, we copy to the
7842 * FIQ bank for r8-r14.
7843 */
7844 if (mode == ARM_CPU_MODE_FIQ) {
7845 for (i = 24; i < 31; i++) {
7846 env->regs[i - 16] = env->xregs[i]; /* X[24:30] -> R[8:14] */
7847 }
7848 } else {
7849 for (i = 24; i < 29; i++) {
7850 env->fiq_regs[i - 24] = env->xregs[i];
7851 }
7852 env->banked_r13[bank_number(ARM_CPU_MODE_FIQ)] = env->xregs[29];
7853 env->banked_r14[bank_number(ARM_CPU_MODE_FIQ)] = env->xregs[30];
7854 }
7855
7856 env->regs[15] = env->pc;
7857}
7858
966f758c 7859static void arm_cpu_do_interrupt_aarch32(CPUState *cs)
b5ff1b31 7860{
97a8ea5a
AF
7861 ARMCPU *cpu = ARM_CPU(cs);
7862 CPUARMState *env = &cpu->env;
b5ff1b31
FB
7863 uint32_t addr;
7864 uint32_t mask;
7865 int new_mode;
7866 uint32_t offset;
16a906fd 7867 uint32_t moe;
b5ff1b31 7868
16a906fd
PM
7869 /* If this is a debug exception we must update the DBGDSCR.MOE bits */
7870 switch (env->exception.syndrome >> ARM_EL_EC_SHIFT) {
7871 case EC_BREAKPOINT:
7872 case EC_BREAKPOINT_SAME_EL:
7873 moe = 1;
7874 break;
7875 case EC_WATCHPOINT:
7876 case EC_WATCHPOINT_SAME_EL:
7877 moe = 10;
7878 break;
7879 case EC_AA32_BKPT:
7880 moe = 3;
7881 break;
7882 case EC_VECTORCATCH:
7883 moe = 5;
7884 break;
7885 default:
7886 moe = 0;
7887 break;
7888 }
7889
7890 if (moe) {
7891 env->cp15.mdscr_el1 = deposit64(env->cp15.mdscr_el1, 2, 4, moe);
7892 }
7893
b5ff1b31 7894 /* TODO: Vectored interrupt controller. */
27103424 7895 switch (cs->exception_index) {
b5ff1b31
FB
7896 case EXCP_UDEF:
7897 new_mode = ARM_CPU_MODE_UND;
7898 addr = 0x04;
7899 mask = CPSR_I;
7900 if (env->thumb)
7901 offset = 2;
7902 else
7903 offset = 4;
7904 break;
7905 case EXCP_SWI:
7906 new_mode = ARM_CPU_MODE_SVC;
7907 addr = 0x08;
7908 mask = CPSR_I;
601d70b9 7909 /* The PC already points to the next instruction. */
b5ff1b31
FB
7910 offset = 0;
7911 break;
06c949e6 7912 case EXCP_BKPT:
9ee6e8bb
PB
7913 /* Fall through to prefetch abort. */
7914 case EXCP_PREFETCH_ABORT:
88ca1c2d 7915 A32_BANKED_CURRENT_REG_SET(env, ifsr, env->exception.fsr);
b848ce2b 7916 A32_BANKED_CURRENT_REG_SET(env, ifar, env->exception.vaddress);
3f1beaca 7917 qemu_log_mask(CPU_LOG_INT, "...with IFSR 0x%x IFAR 0x%x\n",
88ca1c2d 7918 env->exception.fsr, (uint32_t)env->exception.vaddress);
b5ff1b31
FB
7919 new_mode = ARM_CPU_MODE_ABT;
7920 addr = 0x0c;
7921 mask = CPSR_A | CPSR_I;
7922 offset = 4;
7923 break;
7924 case EXCP_DATA_ABORT:
4a7e2d73 7925 A32_BANKED_CURRENT_REG_SET(env, dfsr, env->exception.fsr);
b848ce2b 7926 A32_BANKED_CURRENT_REG_SET(env, dfar, env->exception.vaddress);
3f1beaca 7927 qemu_log_mask(CPU_LOG_INT, "...with DFSR 0x%x DFAR 0x%x\n",
4a7e2d73 7928 env->exception.fsr,
6cd8a264 7929 (uint32_t)env->exception.vaddress);
b5ff1b31
FB
7930 new_mode = ARM_CPU_MODE_ABT;
7931 addr = 0x10;
7932 mask = CPSR_A | CPSR_I;
7933 offset = 8;
7934 break;
7935 case EXCP_IRQ:
7936 new_mode = ARM_CPU_MODE_IRQ;
7937 addr = 0x18;
7938 /* Disable IRQ and imprecise data aborts. */
7939 mask = CPSR_A | CPSR_I;
7940 offset = 4;
de38d23b
FA
7941 if (env->cp15.scr_el3 & SCR_IRQ) {
7942 /* IRQ routed to monitor mode */
7943 new_mode = ARM_CPU_MODE_MON;
7944 mask |= CPSR_F;
7945 }
b5ff1b31
FB
7946 break;
7947 case EXCP_FIQ:
7948 new_mode = ARM_CPU_MODE_FIQ;
7949 addr = 0x1c;
7950 /* Disable FIQ, IRQ and imprecise data aborts. */
7951 mask = CPSR_A | CPSR_I | CPSR_F;
de38d23b
FA
7952 if (env->cp15.scr_el3 & SCR_FIQ) {
7953 /* FIQ routed to monitor mode */
7954 new_mode = ARM_CPU_MODE_MON;
7955 }
b5ff1b31
FB
7956 offset = 4;
7957 break;
87a4b270
PM
7958 case EXCP_VIRQ:
7959 new_mode = ARM_CPU_MODE_IRQ;
7960 addr = 0x18;
7961 /* Disable IRQ and imprecise data aborts. */
7962 mask = CPSR_A | CPSR_I;
7963 offset = 4;
7964 break;
7965 case EXCP_VFIQ:
7966 new_mode = ARM_CPU_MODE_FIQ;
7967 addr = 0x1c;
7968 /* Disable FIQ, IRQ and imprecise data aborts. */
7969 mask = CPSR_A | CPSR_I | CPSR_F;
7970 offset = 4;
7971 break;
dbe9d163
FA
7972 case EXCP_SMC:
7973 new_mode = ARM_CPU_MODE_MON;
7974 addr = 0x08;
7975 mask = CPSR_A | CPSR_I | CPSR_F;
7976 offset = 0;
7977 break;
b5ff1b31 7978 default:
a47dddd7 7979 cpu_abort(cs, "Unhandled exception 0x%x\n", cs->exception_index);
b5ff1b31
FB
7980 return; /* Never happens. Keep compiler happy. */
7981 }
e89e51a1
FA
7982
7983 if (new_mode == ARM_CPU_MODE_MON) {
7984 addr += env->cp15.mvbar;
137feaa9 7985 } else if (A32_BANKED_CURRENT_REG_GET(env, sctlr) & SCTLR_V) {
e89e51a1 7986 /* High vectors. When enabled, base address cannot be remapped. */
b5ff1b31 7987 addr += 0xffff0000;
8641136c
NR
7988 } else {
7989 /* ARM v7 architectures provide a vector base address register to remap
7990 * the interrupt vector table.
e89e51a1 7991 * This register is only followed in non-monitor mode, and is banked.
8641136c
NR
7992 * Note: only bits 31:5 are valid.
7993 */
fb6c91ba 7994 addr += A32_BANKED_CURRENT_REG_GET(env, vbar);
b5ff1b31 7995 }
dbe9d163
FA
7996
7997 if ((env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_MON) {
7998 env->cp15.scr_el3 &= ~SCR_NS;
7999 }
8000
b5ff1b31 8001 switch_mode (env, new_mode);
662cefb7
PM
8002 /* For exceptions taken to AArch32 we must clear the SS bit in both
8003 * PSTATE and in the old-state value we save to SPSR_<mode>, so zero it now.
8004 */
8005 env->uncached_cpsr &= ~PSTATE_SS;
b5ff1b31 8006 env->spsr = cpsr_read(env);
9ee6e8bb
PB
8007 /* Clear IT bits. */
8008 env->condexec_bits = 0;
30a8cac1 8009 /* Switch to the new mode, and to the correct instruction set. */
6d7e6326 8010 env->uncached_cpsr = (env->uncached_cpsr & ~CPSR_M) | new_mode;
73462ddd
PC
8011 /* Set new mode endianness */
8012 env->uncached_cpsr &= ~CPSR_E;
8013 if (env->cp15.sctlr_el[arm_current_el(env)] & SCTLR_EE) {
3823b9db 8014 env->uncached_cpsr |= CPSR_E;
73462ddd 8015 }
4cc35614 8016 env->daif |= mask;
be5e7a76
DES
8017 /* this is a lie, as the was no c1_sys on V4T/V5, but who cares
8018 * and we should just guard the thumb mode on V4 */
8019 if (arm_feature(env, ARM_FEATURE_V4T)) {
137feaa9 8020 env->thumb = (A32_BANKED_CURRENT_REG_GET(env, sctlr) & SCTLR_TE) != 0;
be5e7a76 8021 }
b5ff1b31
FB
8022 env->regs[14] = env->regs[15] + offset;
8023 env->regs[15] = addr;
b5ff1b31
FB
8024}
8025
966f758c
PM
8026/* Handle exception entry to a target EL which is using AArch64 */
8027static void arm_cpu_do_interrupt_aarch64(CPUState *cs)
f3a9b694
PM
8028{
8029 ARMCPU *cpu = ARM_CPU(cs);
8030 CPUARMState *env = &cpu->env;
8031 unsigned int new_el = env->exception.target_el;
8032 target_ulong addr = env->cp15.vbar_el[new_el];
8033 unsigned int new_mode = aarch64_pstate_mode(new_el, true);
8034
8035 if (arm_current_el(env) < new_el) {
3d6f7617
PM
8036 /* Entry vector offset depends on whether the implemented EL
8037 * immediately lower than the target level is using AArch32 or AArch64
8038 */
8039 bool is_aa64;
8040
8041 switch (new_el) {
8042 case 3:
8043 is_aa64 = (env->cp15.scr_el3 & SCR_RW) != 0;
8044 break;
8045 case 2:
8046 is_aa64 = (env->cp15.hcr_el2 & HCR_RW) != 0;
8047 break;
8048 case 1:
8049 is_aa64 = is_a64(env);
8050 break;
8051 default:
8052 g_assert_not_reached();
8053 }
8054
8055 if (is_aa64) {
f3a9b694
PM
8056 addr += 0x400;
8057 } else {
8058 addr += 0x600;
8059 }
8060 } else if (pstate_read(env) & PSTATE_SP) {
8061 addr += 0x200;
8062 }
8063
f3a9b694
PM
8064 switch (cs->exception_index) {
8065 case EXCP_PREFETCH_ABORT:
8066 case EXCP_DATA_ABORT:
8067 env->cp15.far_el[new_el] = env->exception.vaddress;
8068 qemu_log_mask(CPU_LOG_INT, "...with FAR 0x%" PRIx64 "\n",
8069 env->cp15.far_el[new_el]);
8070 /* fall through */
8071 case EXCP_BKPT:
8072 case EXCP_UDEF:
8073 case EXCP_SWI:
8074 case EXCP_HVC:
8075 case EXCP_HYP_TRAP:
8076 case EXCP_SMC:
8077 env->cp15.esr_el[new_el] = env->exception.syndrome;
8078 break;
8079 case EXCP_IRQ:
8080 case EXCP_VIRQ:
8081 addr += 0x80;
8082 break;
8083 case EXCP_FIQ:
8084 case EXCP_VFIQ:
8085 addr += 0x100;
8086 break;
8087 case EXCP_SEMIHOST:
8088 qemu_log_mask(CPU_LOG_INT,
8089 "...handling as semihosting call 0x%" PRIx64 "\n",
8090 env->xregs[0]);
8091 env->xregs[0] = do_arm_semihosting(env);
8092 return;
8093 default:
8094 cpu_abort(cs, "Unhandled exception 0x%x\n", cs->exception_index);
8095 }
8096
8097 if (is_a64(env)) {
8098 env->banked_spsr[aarch64_banked_spsr_index(new_el)] = pstate_read(env);
8099 aarch64_save_sp(env, arm_current_el(env));
8100 env->elr_el[new_el] = env->pc;
8101 } else {
8102 env->banked_spsr[aarch64_banked_spsr_index(new_el)] = cpsr_read(env);
f3a9b694
PM
8103 env->elr_el[new_el] = env->regs[15];
8104
8105 aarch64_sync_32_to_64(env);
8106
8107 env->condexec_bits = 0;
8108 }
8109 qemu_log_mask(CPU_LOG_INT, "...with ELR 0x%" PRIx64 "\n",
8110 env->elr_el[new_el]);
8111
8112 pstate_write(env, PSTATE_DAIF | new_mode);
8113 env->aarch64 = 1;
8114 aarch64_restore_sp(env, new_el);
8115
8116 env->pc = addr;
8117
8118 qemu_log_mask(CPU_LOG_INT, "...to EL%d PC 0x%" PRIx64 " PSTATE 0x%x\n",
8119 new_el, env->pc, pstate_read(env));
966f758c
PM
8120}
8121
904c04de
PM
8122static inline bool check_for_semihosting(CPUState *cs)
8123{
8124 /* Check whether this exception is a semihosting call; if so
8125 * then handle it and return true; otherwise return false.
8126 */
8127 ARMCPU *cpu = ARM_CPU(cs);
8128 CPUARMState *env = &cpu->env;
8129
8130 if (is_a64(env)) {
8131 if (cs->exception_index == EXCP_SEMIHOST) {
8132 /* This is always the 64-bit semihosting exception.
8133 * The "is this usermode" and "is semihosting enabled"
8134 * checks have been done at translate time.
8135 */
8136 qemu_log_mask(CPU_LOG_INT,
8137 "...handling as semihosting call 0x%" PRIx64 "\n",
8138 env->xregs[0]);
8139 env->xregs[0] = do_arm_semihosting(env);
8140 return true;
8141 }
8142 return false;
8143 } else {
8144 uint32_t imm;
8145
8146 /* Only intercept calls from privileged modes, to provide some
8147 * semblance of security.
8148 */
19a6e31c
PM
8149 if (cs->exception_index != EXCP_SEMIHOST &&
8150 (!semihosting_enabled() ||
8151 ((env->uncached_cpsr & CPSR_M) == ARM_CPU_MODE_USR))) {
904c04de
PM
8152 return false;
8153 }
8154
8155 switch (cs->exception_index) {
19a6e31c
PM
8156 case EXCP_SEMIHOST:
8157 /* This is always a semihosting call; the "is this usermode"
8158 * and "is semihosting enabled" checks have been done at
8159 * translate time.
8160 */
8161 break;
904c04de
PM
8162 case EXCP_SWI:
8163 /* Check for semihosting interrupt. */
8164 if (env->thumb) {
f9fd40eb 8165 imm = arm_lduw_code(env, env->regs[15] - 2, arm_sctlr_b(env))
904c04de
PM
8166 & 0xff;
8167 if (imm == 0xab) {
8168 break;
8169 }
8170 } else {
f9fd40eb 8171 imm = arm_ldl_code(env, env->regs[15] - 4, arm_sctlr_b(env))
904c04de
PM
8172 & 0xffffff;
8173 if (imm == 0x123456) {
8174 break;
8175 }
8176 }
8177 return false;
8178 case EXCP_BKPT:
8179 /* See if this is a semihosting syscall. */
8180 if (env->thumb) {
f9fd40eb 8181 imm = arm_lduw_code(env, env->regs[15], arm_sctlr_b(env))
904c04de
PM
8182 & 0xff;
8183 if (imm == 0xab) {
8184 env->regs[15] += 2;
8185 break;
8186 }
8187 }
8188 return false;
8189 default:
8190 return false;
8191 }
8192
8193 qemu_log_mask(CPU_LOG_INT,
8194 "...handling as semihosting call 0x%x\n",
8195 env->regs[0]);
8196 env->regs[0] = do_arm_semihosting(env);
8197 return true;
8198 }
8199}
8200
966f758c
PM
8201/* Handle a CPU exception for A and R profile CPUs.
8202 * Do any appropriate logging, handle PSCI calls, and then hand off
8203 * to the AArch64-entry or AArch32-entry function depending on the
8204 * target exception level's register width.
8205 */
8206void arm_cpu_do_interrupt(CPUState *cs)
8207{
8208 ARMCPU *cpu = ARM_CPU(cs);
8209 CPUARMState *env = &cpu->env;
8210 unsigned int new_el = env->exception.target_el;
8211
531c60a9 8212 assert(!arm_feature(env, ARM_FEATURE_M));
966f758c
PM
8213
8214 arm_log_exception(cs->exception_index);
8215 qemu_log_mask(CPU_LOG_INT, "...from EL%d to EL%d\n", arm_current_el(env),
8216 new_el);
8217 if (qemu_loglevel_mask(CPU_LOG_INT)
8218 && !excp_is_internal(cs->exception_index)) {
6568da45 8219 qemu_log_mask(CPU_LOG_INT, "...with ESR 0x%x/0x%" PRIx32 "\n",
966f758c
PM
8220 env->exception.syndrome >> ARM_EL_EC_SHIFT,
8221 env->exception.syndrome);
8222 }
8223
8224 if (arm_is_psci_call(cpu, cs->exception_index)) {
8225 arm_handle_psci_call(cpu);
8226 qemu_log_mask(CPU_LOG_INT, "...handled as PSCI call\n");
8227 return;
8228 }
8229
904c04de
PM
8230 /* Semihosting semantics depend on the register width of the
8231 * code that caused the exception, not the target exception level,
8232 * so must be handled here.
966f758c 8233 */
904c04de
PM
8234 if (check_for_semihosting(cs)) {
8235 return;
8236 }
8237
8238 assert(!excp_is_internal(cs->exception_index));
8239 if (arm_el_is_aa64(env, new_el)) {
966f758c
PM
8240 arm_cpu_do_interrupt_aarch64(cs);
8241 } else {
8242 arm_cpu_do_interrupt_aarch32(cs);
8243 }
f3a9b694 8244
8d04fb55
JK
8245 /* Hooks may change global state so BQL should be held, also the
8246 * BQL needs to be held for any modification of
8247 * cs->interrupt_request.
8248 */
8249 g_assert(qemu_mutex_iothread_locked());
8250
bd7d00fc
PM
8251 arm_call_el_change_hook(cpu);
8252
f3a9b694
PM
8253 if (!kvm_enabled()) {
8254 cs->interrupt_request |= CPU_INTERRUPT_EXITTB;
8255 }
8256}
0480f69a
PM
8257
8258/* Return the exception level which controls this address translation regime */
8259static inline uint32_t regime_el(CPUARMState *env, ARMMMUIdx mmu_idx)
8260{
8261 switch (mmu_idx) {
8262 case ARMMMUIdx_S2NS:
8263 case ARMMMUIdx_S1E2:
8264 return 2;
8265 case ARMMMUIdx_S1E3:
8266 return 3;
8267 case ARMMMUIdx_S1SE0:
8268 return arm_el_is_aa64(env, 3) ? 1 : 3;
8269 case ARMMMUIdx_S1SE1:
8270 case ARMMMUIdx_S1NSE0:
8271 case ARMMMUIdx_S1NSE1:
62593718
PM
8272 case ARMMMUIdx_MPrivNegPri:
8273 case ARMMMUIdx_MUserNegPri:
e7b921c2
PM
8274 case ARMMMUIdx_MPriv:
8275 case ARMMMUIdx_MUser:
62593718
PM
8276 case ARMMMUIdx_MSPrivNegPri:
8277 case ARMMMUIdx_MSUserNegPri:
66787c78 8278 case ARMMMUIdx_MSPriv:
66787c78 8279 case ARMMMUIdx_MSUser:
0480f69a
PM
8280 return 1;
8281 default:
8282 g_assert_not_reached();
8283 }
8284}
8285
8286/* Return the SCTLR value which controls this address translation regime */
8287static inline uint32_t regime_sctlr(CPUARMState *env, ARMMMUIdx mmu_idx)
8288{
8289 return env->cp15.sctlr_el[regime_el(env, mmu_idx)];
8290}
8291
8292/* Return true if the specified stage of address translation is disabled */
8293static inline bool regime_translation_disabled(CPUARMState *env,
8294 ARMMMUIdx mmu_idx)
8295{
29c483a5 8296 if (arm_feature(env, ARM_FEATURE_M)) {
ecf5e8ea 8297 switch (env->v7m.mpu_ctrl[regime_is_secure(env, mmu_idx)] &
3bef7012
PM
8298 (R_V7M_MPU_CTRL_ENABLE_MASK | R_V7M_MPU_CTRL_HFNMIENA_MASK)) {
8299 case R_V7M_MPU_CTRL_ENABLE_MASK:
8300 /* Enabled, but not for HardFault and NMI */
62593718 8301 return mmu_idx & ARM_MMU_IDX_M_NEGPRI;
3bef7012
PM
8302 case R_V7M_MPU_CTRL_ENABLE_MASK | R_V7M_MPU_CTRL_HFNMIENA_MASK:
8303 /* Enabled for all cases */
8304 return false;
8305 case 0:
8306 default:
8307 /* HFNMIENA set and ENABLE clear is UNPREDICTABLE, but
8308 * we warned about that in armv7m_nvic.c when the guest set it.
8309 */
8310 return true;
8311 }
29c483a5
MD
8312 }
8313
0480f69a
PM
8314 if (mmu_idx == ARMMMUIdx_S2NS) {
8315 return (env->cp15.hcr_el2 & HCR_VM) == 0;
8316 }
8317 return (regime_sctlr(env, mmu_idx) & SCTLR_M) == 0;
8318}
8319
73462ddd
PC
8320static inline bool regime_translation_big_endian(CPUARMState *env,
8321 ARMMMUIdx mmu_idx)
8322{
8323 return (regime_sctlr(env, mmu_idx) & SCTLR_EE) != 0;
8324}
8325
0480f69a
PM
8326/* Return the TCR controlling this translation regime */
8327static inline TCR *regime_tcr(CPUARMState *env, ARMMMUIdx mmu_idx)
8328{
8329 if (mmu_idx == ARMMMUIdx_S2NS) {
68e9c2fe 8330 return &env->cp15.vtcr_el2;
0480f69a
PM
8331 }
8332 return &env->cp15.tcr_el[regime_el(env, mmu_idx)];
8333}
8334
8bd5c820
PM
8335/* Convert a possible stage1+2 MMU index into the appropriate
8336 * stage 1 MMU index
8337 */
8338static inline ARMMMUIdx stage_1_mmu_idx(ARMMMUIdx mmu_idx)
8339{
8340 if (mmu_idx == ARMMMUIdx_S12NSE0 || mmu_idx == ARMMMUIdx_S12NSE1) {
8341 mmu_idx += (ARMMMUIdx_S1NSE0 - ARMMMUIdx_S12NSE0);
8342 }
8343 return mmu_idx;
8344}
8345
86fb3fa4
TH
8346/* Returns TBI0 value for current regime el */
8347uint32_t arm_regime_tbi0(CPUARMState *env, ARMMMUIdx mmu_idx)
8348{
8349 TCR *tcr;
8350 uint32_t el;
8351
8352 /* For EL0 and EL1, TBI is controlled by stage 1's TCR, so convert
8bd5c820
PM
8353 * a stage 1+2 mmu index into the appropriate stage 1 mmu index.
8354 */
8355 mmu_idx = stage_1_mmu_idx(mmu_idx);
86fb3fa4
TH
8356
8357 tcr = regime_tcr(env, mmu_idx);
8358 el = regime_el(env, mmu_idx);
8359
8360 if (el > 1) {
8361 return extract64(tcr->raw_tcr, 20, 1);
8362 } else {
8363 return extract64(tcr->raw_tcr, 37, 1);
8364 }
8365}
8366
8367/* Returns TBI1 value for current regime el */
8368uint32_t arm_regime_tbi1(CPUARMState *env, ARMMMUIdx mmu_idx)
8369{
8370 TCR *tcr;
8371 uint32_t el;
8372
8373 /* For EL0 and EL1, TBI is controlled by stage 1's TCR, so convert
8bd5c820
PM
8374 * a stage 1+2 mmu index into the appropriate stage 1 mmu index.
8375 */
8376 mmu_idx = stage_1_mmu_idx(mmu_idx);
86fb3fa4
TH
8377
8378 tcr = regime_tcr(env, mmu_idx);
8379 el = regime_el(env, mmu_idx);
8380
8381 if (el > 1) {
8382 return 0;
8383 } else {
8384 return extract64(tcr->raw_tcr, 38, 1);
8385 }
8386}
8387
aef878be
GB
8388/* Return the TTBR associated with this translation regime */
8389static inline uint64_t regime_ttbr(CPUARMState *env, ARMMMUIdx mmu_idx,
8390 int ttbrn)
8391{
8392 if (mmu_idx == ARMMMUIdx_S2NS) {
b698e9cf 8393 return env->cp15.vttbr_el2;
aef878be
GB
8394 }
8395 if (ttbrn == 0) {
8396 return env->cp15.ttbr0_el[regime_el(env, mmu_idx)];
8397 } else {
8398 return env->cp15.ttbr1_el[regime_el(env, mmu_idx)];
8399 }
8400}
8401
0480f69a
PM
8402/* Return true if the translation regime is using LPAE format page tables */
8403static inline bool regime_using_lpae_format(CPUARMState *env,
8404 ARMMMUIdx mmu_idx)
8405{
8406 int el = regime_el(env, mmu_idx);
8407 if (el == 2 || arm_el_is_aa64(env, el)) {
8408 return true;
8409 }
8410 if (arm_feature(env, ARM_FEATURE_LPAE)
8411 && (regime_tcr(env, mmu_idx)->raw_tcr & TTBCR_EAE)) {
8412 return true;
8413 }
8414 return false;
8415}
8416
deb2db99
AR
8417/* Returns true if the stage 1 translation regime is using LPAE format page
8418 * tables. Used when raising alignment exceptions, whose FSR changes depending
8419 * on whether the long or short descriptor format is in use. */
8420bool arm_s1_regime_using_lpae_format(CPUARMState *env, ARMMMUIdx mmu_idx)
30901475 8421{
8bd5c820 8422 mmu_idx = stage_1_mmu_idx(mmu_idx);
deb2db99 8423
30901475
AB
8424 return regime_using_lpae_format(env, mmu_idx);
8425}
8426
0480f69a
PM
8427static inline bool regime_is_user(CPUARMState *env, ARMMMUIdx mmu_idx)
8428{
8429 switch (mmu_idx) {
8430 case ARMMMUIdx_S1SE0:
8431 case ARMMMUIdx_S1NSE0:
e7b921c2 8432 case ARMMMUIdx_MUser:
871bec7c 8433 case ARMMMUIdx_MSUser:
62593718
PM
8434 case ARMMMUIdx_MUserNegPri:
8435 case ARMMMUIdx_MSUserNegPri:
0480f69a
PM
8436 return true;
8437 default:
8438 return false;
8439 case ARMMMUIdx_S12NSE0:
8440 case ARMMMUIdx_S12NSE1:
8441 g_assert_not_reached();
8442 }
8443}
8444
0fbf5238
AJ
8445/* Translate section/page access permissions to page
8446 * R/W protection flags
d76951b6
AJ
8447 *
8448 * @env: CPUARMState
8449 * @mmu_idx: MMU index indicating required translation regime
8450 * @ap: The 3-bit access permissions (AP[2:0])
8451 * @domain_prot: The 2-bit domain access permissions
0fbf5238
AJ
8452 */
8453static inline int ap_to_rw_prot(CPUARMState *env, ARMMMUIdx mmu_idx,
8454 int ap, int domain_prot)
8455{
554b0b09
PM
8456 bool is_user = regime_is_user(env, mmu_idx);
8457
8458 if (domain_prot == 3) {
8459 return PAGE_READ | PAGE_WRITE;
8460 }
8461
554b0b09
PM
8462 switch (ap) {
8463 case 0:
8464 if (arm_feature(env, ARM_FEATURE_V7)) {
8465 return 0;
8466 }
554b0b09
PM
8467 switch (regime_sctlr(env, mmu_idx) & (SCTLR_S | SCTLR_R)) {
8468 case SCTLR_S:
8469 return is_user ? 0 : PAGE_READ;
8470 case SCTLR_R:
8471 return PAGE_READ;
8472 default:
8473 return 0;
8474 }
8475 case 1:
8476 return is_user ? 0 : PAGE_READ | PAGE_WRITE;
8477 case 2:
87c3d486 8478 if (is_user) {
0fbf5238 8479 return PAGE_READ;
87c3d486 8480 } else {
554b0b09 8481 return PAGE_READ | PAGE_WRITE;
87c3d486 8482 }
554b0b09
PM
8483 case 3:
8484 return PAGE_READ | PAGE_WRITE;
8485 case 4: /* Reserved. */
8486 return 0;
8487 case 5:
0fbf5238 8488 return is_user ? 0 : PAGE_READ;
554b0b09 8489 case 6:
0fbf5238 8490 return PAGE_READ;
554b0b09 8491 case 7:
87c3d486 8492 if (!arm_feature(env, ARM_FEATURE_V6K)) {
554b0b09 8493 return 0;
87c3d486 8494 }
0fbf5238 8495 return PAGE_READ;
554b0b09 8496 default:
0fbf5238 8497 g_assert_not_reached();
554b0b09 8498 }
b5ff1b31
FB
8499}
8500
d76951b6
AJ
8501/* Translate section/page access permissions to page
8502 * R/W protection flags.
8503 *
d76951b6 8504 * @ap: The 2-bit simple AP (AP[2:1])
d8e052b3 8505 * @is_user: TRUE if accessing from PL0
d76951b6 8506 */
d8e052b3 8507static inline int simple_ap_to_rw_prot_is_user(int ap, bool is_user)
d76951b6 8508{
d76951b6
AJ
8509 switch (ap) {
8510 case 0:
8511 return is_user ? 0 : PAGE_READ | PAGE_WRITE;
8512 case 1:
8513 return PAGE_READ | PAGE_WRITE;
8514 case 2:
8515 return is_user ? 0 : PAGE_READ;
8516 case 3:
8517 return PAGE_READ;
8518 default:
8519 g_assert_not_reached();
8520 }
8521}
8522
d8e052b3
AJ
8523static inline int
8524simple_ap_to_rw_prot(CPUARMState *env, ARMMMUIdx mmu_idx, int ap)
8525{
8526 return simple_ap_to_rw_prot_is_user(ap, regime_is_user(env, mmu_idx));
8527}
8528
6ab1a5ee
EI
8529/* Translate S2 section/page access permissions to protection flags
8530 *
8531 * @env: CPUARMState
8532 * @s2ap: The 2-bit stage2 access permissions (S2AP)
8533 * @xn: XN (execute-never) bit
8534 */
8535static int get_S2prot(CPUARMState *env, int s2ap, int xn)
8536{
8537 int prot = 0;
8538
8539 if (s2ap & 1) {
8540 prot |= PAGE_READ;
8541 }
8542 if (s2ap & 2) {
8543 prot |= PAGE_WRITE;
8544 }
8545 if (!xn) {
dfda6837
SS
8546 if (arm_el_is_aa64(env, 2) || prot & PAGE_READ) {
8547 prot |= PAGE_EXEC;
8548 }
6ab1a5ee
EI
8549 }
8550 return prot;
8551}
8552
d8e052b3
AJ
8553/* Translate section/page access permissions to protection flags
8554 *
8555 * @env: CPUARMState
8556 * @mmu_idx: MMU index indicating required translation regime
8557 * @is_aa64: TRUE if AArch64
8558 * @ap: The 2-bit simple AP (AP[2:1])
8559 * @ns: NS (non-secure) bit
8560 * @xn: XN (execute-never) bit
8561 * @pxn: PXN (privileged execute-never) bit
8562 */
8563static int get_S1prot(CPUARMState *env, ARMMMUIdx mmu_idx, bool is_aa64,
8564 int ap, int ns, int xn, int pxn)
8565{
8566 bool is_user = regime_is_user(env, mmu_idx);
8567 int prot_rw, user_rw;
8568 bool have_wxn;
8569 int wxn = 0;
8570
8571 assert(mmu_idx != ARMMMUIdx_S2NS);
8572
8573 user_rw = simple_ap_to_rw_prot_is_user(ap, true);
8574 if (is_user) {
8575 prot_rw = user_rw;
8576 } else {
8577 prot_rw = simple_ap_to_rw_prot_is_user(ap, false);
8578 }
8579
8580 if (ns && arm_is_secure(env) && (env->cp15.scr_el3 & SCR_SIF)) {
8581 return prot_rw;
8582 }
8583
8584 /* TODO have_wxn should be replaced with
8585 * ARM_FEATURE_V8 || (ARM_FEATURE_V7 && ARM_FEATURE_EL2)
8586 * when ARM_FEATURE_EL2 starts getting set. For now we assume all LPAE
8587 * compatible processors have EL2, which is required for [U]WXN.
8588 */
8589 have_wxn = arm_feature(env, ARM_FEATURE_LPAE);
8590
8591 if (have_wxn) {
8592 wxn = regime_sctlr(env, mmu_idx) & SCTLR_WXN;
8593 }
8594
8595 if (is_aa64) {
8596 switch (regime_el(env, mmu_idx)) {
8597 case 1:
8598 if (!is_user) {
8599 xn = pxn || (user_rw & PAGE_WRITE);
8600 }
8601 break;
8602 case 2:
8603 case 3:
8604 break;
8605 }
8606 } else if (arm_feature(env, ARM_FEATURE_V7)) {
8607 switch (regime_el(env, mmu_idx)) {
8608 case 1:
8609 case 3:
8610 if (is_user) {
8611 xn = xn || !(user_rw & PAGE_READ);
8612 } else {
8613 int uwxn = 0;
8614 if (have_wxn) {
8615 uwxn = regime_sctlr(env, mmu_idx) & SCTLR_UWXN;
8616 }
8617 xn = xn || !(prot_rw & PAGE_READ) || pxn ||
8618 (uwxn && (user_rw & PAGE_WRITE));
8619 }
8620 break;
8621 case 2:
8622 break;
8623 }
8624 } else {
8625 xn = wxn = 0;
8626 }
8627
8628 if (xn || (wxn && (prot_rw & PAGE_WRITE))) {
8629 return prot_rw;
8630 }
8631 return prot_rw | PAGE_EXEC;
8632}
8633
0480f69a
PM
8634static bool get_level1_table_address(CPUARMState *env, ARMMMUIdx mmu_idx,
8635 uint32_t *table, uint32_t address)
b2fa1797 8636{
0480f69a 8637 /* Note that we can only get here for an AArch32 PL0/PL1 lookup */
0480f69a 8638 TCR *tcr = regime_tcr(env, mmu_idx);
11f136ee 8639
11f136ee
FA
8640 if (address & tcr->mask) {
8641 if (tcr->raw_tcr & TTBCR_PD1) {
e389be16
FA
8642 /* Translation table walk disabled for TTBR1 */
8643 return false;
8644 }
aef878be 8645 *table = regime_ttbr(env, mmu_idx, 1) & 0xffffc000;
e389be16 8646 } else {
11f136ee 8647 if (tcr->raw_tcr & TTBCR_PD0) {
e389be16
FA
8648 /* Translation table walk disabled for TTBR0 */
8649 return false;
8650 }
aef878be 8651 *table = regime_ttbr(env, mmu_idx, 0) & tcr->base_mask;
e389be16
FA
8652 }
8653 *table |= (address >> 18) & 0x3ffc;
8654 return true;
b2fa1797
PB
8655}
8656
37785977
EI
8657/* Translate a S1 pagetable walk through S2 if needed. */
8658static hwaddr S1_ptw_translate(CPUARMState *env, ARMMMUIdx mmu_idx,
8659 hwaddr addr, MemTxAttrs txattrs,
37785977
EI
8660 ARMMMUFaultInfo *fi)
8661{
8662 if ((mmu_idx == ARMMMUIdx_S1NSE0 || mmu_idx == ARMMMUIdx_S1NSE1) &&
8663 !regime_translation_disabled(env, ARMMMUIdx_S2NS)) {
8664 target_ulong s2size;
8665 hwaddr s2pa;
8666 int s2prot;
8667 int ret;
8668
8669 ret = get_phys_addr_lpae(env, addr, 0, ARMMMUIdx_S2NS, &s2pa,
da909b2c 8670 &txattrs, &s2prot, &s2size, fi, NULL);
37785977 8671 if (ret) {
3b39d734 8672 assert(fi->type != ARMFault_None);
37785977
EI
8673 fi->s2addr = addr;
8674 fi->stage2 = true;
8675 fi->s1ptw = true;
8676 return ~0;
8677 }
8678 addr = s2pa;
8679 }
8680 return addr;
8681}
8682
ebca90e4
PM
8683/* All loads done in the course of a page table walk go through here.
8684 * TODO: rather than ignoring errors from physical memory reads (which
8685 * are external aborts in ARM terminology) we should propagate this
8686 * error out so that we can turn it into a Data Abort if this walk
8687 * was being done for a CPU load/store or an address translation instruction
8688 * (but not if it was for a debug access).
8689 */
a614e698 8690static uint32_t arm_ldl_ptw(CPUState *cs, hwaddr addr, bool is_secure,
3795a6de 8691 ARMMMUIdx mmu_idx, ARMMMUFaultInfo *fi)
ebca90e4 8692{
a614e698
EI
8693 ARMCPU *cpu = ARM_CPU(cs);
8694 CPUARMState *env = &cpu->env;
ebca90e4 8695 MemTxAttrs attrs = {};
3b39d734 8696 MemTxResult result = MEMTX_OK;
5ce4ff65 8697 AddressSpace *as;
3b39d734 8698 uint32_t data;
ebca90e4
PM
8699
8700 attrs.secure = is_secure;
5ce4ff65 8701 as = arm_addressspace(cs, attrs);
3795a6de 8702 addr = S1_ptw_translate(env, mmu_idx, addr, attrs, fi);
a614e698
EI
8703 if (fi->s1ptw) {
8704 return 0;
8705 }
73462ddd 8706 if (regime_translation_big_endian(env, mmu_idx)) {
3b39d734 8707 data = address_space_ldl_be(as, addr, attrs, &result);
73462ddd 8708 } else {
3b39d734 8709 data = address_space_ldl_le(as, addr, attrs, &result);
73462ddd 8710 }
3b39d734
PM
8711 if (result == MEMTX_OK) {
8712 return data;
8713 }
8714 fi->type = ARMFault_SyncExternalOnWalk;
8715 fi->ea = arm_extabort_type(result);
8716 return 0;
ebca90e4
PM
8717}
8718
37785977 8719static uint64_t arm_ldq_ptw(CPUState *cs, hwaddr addr, bool is_secure,
3795a6de 8720 ARMMMUIdx mmu_idx, ARMMMUFaultInfo *fi)
ebca90e4 8721{
37785977
EI
8722 ARMCPU *cpu = ARM_CPU(cs);
8723 CPUARMState *env = &cpu->env;
ebca90e4 8724 MemTxAttrs attrs = {};
3b39d734 8725 MemTxResult result = MEMTX_OK;
5ce4ff65 8726 AddressSpace *as;
9aea1ea3 8727 uint64_t data;
ebca90e4
PM
8728
8729 attrs.secure = is_secure;
5ce4ff65 8730 as = arm_addressspace(cs, attrs);
3795a6de 8731 addr = S1_ptw_translate(env, mmu_idx, addr, attrs, fi);
37785977
EI
8732 if (fi->s1ptw) {
8733 return 0;
8734 }
73462ddd 8735 if (regime_translation_big_endian(env, mmu_idx)) {
3b39d734 8736 data = address_space_ldq_be(as, addr, attrs, &result);
73462ddd 8737 } else {
3b39d734
PM
8738 data = address_space_ldq_le(as, addr, attrs, &result);
8739 }
8740 if (result == MEMTX_OK) {
8741 return data;
73462ddd 8742 }
3b39d734
PM
8743 fi->type = ARMFault_SyncExternalOnWalk;
8744 fi->ea = arm_extabort_type(result);
8745 return 0;
ebca90e4
PM
8746}
8747
b7cc4e82 8748static bool get_phys_addr_v5(CPUARMState *env, uint32_t address,
03ae85f8 8749 MMUAccessType access_type, ARMMMUIdx mmu_idx,
b7cc4e82 8750 hwaddr *phys_ptr, int *prot,
f989983e 8751 target_ulong *page_size,
e14b5a23 8752 ARMMMUFaultInfo *fi)
b5ff1b31 8753{
70d74660 8754 CPUState *cs = CPU(arm_env_get_cpu(env));
f989983e 8755 int level = 1;
b5ff1b31
FB
8756 uint32_t table;
8757 uint32_t desc;
8758 int type;
8759 int ap;
e389be16 8760 int domain = 0;
dd4ebc2e 8761 int domain_prot;
a8170e5e 8762 hwaddr phys_addr;
0480f69a 8763 uint32_t dacr;
b5ff1b31 8764
9ee6e8bb
PB
8765 /* Pagetable walk. */
8766 /* Lookup l1 descriptor. */
0480f69a 8767 if (!get_level1_table_address(env, mmu_idx, &table, address)) {
e389be16 8768 /* Section translation fault if page walk is disabled by PD0 or PD1 */
f989983e 8769 fi->type = ARMFault_Translation;
e389be16
FA
8770 goto do_fault;
8771 }
a614e698 8772 desc = arm_ldl_ptw(cs, table, regime_is_secure(env, mmu_idx),
3795a6de 8773 mmu_idx, fi);
3b39d734
PM
8774 if (fi->type != ARMFault_None) {
8775 goto do_fault;
8776 }
9ee6e8bb 8777 type = (desc & 3);
dd4ebc2e 8778 domain = (desc >> 5) & 0x0f;
0480f69a
PM
8779 if (regime_el(env, mmu_idx) == 1) {
8780 dacr = env->cp15.dacr_ns;
8781 } else {
8782 dacr = env->cp15.dacr_s;
8783 }
8784 domain_prot = (dacr >> (domain * 2)) & 3;
9ee6e8bb 8785 if (type == 0) {
601d70b9 8786 /* Section translation fault. */
f989983e 8787 fi->type = ARMFault_Translation;
9ee6e8bb
PB
8788 goto do_fault;
8789 }
f989983e
PM
8790 if (type != 2) {
8791 level = 2;
8792 }
dd4ebc2e 8793 if (domain_prot == 0 || domain_prot == 2) {
f989983e 8794 fi->type = ARMFault_Domain;
9ee6e8bb
PB
8795 goto do_fault;
8796 }
8797 if (type == 2) {
8798 /* 1Mb section. */
8799 phys_addr = (desc & 0xfff00000) | (address & 0x000fffff);
8800 ap = (desc >> 10) & 3;
d4c430a8 8801 *page_size = 1024 * 1024;
9ee6e8bb
PB
8802 } else {
8803 /* Lookup l2 entry. */
554b0b09
PM
8804 if (type == 1) {
8805 /* Coarse pagetable. */
8806 table = (desc & 0xfffffc00) | ((address >> 10) & 0x3fc);
8807 } else {
8808 /* Fine pagetable. */
8809 table = (desc & 0xfffff000) | ((address >> 8) & 0xffc);
8810 }
a614e698 8811 desc = arm_ldl_ptw(cs, table, regime_is_secure(env, mmu_idx),
3795a6de 8812 mmu_idx, fi);
3b39d734
PM
8813 if (fi->type != ARMFault_None) {
8814 goto do_fault;
8815 }
9ee6e8bb
PB
8816 switch (desc & 3) {
8817 case 0: /* Page translation fault. */
f989983e 8818 fi->type = ARMFault_Translation;
9ee6e8bb
PB
8819 goto do_fault;
8820 case 1: /* 64k page. */
8821 phys_addr = (desc & 0xffff0000) | (address & 0xffff);
8822 ap = (desc >> (4 + ((address >> 13) & 6))) & 3;
d4c430a8 8823 *page_size = 0x10000;
ce819861 8824 break;
9ee6e8bb
PB
8825 case 2: /* 4k page. */
8826 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
c10f7fc3 8827 ap = (desc >> (4 + ((address >> 9) & 6))) & 3;
d4c430a8 8828 *page_size = 0x1000;
ce819861 8829 break;
fc1891c7 8830 case 3: /* 1k page, or ARMv6/XScale "extended small (4k) page" */
554b0b09 8831 if (type == 1) {
fc1891c7
PM
8832 /* ARMv6/XScale extended small page format */
8833 if (arm_feature(env, ARM_FEATURE_XSCALE)
8834 || arm_feature(env, ARM_FEATURE_V6)) {
554b0b09 8835 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
fc1891c7 8836 *page_size = 0x1000;
554b0b09 8837 } else {
fc1891c7
PM
8838 /* UNPREDICTABLE in ARMv5; we choose to take a
8839 * page translation fault.
8840 */
f989983e 8841 fi->type = ARMFault_Translation;
554b0b09
PM
8842 goto do_fault;
8843 }
8844 } else {
8845 phys_addr = (desc & 0xfffffc00) | (address & 0x3ff);
fc1891c7 8846 *page_size = 0x400;
554b0b09 8847 }
9ee6e8bb 8848 ap = (desc >> 4) & 3;
ce819861
PB
8849 break;
8850 default:
9ee6e8bb
PB
8851 /* Never happens, but compiler isn't smart enough to tell. */
8852 abort();
ce819861 8853 }
9ee6e8bb 8854 }
0fbf5238
AJ
8855 *prot = ap_to_rw_prot(env, mmu_idx, ap, domain_prot);
8856 *prot |= *prot ? PAGE_EXEC : 0;
8857 if (!(*prot & (1 << access_type))) {
9ee6e8bb 8858 /* Access permission fault. */
f989983e 8859 fi->type = ARMFault_Permission;
9ee6e8bb
PB
8860 goto do_fault;
8861 }
8862 *phys_ptr = phys_addr;
b7cc4e82 8863 return false;
9ee6e8bb 8864do_fault:
f989983e
PM
8865 fi->domain = domain;
8866 fi->level = level;
b7cc4e82 8867 return true;
9ee6e8bb
PB
8868}
8869
b7cc4e82 8870static bool get_phys_addr_v6(CPUARMState *env, uint32_t address,
03ae85f8 8871 MMUAccessType access_type, ARMMMUIdx mmu_idx,
b7cc4e82 8872 hwaddr *phys_ptr, MemTxAttrs *attrs, int *prot,
f06cf243 8873 target_ulong *page_size, ARMMMUFaultInfo *fi)
9ee6e8bb 8874{
70d74660 8875 CPUState *cs = CPU(arm_env_get_cpu(env));
f06cf243 8876 int level = 1;
9ee6e8bb
PB
8877 uint32_t table;
8878 uint32_t desc;
8879 uint32_t xn;
de9b05b8 8880 uint32_t pxn = 0;
9ee6e8bb
PB
8881 int type;
8882 int ap;
de9b05b8 8883 int domain = 0;
dd4ebc2e 8884 int domain_prot;
a8170e5e 8885 hwaddr phys_addr;
0480f69a 8886 uint32_t dacr;
8bf5b6a9 8887 bool ns;
9ee6e8bb
PB
8888
8889 /* Pagetable walk. */
8890 /* Lookup l1 descriptor. */
0480f69a 8891 if (!get_level1_table_address(env, mmu_idx, &table, address)) {
e389be16 8892 /* Section translation fault if page walk is disabled by PD0 or PD1 */
f06cf243 8893 fi->type = ARMFault_Translation;
e389be16
FA
8894 goto do_fault;
8895 }
a614e698 8896 desc = arm_ldl_ptw(cs, table, regime_is_secure(env, mmu_idx),
3795a6de 8897 mmu_idx, fi);
3b39d734
PM
8898 if (fi->type != ARMFault_None) {
8899 goto do_fault;
8900 }
9ee6e8bb 8901 type = (desc & 3);
de9b05b8
PM
8902 if (type == 0 || (type == 3 && !arm_feature(env, ARM_FEATURE_PXN))) {
8903 /* Section translation fault, or attempt to use the encoding
8904 * which is Reserved on implementations without PXN.
8905 */
f06cf243 8906 fi->type = ARMFault_Translation;
9ee6e8bb 8907 goto do_fault;
de9b05b8
PM
8908 }
8909 if ((type == 1) || !(desc & (1 << 18))) {
8910 /* Page or Section. */
dd4ebc2e 8911 domain = (desc >> 5) & 0x0f;
9ee6e8bb 8912 }
0480f69a
PM
8913 if (regime_el(env, mmu_idx) == 1) {
8914 dacr = env->cp15.dacr_ns;
8915 } else {
8916 dacr = env->cp15.dacr_s;
8917 }
f06cf243
PM
8918 if (type == 1) {
8919 level = 2;
8920 }
0480f69a 8921 domain_prot = (dacr >> (domain * 2)) & 3;
dd4ebc2e 8922 if (domain_prot == 0 || domain_prot == 2) {
f06cf243
PM
8923 /* Section or Page domain fault */
8924 fi->type = ARMFault_Domain;
9ee6e8bb
PB
8925 goto do_fault;
8926 }
de9b05b8 8927 if (type != 1) {
9ee6e8bb
PB
8928 if (desc & (1 << 18)) {
8929 /* Supersection. */
8930 phys_addr = (desc & 0xff000000) | (address & 0x00ffffff);
4e42a6ca
SF
8931 phys_addr |= (uint64_t)extract32(desc, 20, 4) << 32;
8932 phys_addr |= (uint64_t)extract32(desc, 5, 4) << 36;
d4c430a8 8933 *page_size = 0x1000000;
b5ff1b31 8934 } else {
9ee6e8bb
PB
8935 /* Section. */
8936 phys_addr = (desc & 0xfff00000) | (address & 0x000fffff);
d4c430a8 8937 *page_size = 0x100000;
b5ff1b31 8938 }
9ee6e8bb
PB
8939 ap = ((desc >> 10) & 3) | ((desc >> 13) & 4);
8940 xn = desc & (1 << 4);
de9b05b8 8941 pxn = desc & 1;
8bf5b6a9 8942 ns = extract32(desc, 19, 1);
9ee6e8bb 8943 } else {
de9b05b8
PM
8944 if (arm_feature(env, ARM_FEATURE_PXN)) {
8945 pxn = (desc >> 2) & 1;
8946 }
8bf5b6a9 8947 ns = extract32(desc, 3, 1);
9ee6e8bb
PB
8948 /* Lookup l2 entry. */
8949 table = (desc & 0xfffffc00) | ((address >> 10) & 0x3fc);
a614e698 8950 desc = arm_ldl_ptw(cs, table, regime_is_secure(env, mmu_idx),
3795a6de 8951 mmu_idx, fi);
3b39d734
PM
8952 if (fi->type != ARMFault_None) {
8953 goto do_fault;
8954 }
9ee6e8bb
PB
8955 ap = ((desc >> 4) & 3) | ((desc >> 7) & 4);
8956 switch (desc & 3) {
8957 case 0: /* Page translation fault. */
f06cf243 8958 fi->type = ARMFault_Translation;
b5ff1b31 8959 goto do_fault;
9ee6e8bb
PB
8960 case 1: /* 64k page. */
8961 phys_addr = (desc & 0xffff0000) | (address & 0xffff);
8962 xn = desc & (1 << 15);
d4c430a8 8963 *page_size = 0x10000;
9ee6e8bb
PB
8964 break;
8965 case 2: case 3: /* 4k page. */
8966 phys_addr = (desc & 0xfffff000) | (address & 0xfff);
8967 xn = desc & 1;
d4c430a8 8968 *page_size = 0x1000;
9ee6e8bb
PB
8969 break;
8970 default:
8971 /* Never happens, but compiler isn't smart enough to tell. */
8972 abort();
b5ff1b31 8973 }
9ee6e8bb 8974 }
dd4ebc2e 8975 if (domain_prot == 3) {
c0034328
JR
8976 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
8977 } else {
0480f69a 8978 if (pxn && !regime_is_user(env, mmu_idx)) {
de9b05b8
PM
8979 xn = 1;
8980 }
f06cf243
PM
8981 if (xn && access_type == MMU_INST_FETCH) {
8982 fi->type = ARMFault_Permission;
c0034328 8983 goto do_fault;
f06cf243 8984 }
9ee6e8bb 8985
d76951b6
AJ
8986 if (arm_feature(env, ARM_FEATURE_V6K) &&
8987 (regime_sctlr(env, mmu_idx) & SCTLR_AFE)) {
8988 /* The simplified model uses AP[0] as an access control bit. */
8989 if ((ap & 1) == 0) {
8990 /* Access flag fault. */
f06cf243 8991 fi->type = ARMFault_AccessFlag;
d76951b6
AJ
8992 goto do_fault;
8993 }
8994 *prot = simple_ap_to_rw_prot(env, mmu_idx, ap >> 1);
8995 } else {
8996 *prot = ap_to_rw_prot(env, mmu_idx, ap, domain_prot);
c0034328 8997 }
0fbf5238
AJ
8998 if (*prot && !xn) {
8999 *prot |= PAGE_EXEC;
9000 }
9001 if (!(*prot & (1 << access_type))) {
c0034328 9002 /* Access permission fault. */
f06cf243 9003 fi->type = ARMFault_Permission;
c0034328
JR
9004 goto do_fault;
9005 }
3ad493fc 9006 }
8bf5b6a9
PM
9007 if (ns) {
9008 /* The NS bit will (as required by the architecture) have no effect if
9009 * the CPU doesn't support TZ or this is a non-secure translation
9010 * regime, because the attribute will already be non-secure.
9011 */
9012 attrs->secure = false;
9013 }
9ee6e8bb 9014 *phys_ptr = phys_addr;
b7cc4e82 9015 return false;
b5ff1b31 9016do_fault:
f06cf243
PM
9017 fi->domain = domain;
9018 fi->level = level;
b7cc4e82 9019 return true;
b5ff1b31
FB
9020}
9021
1853d5a9 9022/*
a0e966c9 9023 * check_s2_mmu_setup
1853d5a9
EI
9024 * @cpu: ARMCPU
9025 * @is_aa64: True if the translation regime is in AArch64 state
9026 * @startlevel: Suggested starting level
9027 * @inputsize: Bitsize of IPAs
9028 * @stride: Page-table stride (See the ARM ARM)
9029 *
a0e966c9
EI
9030 * Returns true if the suggested S2 translation parameters are OK and
9031 * false otherwise.
1853d5a9 9032 */
a0e966c9
EI
9033static bool check_s2_mmu_setup(ARMCPU *cpu, bool is_aa64, int level,
9034 int inputsize, int stride)
1853d5a9 9035{
98d68ec2
EI
9036 const int grainsize = stride + 3;
9037 int startsizecheck;
9038
1853d5a9
EI
9039 /* Negative levels are never allowed. */
9040 if (level < 0) {
9041 return false;
9042 }
9043
98d68ec2
EI
9044 startsizecheck = inputsize - ((3 - level) * stride + grainsize);
9045 if (startsizecheck < 1 || startsizecheck > stride + 4) {
9046 return false;
9047 }
9048
1853d5a9 9049 if (is_aa64) {
3526423e 9050 CPUARMState *env = &cpu->env;
1853d5a9
EI
9051 unsigned int pamax = arm_pamax(cpu);
9052
9053 switch (stride) {
9054 case 13: /* 64KB Pages. */
9055 if (level == 0 || (level == 1 && pamax <= 42)) {
9056 return false;
9057 }
9058 break;
9059 case 11: /* 16KB Pages. */
9060 if (level == 0 || (level == 1 && pamax <= 40)) {
9061 return false;
9062 }
9063 break;
9064 case 9: /* 4KB Pages. */
9065 if (level == 0 && pamax <= 42) {
9066 return false;
9067 }
9068 break;
9069 default:
9070 g_assert_not_reached();
9071 }
3526423e
EI
9072
9073 /* Inputsize checks. */
9074 if (inputsize > pamax &&
9075 (arm_el_is_aa64(env, 1) || inputsize > 40)) {
9076 /* This is CONSTRAINED UNPREDICTABLE and we choose to fault. */
9077 return false;
9078 }
1853d5a9 9079 } else {
1853d5a9
EI
9080 /* AArch32 only supports 4KB pages. Assert on that. */
9081 assert(stride == 9);
9082
9083 if (level == 0) {
9084 return false;
9085 }
1853d5a9
EI
9086 }
9087 return true;
9088}
9089
5b2d261d
AB
9090/* Translate from the 4-bit stage 2 representation of
9091 * memory attributes (without cache-allocation hints) to
9092 * the 8-bit representation of the stage 1 MAIR registers
9093 * (which includes allocation hints).
9094 *
9095 * ref: shared/translation/attrs/S2AttrDecode()
9096 * .../S2ConvertAttrsHints()
9097 */
9098static uint8_t convert_stage2_attrs(CPUARMState *env, uint8_t s2attrs)
9099{
9100 uint8_t hiattr = extract32(s2attrs, 2, 2);
9101 uint8_t loattr = extract32(s2attrs, 0, 2);
9102 uint8_t hihint = 0, lohint = 0;
9103
9104 if (hiattr != 0) { /* normal memory */
9105 if ((env->cp15.hcr_el2 & HCR_CD) != 0) { /* cache disabled */
9106 hiattr = loattr = 1; /* non-cacheable */
9107 } else {
9108 if (hiattr != 1) { /* Write-through or write-back */
9109 hihint = 3; /* RW allocate */
9110 }
9111 if (loattr != 1) { /* Write-through or write-back */
9112 lohint = 3; /* RW allocate */
9113 }
9114 }
9115 }
9116
9117 return (hiattr << 6) | (hihint << 4) | (loattr << 2) | lohint;
9118}
9119
b7cc4e82 9120static bool get_phys_addr_lpae(CPUARMState *env, target_ulong address,
03ae85f8 9121 MMUAccessType access_type, ARMMMUIdx mmu_idx,
b7cc4e82 9122 hwaddr *phys_ptr, MemTxAttrs *txattrs, int *prot,
da909b2c 9123 target_ulong *page_size_ptr,
5b2d261d 9124 ARMMMUFaultInfo *fi, ARMCacheAttrs *cacheattrs)
3dde962f 9125{
1853d5a9
EI
9126 ARMCPU *cpu = arm_env_get_cpu(env);
9127 CPUState *cs = CPU(cpu);
3dde962f 9128 /* Read an LPAE long-descriptor translation table. */
da909b2c 9129 ARMFaultType fault_type = ARMFault_Translation;
1b4093ea 9130 uint32_t level;
0c5fbf3b 9131 uint32_t epd = 0;
1f4c8c18 9132 int32_t t0sz, t1sz;
2c8dd318 9133 uint32_t tg;
3dde962f
PM
9134 uint64_t ttbr;
9135 int ttbr_select;
dddb5223 9136 hwaddr descaddr, indexmask, indexmask_grainsize;
3dde962f
PM
9137 uint32_t tableattrs;
9138 target_ulong page_size;
9139 uint32_t attrs;
973a5434 9140 int32_t stride = 9;
6e99f762 9141 int32_t addrsize;
4ca6a051 9142 int inputsize;
2c8dd318 9143 int32_t tbi = 0;
0480f69a 9144 TCR *tcr = regime_tcr(env, mmu_idx);
d8e052b3 9145 int ap, ns, xn, pxn;
88e8add8
GB
9146 uint32_t el = regime_el(env, mmu_idx);
9147 bool ttbr1_valid = true;
6109769a 9148 uint64_t descaddrmask;
6e99f762 9149 bool aarch64 = arm_el_is_aa64(env, el);
0480f69a
PM
9150
9151 /* TODO:
88e8add8
GB
9152 * This code does not handle the different format TCR for VTCR_EL2.
9153 * This code also does not support shareability levels.
9154 * Attribute and permission bit handling should also be checked when adding
9155 * support for those page table walks.
0480f69a 9156 */
6e99f762 9157 if (aarch64) {
1b4093ea 9158 level = 0;
6e99f762 9159 addrsize = 64;
88e8add8 9160 if (el > 1) {
1edee470
EI
9161 if (mmu_idx != ARMMMUIdx_S2NS) {
9162 tbi = extract64(tcr->raw_tcr, 20, 1);
9163 }
88e8add8
GB
9164 } else {
9165 if (extract64(address, 55, 1)) {
9166 tbi = extract64(tcr->raw_tcr, 38, 1);
9167 } else {
9168 tbi = extract64(tcr->raw_tcr, 37, 1);
9169 }
9170 }
2c8dd318 9171 tbi *= 8;
88e8add8
GB
9172
9173 /* If we are in 64-bit EL2 or EL3 then there is no TTBR1, so mark it
9174 * invalid.
9175 */
9176 if (el > 1) {
9177 ttbr1_valid = false;
9178 }
d0a2cbce 9179 } else {
1b4093ea 9180 level = 1;
6e99f762 9181 addrsize = 32;
d0a2cbce
PM
9182 /* There is no TTBR1 for EL2 */
9183 if (el == 2) {
9184 ttbr1_valid = false;
9185 }
2c8dd318 9186 }
3dde962f
PM
9187
9188 /* Determine whether this address is in the region controlled by
9189 * TTBR0 or TTBR1 (or if it is in neither region and should fault).
9190 * This is a Non-secure PL0/1 stage 1 translation, so controlled by
9191 * TTBCR/TTBR0/TTBR1 in accordance with ARM ARM DDI0406C table B-32:
9192 */
6e99f762 9193 if (aarch64) {
4ee38098
EI
9194 /* AArch64 translation. */
9195 t0sz = extract32(tcr->raw_tcr, 0, 6);
2c8dd318
RH
9196 t0sz = MIN(t0sz, 39);
9197 t0sz = MAX(t0sz, 16);
4ee38098
EI
9198 } else if (mmu_idx != ARMMMUIdx_S2NS) {
9199 /* AArch32 stage 1 translation. */
9200 t0sz = extract32(tcr->raw_tcr, 0, 3);
9201 } else {
9202 /* AArch32 stage 2 translation. */
9203 bool sext = extract32(tcr->raw_tcr, 4, 1);
9204 bool sign = extract32(tcr->raw_tcr, 3, 1);
6e99f762
SS
9205 /* Address size is 40-bit for a stage 2 translation,
9206 * and t0sz can be negative (from -8 to 7),
9207 * so we need to adjust it to use the TTBR selecting logic below.
9208 */
9209 addrsize = 40;
9210 t0sz = sextract32(tcr->raw_tcr, 0, 4) + 8;
4ee38098
EI
9211
9212 /* If the sign-extend bit is not the same as t0sz[3], the result
9213 * is unpredictable. Flag this as a guest error. */
9214 if (sign != sext) {
9215 qemu_log_mask(LOG_GUEST_ERROR,
39cba610 9216 "AArch32: VTCR.S / VTCR.T0SZ[3] mismatch\n");
4ee38098 9217 }
2c8dd318 9218 }
1f4c8c18 9219 t1sz = extract32(tcr->raw_tcr, 16, 6);
6e99f762 9220 if (aarch64) {
2c8dd318
RH
9221 t1sz = MIN(t1sz, 39);
9222 t1sz = MAX(t1sz, 16);
9223 }
6e99f762 9224 if (t0sz && !extract64(address, addrsize - t0sz, t0sz - tbi)) {
3dde962f
PM
9225 /* there is a ttbr0 region and we are in it (high bits all zero) */
9226 ttbr_select = 0;
88e8add8 9227 } else if (ttbr1_valid && t1sz &&
6e99f762 9228 !extract64(~address, addrsize - t1sz, t1sz - tbi)) {
3dde962f
PM
9229 /* there is a ttbr1 region and we are in it (high bits all one) */
9230 ttbr_select = 1;
9231 } else if (!t0sz) {
9232 /* ttbr0 region is "everything not in the ttbr1 region" */
9233 ttbr_select = 0;
88e8add8 9234 } else if (!t1sz && ttbr1_valid) {
3dde962f
PM
9235 /* ttbr1 region is "everything not in the ttbr0 region" */
9236 ttbr_select = 1;
9237 } else {
9238 /* in the gap between the two regions, this is a Translation fault */
da909b2c 9239 fault_type = ARMFault_Translation;
3dde962f
PM
9240 goto do_fault;
9241 }
9242
9243 /* Note that QEMU ignores shareability and cacheability attributes,
9244 * so we don't need to do anything with the SH, ORGN, IRGN fields
9245 * in the TTBCR. Similarly, TTBCR:A1 selects whether we get the
9246 * ASID from TTBR0 or TTBR1, but QEMU's TLB doesn't currently
9247 * implement any ASID-like capability so we can ignore it (instead
9248 * we will always flush the TLB any time the ASID is changed).
9249 */
9250 if (ttbr_select == 0) {
aef878be 9251 ttbr = regime_ttbr(env, mmu_idx, 0);
0c5fbf3b
EI
9252 if (el < 2) {
9253 epd = extract32(tcr->raw_tcr, 7, 1);
9254 }
6e99f762 9255 inputsize = addrsize - t0sz;
2c8dd318 9256
11f136ee 9257 tg = extract32(tcr->raw_tcr, 14, 2);
2c8dd318 9258 if (tg == 1) { /* 64KB pages */
973a5434 9259 stride = 13;
2c8dd318
RH
9260 }
9261 if (tg == 2) { /* 16KB pages */
973a5434 9262 stride = 11;
2c8dd318 9263 }
3dde962f 9264 } else {
88e8add8
GB
9265 /* We should only be here if TTBR1 is valid */
9266 assert(ttbr1_valid);
9267
aef878be 9268 ttbr = regime_ttbr(env, mmu_idx, 1);
11f136ee 9269 epd = extract32(tcr->raw_tcr, 23, 1);
6e99f762 9270 inputsize = addrsize - t1sz;
2c8dd318 9271
11f136ee 9272 tg = extract32(tcr->raw_tcr, 30, 2);
2c8dd318 9273 if (tg == 3) { /* 64KB pages */
973a5434 9274 stride = 13;
2c8dd318
RH
9275 }
9276 if (tg == 1) { /* 16KB pages */
973a5434 9277 stride = 11;
2c8dd318 9278 }
3dde962f
PM
9279 }
9280
0480f69a 9281 /* Here we should have set up all the parameters for the translation:
6e99f762 9282 * inputsize, ttbr, epd, stride, tbi
0480f69a
PM
9283 */
9284
3dde962f 9285 if (epd) {
88e8add8
GB
9286 /* Translation table walk disabled => Translation fault on TLB miss
9287 * Note: This is always 0 on 64-bit EL2 and EL3.
9288 */
3dde962f
PM
9289 goto do_fault;
9290 }
9291
1853d5a9
EI
9292 if (mmu_idx != ARMMMUIdx_S2NS) {
9293 /* The starting level depends on the virtual address size (which can
9294 * be up to 48 bits) and the translation granule size. It indicates
9295 * the number of strides (stride bits at a time) needed to
9296 * consume the bits of the input address. In the pseudocode this is:
9297 * level = 4 - RoundUp((inputsize - grainsize) / stride)
9298 * where their 'inputsize' is our 'inputsize', 'grainsize' is
9299 * our 'stride + 3' and 'stride' is our 'stride'.
9300 * Applying the usual "rounded up m/n is (m+n-1)/n" and simplifying:
9301 * = 4 - (inputsize - stride - 3 + stride - 1) / stride
9302 * = 4 - (inputsize - 4) / stride;
9303 */
9304 level = 4 - (inputsize - 4) / stride;
9305 } else {
9306 /* For stage 2 translations the starting level is specified by the
9307 * VTCR_EL2.SL0 field (whose interpretation depends on the page size)
9308 */
1b4093ea
SS
9309 uint32_t sl0 = extract32(tcr->raw_tcr, 6, 2);
9310 uint32_t startlevel;
1853d5a9
EI
9311 bool ok;
9312
6e99f762 9313 if (!aarch64 || stride == 9) {
1853d5a9 9314 /* AArch32 or 4KB pages */
1b4093ea 9315 startlevel = 2 - sl0;
1853d5a9
EI
9316 } else {
9317 /* 16KB or 64KB pages */
1b4093ea 9318 startlevel = 3 - sl0;
1853d5a9
EI
9319 }
9320
9321 /* Check that the starting level is valid. */
6e99f762 9322 ok = check_s2_mmu_setup(cpu, aarch64, startlevel,
1b4093ea 9323 inputsize, stride);
1853d5a9 9324 if (!ok) {
da909b2c 9325 fault_type = ARMFault_Translation;
1853d5a9
EI
9326 goto do_fault;
9327 }
1b4093ea 9328 level = startlevel;
1853d5a9 9329 }
3dde962f 9330
dddb5223
SS
9331 indexmask_grainsize = (1ULL << (stride + 3)) - 1;
9332 indexmask = (1ULL << (inputsize - (stride * (4 - level)))) - 1;
3dde962f
PM
9333
9334 /* Now we can extract the actual base address from the TTBR */
2c8dd318 9335 descaddr = extract64(ttbr, 0, 48);
dddb5223 9336 descaddr &= ~indexmask;
3dde962f 9337
6109769a 9338 /* The address field in the descriptor goes up to bit 39 for ARMv7
dddb5223
SS
9339 * but up to bit 47 for ARMv8, but we use the descaddrmask
9340 * up to bit 39 for AArch32, because we don't need other bits in that case
9341 * to construct next descriptor address (anyway they should be all zeroes).
6109769a 9342 */
6e99f762 9343 descaddrmask = ((1ull << (aarch64 ? 48 : 40)) - 1) &
dddb5223 9344 ~indexmask_grainsize;
6109769a 9345
ebca90e4
PM
9346 /* Secure accesses start with the page table in secure memory and
9347 * can be downgraded to non-secure at any step. Non-secure accesses
9348 * remain non-secure. We implement this by just ORing in the NSTable/NS
9349 * bits at each step.
9350 */
9351 tableattrs = regime_is_secure(env, mmu_idx) ? 0 : (1 << 4);
3dde962f
PM
9352 for (;;) {
9353 uint64_t descriptor;
ebca90e4 9354 bool nstable;
3dde962f 9355
dddb5223 9356 descaddr |= (address >> (stride * (4 - level))) & indexmask;
2c8dd318 9357 descaddr &= ~7ULL;
ebca90e4 9358 nstable = extract32(tableattrs, 4, 1);
3795a6de 9359 descriptor = arm_ldq_ptw(cs, descaddr, !nstable, mmu_idx, fi);
3b39d734 9360 if (fi->type != ARMFault_None) {
37785977
EI
9361 goto do_fault;
9362 }
9363
3dde962f
PM
9364 if (!(descriptor & 1) ||
9365 (!(descriptor & 2) && (level == 3))) {
9366 /* Invalid, or the Reserved level 3 encoding */
9367 goto do_fault;
9368 }
6109769a 9369 descaddr = descriptor & descaddrmask;
3dde962f
PM
9370
9371 if ((descriptor & 2) && (level < 3)) {
9372 /* Table entry. The top five bits are attributes which may
9373 * propagate down through lower levels of the table (and
9374 * which are all arranged so that 0 means "no effect", so
9375 * we can gather them up by ORing in the bits at each level).
9376 */
9377 tableattrs |= extract64(descriptor, 59, 5);
9378 level++;
dddb5223 9379 indexmask = indexmask_grainsize;
3dde962f
PM
9380 continue;
9381 }
9382 /* Block entry at level 1 or 2, or page entry at level 3.
9383 * These are basically the same thing, although the number
9384 * of bits we pull in from the vaddr varies.
9385 */
973a5434 9386 page_size = (1ULL << ((stride * (4 - level)) + 3));
3dde962f 9387 descaddr |= (address & (page_size - 1));
6ab1a5ee 9388 /* Extract attributes from the descriptor */
d615efac
IC
9389 attrs = extract64(descriptor, 2, 10)
9390 | (extract64(descriptor, 52, 12) << 10);
6ab1a5ee
EI
9391
9392 if (mmu_idx == ARMMMUIdx_S2NS) {
9393 /* Stage 2 table descriptors do not include any attribute fields */
9394 break;
9395 }
9396 /* Merge in attributes from table descriptors */
3dde962f
PM
9397 attrs |= extract32(tableattrs, 0, 2) << 11; /* XN, PXN */
9398 attrs |= extract32(tableattrs, 3, 1) << 5; /* APTable[1] => AP[2] */
9399 /* The sense of AP[1] vs APTable[0] is reversed, as APTable[0] == 1
9400 * means "force PL1 access only", which means forcing AP[1] to 0.
9401 */
9402 if (extract32(tableattrs, 2, 1)) {
9403 attrs &= ~(1 << 4);
9404 }
ebca90e4 9405 attrs |= nstable << 3; /* NS */
3dde962f
PM
9406 break;
9407 }
9408 /* Here descaddr is the final physical address, and attributes
9409 * are all in attrs.
9410 */
da909b2c 9411 fault_type = ARMFault_AccessFlag;
3dde962f
PM
9412 if ((attrs & (1 << 8)) == 0) {
9413 /* Access flag */
9414 goto do_fault;
9415 }
d8e052b3
AJ
9416
9417 ap = extract32(attrs, 4, 2);
d8e052b3 9418 xn = extract32(attrs, 12, 1);
d8e052b3 9419
6ab1a5ee
EI
9420 if (mmu_idx == ARMMMUIdx_S2NS) {
9421 ns = true;
9422 *prot = get_S2prot(env, ap, xn);
9423 } else {
9424 ns = extract32(attrs, 3, 1);
9425 pxn = extract32(attrs, 11, 1);
6e99f762 9426 *prot = get_S1prot(env, mmu_idx, aarch64, ap, ns, xn, pxn);
6ab1a5ee 9427 }
d8e052b3 9428
da909b2c 9429 fault_type = ARMFault_Permission;
d8e052b3 9430 if (!(*prot & (1 << access_type))) {
3dde962f
PM
9431 goto do_fault;
9432 }
3dde962f 9433
8bf5b6a9
PM
9434 if (ns) {
9435 /* The NS bit will (as required by the architecture) have no effect if
9436 * the CPU doesn't support TZ or this is a non-secure translation
9437 * regime, because the attribute will already be non-secure.
9438 */
9439 txattrs->secure = false;
9440 }
5b2d261d
AB
9441
9442 if (cacheattrs != NULL) {
9443 if (mmu_idx == ARMMMUIdx_S2NS) {
9444 cacheattrs->attrs = convert_stage2_attrs(env,
9445 extract32(attrs, 0, 4));
9446 } else {
9447 /* Index into MAIR registers for cache attributes */
9448 uint8_t attrindx = extract32(attrs, 0, 3);
9449 uint64_t mair = env->cp15.mair_el[regime_el(env, mmu_idx)];
9450 assert(attrindx <= 7);
9451 cacheattrs->attrs = extract64(mair, attrindx * 8, 8);
9452 }
9453 cacheattrs->shareability = extract32(attrs, 6, 2);
9454 }
9455
3dde962f
PM
9456 *phys_ptr = descaddr;
9457 *page_size_ptr = page_size;
b7cc4e82 9458 return false;
3dde962f
PM
9459
9460do_fault:
da909b2c
PM
9461 fi->type = fault_type;
9462 fi->level = level;
37785977
EI
9463 /* Tag the error as S2 for failed S1 PTW at S2 or ordinary S2. */
9464 fi->stage2 = fi->s1ptw || (mmu_idx == ARMMMUIdx_S2NS);
b7cc4e82 9465 return true;
3dde962f
PM
9466}
9467
f6bda88f
PC
9468static inline void get_phys_addr_pmsav7_default(CPUARMState *env,
9469 ARMMMUIdx mmu_idx,
9470 int32_t address, int *prot)
9471{
3a00d560
MD
9472 if (!arm_feature(env, ARM_FEATURE_M)) {
9473 *prot = PAGE_READ | PAGE_WRITE;
9474 switch (address) {
9475 case 0xF0000000 ... 0xFFFFFFFF:
9476 if (regime_sctlr(env, mmu_idx) & SCTLR_V) {
9477 /* hivecs execing is ok */
9478 *prot |= PAGE_EXEC;
9479 }
9480 break;
9481 case 0x00000000 ... 0x7FFFFFFF:
f6bda88f 9482 *prot |= PAGE_EXEC;
3a00d560
MD
9483 break;
9484 }
9485 } else {
9486 /* Default system address map for M profile cores.
9487 * The architecture specifies which regions are execute-never;
9488 * at the MPU level no other checks are defined.
9489 */
9490 switch (address) {
9491 case 0x00000000 ... 0x1fffffff: /* ROM */
9492 case 0x20000000 ... 0x3fffffff: /* SRAM */
9493 case 0x60000000 ... 0x7fffffff: /* RAM */
9494 case 0x80000000 ... 0x9fffffff: /* RAM */
9495 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
9496 break;
9497 case 0x40000000 ... 0x5fffffff: /* Peripheral */
9498 case 0xa0000000 ... 0xbfffffff: /* Device */
9499 case 0xc0000000 ... 0xdfffffff: /* Device */
9500 case 0xe0000000 ... 0xffffffff: /* System */
9501 *prot = PAGE_READ | PAGE_WRITE;
9502 break;
9503 default:
9504 g_assert_not_reached();
f6bda88f 9505 }
f6bda88f 9506 }
f6bda88f
PC
9507}
9508
29c483a5
MD
9509static bool pmsav7_use_background_region(ARMCPU *cpu,
9510 ARMMMUIdx mmu_idx, bool is_user)
9511{
9512 /* Return true if we should use the default memory map as a
9513 * "background" region if there are no hits against any MPU regions.
9514 */
9515 CPUARMState *env = &cpu->env;
9516
9517 if (is_user) {
9518 return false;
9519 }
9520
9521 if (arm_feature(env, ARM_FEATURE_M)) {
ecf5e8ea
PM
9522 return env->v7m.mpu_ctrl[regime_is_secure(env, mmu_idx)]
9523 & R_V7M_MPU_CTRL_PRIVDEFENA_MASK;
29c483a5
MD
9524 } else {
9525 return regime_sctlr(env, mmu_idx) & SCTLR_BR;
9526 }
9527}
9528
38aaa60c
PM
9529static inline bool m_is_ppb_region(CPUARMState *env, uint32_t address)
9530{
9531 /* True if address is in the M profile PPB region 0xe0000000 - 0xe00fffff */
9532 return arm_feature(env, ARM_FEATURE_M) &&
9533 extract32(address, 20, 12) == 0xe00;
9534}
9535
bf446a11
PM
9536static inline bool m_is_system_region(CPUARMState *env, uint32_t address)
9537{
9538 /* True if address is in the M profile system region
9539 * 0xe0000000 - 0xffffffff
9540 */
9541 return arm_feature(env, ARM_FEATURE_M) && extract32(address, 29, 3) == 0x7;
9542}
9543
f6bda88f 9544static bool get_phys_addr_pmsav7(CPUARMState *env, uint32_t address,
03ae85f8 9545 MMUAccessType access_type, ARMMMUIdx mmu_idx,
9375ad15
PM
9546 hwaddr *phys_ptr, int *prot,
9547 ARMMMUFaultInfo *fi)
f6bda88f
PC
9548{
9549 ARMCPU *cpu = arm_env_get_cpu(env);
9550 int n;
9551 bool is_user = regime_is_user(env, mmu_idx);
9552
9553 *phys_ptr = address;
9554 *prot = 0;
9555
38aaa60c
PM
9556 if (regime_translation_disabled(env, mmu_idx) ||
9557 m_is_ppb_region(env, address)) {
9558 /* MPU disabled or M profile PPB access: use default memory map.
9559 * The other case which uses the default memory map in the
9560 * v7M ARM ARM pseudocode is exception vector reads from the vector
9561 * table. In QEMU those accesses are done in arm_v7m_load_vector(),
9562 * which always does a direct read using address_space_ldl(), rather
9563 * than going via this function, so we don't need to check that here.
9564 */
f6bda88f
PC
9565 get_phys_addr_pmsav7_default(env, mmu_idx, address, prot);
9566 } else { /* MPU enabled */
9567 for (n = (int)cpu->pmsav7_dregion - 1; n >= 0; n--) {
9568 /* region search */
9569 uint32_t base = env->pmsav7.drbar[n];
9570 uint32_t rsize = extract32(env->pmsav7.drsr[n], 1, 5);
9571 uint32_t rmask;
9572 bool srdis = false;
9573
9574 if (!(env->pmsav7.drsr[n] & 0x1)) {
9575 continue;
9576 }
9577
9578 if (!rsize) {
c9f9f124
MD
9579 qemu_log_mask(LOG_GUEST_ERROR,
9580 "DRSR[%d]: Rsize field cannot be 0\n", n);
f6bda88f
PC
9581 continue;
9582 }
9583 rsize++;
9584 rmask = (1ull << rsize) - 1;
9585
9586 if (base & rmask) {
c9f9f124
MD
9587 qemu_log_mask(LOG_GUEST_ERROR,
9588 "DRBAR[%d]: 0x%" PRIx32 " misaligned "
9589 "to DRSR region size, mask = 0x%" PRIx32 "\n",
9590 n, base, rmask);
f6bda88f
PC
9591 continue;
9592 }
9593
9594 if (address < base || address > base + rmask) {
9595 continue;
9596 }
9597
9598 /* Region matched */
9599
9600 if (rsize >= 8) { /* no subregions for regions < 256 bytes */
9601 int i, snd;
9602 uint32_t srdis_mask;
9603
9604 rsize -= 3; /* sub region size (power of 2) */
9605 snd = ((address - base) >> rsize) & 0x7;
9606 srdis = extract32(env->pmsav7.drsr[n], snd + 8, 1);
9607
9608 srdis_mask = srdis ? 0x3 : 0x0;
9609 for (i = 2; i <= 8 && rsize < TARGET_PAGE_BITS; i *= 2) {
9610 /* This will check in groups of 2, 4 and then 8, whether
9611 * the subregion bits are consistent. rsize is incremented
9612 * back up to give the region size, considering consistent
9613 * adjacent subregions as one region. Stop testing if rsize
9614 * is already big enough for an entire QEMU page.
9615 */
9616 int snd_rounded = snd & ~(i - 1);
9617 uint32_t srdis_multi = extract32(env->pmsav7.drsr[n],
9618 snd_rounded + 8, i);
9619 if (srdis_mask ^ srdis_multi) {
9620 break;
9621 }
9622 srdis_mask = (srdis_mask << i) | srdis_mask;
9623 rsize++;
9624 }
9625 }
9626 if (rsize < TARGET_PAGE_BITS) {
c9f9f124 9627 qemu_log_mask(LOG_UNIMP,
8aec759b
PM
9628 "DRSR[%d]: No support for MPU (sub)region size of"
9629 " %" PRIu32 " bytes. Minimum is %d.\n",
9630 n, (1 << rsize), TARGET_PAGE_SIZE);
f6bda88f
PC
9631 continue;
9632 }
9633 if (srdis) {
9634 continue;
9635 }
9636 break;
9637 }
9638
9639 if (n == -1) { /* no hits */
29c483a5 9640 if (!pmsav7_use_background_region(cpu, mmu_idx, is_user)) {
f6bda88f 9641 /* background fault */
9375ad15 9642 fi->type = ARMFault_Background;
f6bda88f
PC
9643 return true;
9644 }
9645 get_phys_addr_pmsav7_default(env, mmu_idx, address, prot);
9646 } else { /* a MPU hit! */
9647 uint32_t ap = extract32(env->pmsav7.dracr[n], 8, 3);
bf446a11
PM
9648 uint32_t xn = extract32(env->pmsav7.dracr[n], 12, 1);
9649
9650 if (m_is_system_region(env, address)) {
9651 /* System space is always execute never */
9652 xn = 1;
9653 }
f6bda88f
PC
9654
9655 if (is_user) { /* User mode AP bit decoding */
9656 switch (ap) {
9657 case 0:
9658 case 1:
9659 case 5:
9660 break; /* no access */
9661 case 3:
9662 *prot |= PAGE_WRITE;
9663 /* fall through */
9664 case 2:
9665 case 6:
9666 *prot |= PAGE_READ | PAGE_EXEC;
9667 break;
8638f1ad
PM
9668 case 7:
9669 /* for v7M, same as 6; for R profile a reserved value */
9670 if (arm_feature(env, ARM_FEATURE_M)) {
9671 *prot |= PAGE_READ | PAGE_EXEC;
9672 break;
9673 }
9674 /* fall through */
f6bda88f
PC
9675 default:
9676 qemu_log_mask(LOG_GUEST_ERROR,
c9f9f124
MD
9677 "DRACR[%d]: Bad value for AP bits: 0x%"
9678 PRIx32 "\n", n, ap);
f6bda88f
PC
9679 }
9680 } else { /* Priv. mode AP bits decoding */
9681 switch (ap) {
9682 case 0:
9683 break; /* no access */
9684 case 1:
9685 case 2:
9686 case 3:
9687 *prot |= PAGE_WRITE;
9688 /* fall through */
9689 case 5:
9690 case 6:
9691 *prot |= PAGE_READ | PAGE_EXEC;
9692 break;
8638f1ad
PM
9693 case 7:
9694 /* for v7M, same as 6; for R profile a reserved value */
9695 if (arm_feature(env, ARM_FEATURE_M)) {
9696 *prot |= PAGE_READ | PAGE_EXEC;
9697 break;
9698 }
9699 /* fall through */
f6bda88f
PC
9700 default:
9701 qemu_log_mask(LOG_GUEST_ERROR,
c9f9f124
MD
9702 "DRACR[%d]: Bad value for AP bits: 0x%"
9703 PRIx32 "\n", n, ap);
f6bda88f
PC
9704 }
9705 }
9706
9707 /* execute never */
bf446a11 9708 if (xn) {
f6bda88f
PC
9709 *prot &= ~PAGE_EXEC;
9710 }
9711 }
9712 }
9713
9375ad15
PM
9714 fi->type = ARMFault_Permission;
9715 fi->level = 1;
f6bda88f
PC
9716 return !(*prot & (1 << access_type));
9717}
9718
35337cc3
PM
9719static bool v8m_is_sau_exempt(CPUARMState *env,
9720 uint32_t address, MMUAccessType access_type)
9721{
9722 /* The architecture specifies that certain address ranges are
9723 * exempt from v8M SAU/IDAU checks.
9724 */
9725 return
9726 (access_type == MMU_INST_FETCH && m_is_system_region(env, address)) ||
9727 (address >= 0xe0000000 && address <= 0xe0002fff) ||
9728 (address >= 0xe000e000 && address <= 0xe000efff) ||
9729 (address >= 0xe002e000 && address <= 0xe002efff) ||
9730 (address >= 0xe0040000 && address <= 0xe0041fff) ||
9731 (address >= 0xe00ff000 && address <= 0xe00fffff);
9732}
9733
9734static void v8m_security_lookup(CPUARMState *env, uint32_t address,
9735 MMUAccessType access_type, ARMMMUIdx mmu_idx,
9736 V8M_SAttributes *sattrs)
9737{
9738 /* Look up the security attributes for this address. Compare the
9739 * pseudocode SecurityCheck() function.
9740 * We assume the caller has zero-initialized *sattrs.
9741 */
9742 ARMCPU *cpu = arm_env_get_cpu(env);
9743 int r;
181962fd
PM
9744 bool idau_exempt = false, idau_ns = true, idau_nsc = true;
9745 int idau_region = IREGION_NOTVALID;
35337cc3 9746
181962fd
PM
9747 if (cpu->idau) {
9748 IDAUInterfaceClass *iic = IDAU_INTERFACE_GET_CLASS(cpu->idau);
9749 IDAUInterface *ii = IDAU_INTERFACE(cpu->idau);
9750
9751 iic->check(ii, address, &idau_region, &idau_exempt, &idau_ns,
9752 &idau_nsc);
9753 }
35337cc3
PM
9754
9755 if (access_type == MMU_INST_FETCH && extract32(address, 28, 4) == 0xf) {
9756 /* 0xf0000000..0xffffffff is always S for insn fetches */
9757 return;
9758 }
9759
181962fd 9760 if (idau_exempt || v8m_is_sau_exempt(env, address, access_type)) {
35337cc3
PM
9761 sattrs->ns = !regime_is_secure(env, mmu_idx);
9762 return;
9763 }
9764
181962fd
PM
9765 if (idau_region != IREGION_NOTVALID) {
9766 sattrs->irvalid = true;
9767 sattrs->iregion = idau_region;
9768 }
9769
35337cc3
PM
9770 switch (env->sau.ctrl & 3) {
9771 case 0: /* SAU.ENABLE == 0, SAU.ALLNS == 0 */
9772 break;
9773 case 2: /* SAU.ENABLE == 0, SAU.ALLNS == 1 */
9774 sattrs->ns = true;
9775 break;
9776 default: /* SAU.ENABLE == 1 */
9777 for (r = 0; r < cpu->sau_sregion; r++) {
9778 if (env->sau.rlar[r] & 1) {
9779 uint32_t base = env->sau.rbar[r] & ~0x1f;
9780 uint32_t limit = env->sau.rlar[r] | 0x1f;
9781
9782 if (base <= address && limit >= address) {
9783 if (sattrs->srvalid) {
9784 /* If we hit in more than one region then we must report
9785 * as Secure, not NS-Callable, with no valid region
9786 * number info.
9787 */
9788 sattrs->ns = false;
9789 sattrs->nsc = false;
9790 sattrs->sregion = 0;
9791 sattrs->srvalid = false;
9792 break;
9793 } else {
9794 if (env->sau.rlar[r] & 2) {
9795 sattrs->nsc = true;
9796 } else {
9797 sattrs->ns = true;
9798 }
9799 sattrs->srvalid = true;
9800 sattrs->sregion = r;
9801 }
9802 }
9803 }
9804 }
9805
181962fd
PM
9806 /* The IDAU will override the SAU lookup results if it specifies
9807 * higher security than the SAU does.
9808 */
9809 if (!idau_ns) {
9810 if (sattrs->ns || (!idau_nsc && sattrs->nsc)) {
9811 sattrs->ns = false;
9812 sattrs->nsc = idau_nsc;
9813 }
9814 }
35337cc3
PM
9815 break;
9816 }
9817}
9818
54317c0f
PM
9819static bool pmsav8_mpu_lookup(CPUARMState *env, uint32_t address,
9820 MMUAccessType access_type, ARMMMUIdx mmu_idx,
9821 hwaddr *phys_ptr, MemTxAttrs *txattrs,
3f551b5b 9822 int *prot, ARMMMUFaultInfo *fi, uint32_t *mregion)
54317c0f
PM
9823{
9824 /* Perform a PMSAv8 MPU lookup (without also doing the SAU check
9825 * that a full phys-to-virt translation does).
9826 * mregion is (if not NULL) set to the region number which matched,
9827 * or -1 if no region number is returned (MPU off, address did not
9828 * hit a region, address hit in multiple regions).
9829 */
504e3cc3
PM
9830 ARMCPU *cpu = arm_env_get_cpu(env);
9831 bool is_user = regime_is_user(env, mmu_idx);
62c58ee0 9832 uint32_t secure = regime_is_secure(env, mmu_idx);
504e3cc3
PM
9833 int n;
9834 int matchregion = -1;
9835 bool hit = false;
9836
9837 *phys_ptr = address;
9838 *prot = 0;
54317c0f
PM
9839 if (mregion) {
9840 *mregion = -1;
35337cc3
PM
9841 }
9842
504e3cc3
PM
9843 /* Unlike the ARM ARM pseudocode, we don't need to check whether this
9844 * was an exception vector read from the vector table (which is always
9845 * done using the default system address map), because those accesses
9846 * are done in arm_v7m_load_vector(), which always does a direct
9847 * read using address_space_ldl(), rather than going via this function.
9848 */
9849 if (regime_translation_disabled(env, mmu_idx)) { /* MPU disabled */
9850 hit = true;
9851 } else if (m_is_ppb_region(env, address)) {
9852 hit = true;
9853 } else if (pmsav7_use_background_region(cpu, mmu_idx, is_user)) {
9854 hit = true;
9855 } else {
9856 for (n = (int)cpu->pmsav7_dregion - 1; n >= 0; n--) {
9857 /* region search */
9858 /* Note that the base address is bits [31:5] from the register
9859 * with bits [4:0] all zeroes, but the limit address is bits
9860 * [31:5] from the register with bits [4:0] all ones.
9861 */
62c58ee0
PM
9862 uint32_t base = env->pmsav8.rbar[secure][n] & ~0x1f;
9863 uint32_t limit = env->pmsav8.rlar[secure][n] | 0x1f;
504e3cc3 9864
62c58ee0 9865 if (!(env->pmsav8.rlar[secure][n] & 0x1)) {
504e3cc3
PM
9866 /* Region disabled */
9867 continue;
9868 }
9869
9870 if (address < base || address > limit) {
9871 continue;
9872 }
9873
9874 if (hit) {
9875 /* Multiple regions match -- always a failure (unlike
9876 * PMSAv7 where highest-numbered-region wins)
9877 */
3f551b5b
PM
9878 fi->type = ARMFault_Permission;
9879 fi->level = 1;
504e3cc3
PM
9880 return true;
9881 }
9882
9883 matchregion = n;
9884 hit = true;
9885
9886 if (base & ~TARGET_PAGE_MASK) {
9887 qemu_log_mask(LOG_UNIMP,
9888 "MPU_RBAR[%d]: No support for MPU region base"
9889 "address of 0x%" PRIx32 ". Minimum alignment is "
9890 "%d\n",
9891 n, base, TARGET_PAGE_BITS);
9892 continue;
9893 }
9894 if ((limit + 1) & ~TARGET_PAGE_MASK) {
9895 qemu_log_mask(LOG_UNIMP,
9896 "MPU_RBAR[%d]: No support for MPU region limit"
9897 "address of 0x%" PRIx32 ". Minimum alignment is "
9898 "%d\n",
9899 n, limit, TARGET_PAGE_BITS);
9900 continue;
9901 }
9902 }
9903 }
9904
9905 if (!hit) {
9906 /* background fault */
3f551b5b 9907 fi->type = ARMFault_Background;
504e3cc3
PM
9908 return true;
9909 }
9910
9911 if (matchregion == -1) {
9912 /* hit using the background region */
9913 get_phys_addr_pmsav7_default(env, mmu_idx, address, prot);
9914 } else {
62c58ee0
PM
9915 uint32_t ap = extract32(env->pmsav8.rbar[secure][matchregion], 1, 2);
9916 uint32_t xn = extract32(env->pmsav8.rbar[secure][matchregion], 0, 1);
504e3cc3
PM
9917
9918 if (m_is_system_region(env, address)) {
9919 /* System space is always execute never */
9920 xn = 1;
9921 }
9922
9923 *prot = simple_ap_to_rw_prot(env, mmu_idx, ap);
9924 if (*prot && !xn) {
9925 *prot |= PAGE_EXEC;
9926 }
9927 /* We don't need to look the attribute up in the MAIR0/MAIR1
9928 * registers because that only tells us about cacheability.
9929 */
54317c0f
PM
9930 if (mregion) {
9931 *mregion = matchregion;
9932 }
504e3cc3
PM
9933 }
9934
3f551b5b
PM
9935 fi->type = ARMFault_Permission;
9936 fi->level = 1;
504e3cc3
PM
9937 return !(*prot & (1 << access_type));
9938}
9939
54317c0f
PM
9940
9941static bool get_phys_addr_pmsav8(CPUARMState *env, uint32_t address,
9942 MMUAccessType access_type, ARMMMUIdx mmu_idx,
9943 hwaddr *phys_ptr, MemTxAttrs *txattrs,
3f551b5b 9944 int *prot, ARMMMUFaultInfo *fi)
54317c0f
PM
9945{
9946 uint32_t secure = regime_is_secure(env, mmu_idx);
9947 V8M_SAttributes sattrs = {};
9948
9949 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
9950 v8m_security_lookup(env, address, access_type, mmu_idx, &sattrs);
9951 if (access_type == MMU_INST_FETCH) {
9952 /* Instruction fetches always use the MMU bank and the
9953 * transaction attribute determined by the fetch address,
9954 * regardless of CPU state. This is painful for QEMU
9955 * to handle, because it would mean we need to encode
9956 * into the mmu_idx not just the (user, negpri) information
9957 * for the current security state but also that for the
9958 * other security state, which would balloon the number
9959 * of mmu_idx values needed alarmingly.
9960 * Fortunately we can avoid this because it's not actually
9961 * possible to arbitrarily execute code from memory with
9962 * the wrong security attribute: it will always generate
9963 * an exception of some kind or another, apart from the
9964 * special case of an NS CPU executing an SG instruction
9965 * in S&NSC memory. So we always just fail the translation
9966 * here and sort things out in the exception handler
9967 * (including possibly emulating an SG instruction).
9968 */
9969 if (sattrs.ns != !secure) {
3f551b5b
PM
9970 if (sattrs.nsc) {
9971 fi->type = ARMFault_QEMU_NSCExec;
9972 } else {
9973 fi->type = ARMFault_QEMU_SFault;
9974 }
54317c0f
PM
9975 *phys_ptr = address;
9976 *prot = 0;
9977 return true;
9978 }
9979 } else {
9980 /* For data accesses we always use the MMU bank indicated
9981 * by the current CPU state, but the security attributes
9982 * might downgrade a secure access to nonsecure.
9983 */
9984 if (sattrs.ns) {
9985 txattrs->secure = false;
9986 } else if (!secure) {
9987 /* NS access to S memory must fault.
9988 * Architecturally we should first check whether the
9989 * MPU information for this address indicates that we
9990 * are doing an unaligned access to Device memory, which
9991 * should generate a UsageFault instead. QEMU does not
9992 * currently check for that kind of unaligned access though.
9993 * If we added it we would need to do so as a special case
9994 * for M_FAKE_FSR_SFAULT in arm_v7m_cpu_do_interrupt().
9995 */
3f551b5b 9996 fi->type = ARMFault_QEMU_SFault;
54317c0f
PM
9997 *phys_ptr = address;
9998 *prot = 0;
9999 return true;
10000 }
10001 }
10002 }
10003
10004 return pmsav8_mpu_lookup(env, address, access_type, mmu_idx, phys_ptr,
3f551b5b 10005 txattrs, prot, fi, NULL);
54317c0f
PM
10006}
10007
13689d43 10008static bool get_phys_addr_pmsav5(CPUARMState *env, uint32_t address,
03ae85f8 10009 MMUAccessType access_type, ARMMMUIdx mmu_idx,
53a4e5c5
PM
10010 hwaddr *phys_ptr, int *prot,
10011 ARMMMUFaultInfo *fi)
9ee6e8bb
PB
10012{
10013 int n;
10014 uint32_t mask;
10015 uint32_t base;
0480f69a 10016 bool is_user = regime_is_user(env, mmu_idx);
9ee6e8bb 10017
3279adb9
PM
10018 if (regime_translation_disabled(env, mmu_idx)) {
10019 /* MPU disabled. */
10020 *phys_ptr = address;
10021 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
10022 return false;
10023 }
10024
9ee6e8bb
PB
10025 *phys_ptr = address;
10026 for (n = 7; n >= 0; n--) {
554b0b09 10027 base = env->cp15.c6_region[n];
87c3d486 10028 if ((base & 1) == 0) {
554b0b09 10029 continue;
87c3d486 10030 }
554b0b09
PM
10031 mask = 1 << ((base >> 1) & 0x1f);
10032 /* Keep this shift separate from the above to avoid an
10033 (undefined) << 32. */
10034 mask = (mask << 1) - 1;
87c3d486 10035 if (((base ^ address) & ~mask) == 0) {
554b0b09 10036 break;
87c3d486 10037 }
9ee6e8bb 10038 }
87c3d486 10039 if (n < 0) {
53a4e5c5 10040 fi->type = ARMFault_Background;
b7cc4e82 10041 return true;
87c3d486 10042 }
9ee6e8bb 10043
03ae85f8 10044 if (access_type == MMU_INST_FETCH) {
7e09797c 10045 mask = env->cp15.pmsav5_insn_ap;
9ee6e8bb 10046 } else {
7e09797c 10047 mask = env->cp15.pmsav5_data_ap;
9ee6e8bb
PB
10048 }
10049 mask = (mask >> (n * 4)) & 0xf;
10050 switch (mask) {
10051 case 0:
53a4e5c5
PM
10052 fi->type = ARMFault_Permission;
10053 fi->level = 1;
b7cc4e82 10054 return true;
9ee6e8bb 10055 case 1:
87c3d486 10056 if (is_user) {
53a4e5c5
PM
10057 fi->type = ARMFault_Permission;
10058 fi->level = 1;
b7cc4e82 10059 return true;
87c3d486 10060 }
554b0b09
PM
10061 *prot = PAGE_READ | PAGE_WRITE;
10062 break;
9ee6e8bb 10063 case 2:
554b0b09 10064 *prot = PAGE_READ;
87c3d486 10065 if (!is_user) {
554b0b09 10066 *prot |= PAGE_WRITE;
87c3d486 10067 }
554b0b09 10068 break;
9ee6e8bb 10069 case 3:
554b0b09
PM
10070 *prot = PAGE_READ | PAGE_WRITE;
10071 break;
9ee6e8bb 10072 case 5:
87c3d486 10073 if (is_user) {
53a4e5c5
PM
10074 fi->type = ARMFault_Permission;
10075 fi->level = 1;
b7cc4e82 10076 return true;
87c3d486 10077 }
554b0b09
PM
10078 *prot = PAGE_READ;
10079 break;
9ee6e8bb 10080 case 6:
554b0b09
PM
10081 *prot = PAGE_READ;
10082 break;
9ee6e8bb 10083 default:
554b0b09 10084 /* Bad permission. */
53a4e5c5
PM
10085 fi->type = ARMFault_Permission;
10086 fi->level = 1;
b7cc4e82 10087 return true;
9ee6e8bb 10088 }
3ad493fc 10089 *prot |= PAGE_EXEC;
b7cc4e82 10090 return false;
9ee6e8bb
PB
10091}
10092
5b2d261d
AB
10093/* Combine either inner or outer cacheability attributes for normal
10094 * memory, according to table D4-42 and pseudocode procedure
10095 * CombineS1S2AttrHints() of ARM DDI 0487B.b (the ARMv8 ARM).
10096 *
10097 * NB: only stage 1 includes allocation hints (RW bits), leading to
10098 * some asymmetry.
10099 */
10100static uint8_t combine_cacheattr_nibble(uint8_t s1, uint8_t s2)
10101{
10102 if (s1 == 4 || s2 == 4) {
10103 /* non-cacheable has precedence */
10104 return 4;
10105 } else if (extract32(s1, 2, 2) == 0 || extract32(s1, 2, 2) == 2) {
10106 /* stage 1 write-through takes precedence */
10107 return s1;
10108 } else if (extract32(s2, 2, 2) == 2) {
10109 /* stage 2 write-through takes precedence, but the allocation hint
10110 * is still taken from stage 1
10111 */
10112 return (2 << 2) | extract32(s1, 0, 2);
10113 } else { /* write-back */
10114 return s1;
10115 }
10116}
10117
10118/* Combine S1 and S2 cacheability/shareability attributes, per D4.5.4
10119 * and CombineS1S2Desc()
10120 *
10121 * @s1: Attributes from stage 1 walk
10122 * @s2: Attributes from stage 2 walk
10123 */
10124static ARMCacheAttrs combine_cacheattrs(ARMCacheAttrs s1, ARMCacheAttrs s2)
10125{
10126 uint8_t s1lo = extract32(s1.attrs, 0, 4), s2lo = extract32(s2.attrs, 0, 4);
10127 uint8_t s1hi = extract32(s1.attrs, 4, 4), s2hi = extract32(s2.attrs, 4, 4);
10128 ARMCacheAttrs ret;
10129
10130 /* Combine shareability attributes (table D4-43) */
10131 if (s1.shareability == 2 || s2.shareability == 2) {
10132 /* if either are outer-shareable, the result is outer-shareable */
10133 ret.shareability = 2;
10134 } else if (s1.shareability == 3 || s2.shareability == 3) {
10135 /* if either are inner-shareable, the result is inner-shareable */
10136 ret.shareability = 3;
10137 } else {
10138 /* both non-shareable */
10139 ret.shareability = 0;
10140 }
10141
10142 /* Combine memory type and cacheability attributes */
10143 if (s1hi == 0 || s2hi == 0) {
10144 /* Device has precedence over normal */
10145 if (s1lo == 0 || s2lo == 0) {
10146 /* nGnRnE has precedence over anything */
10147 ret.attrs = 0;
10148 } else if (s1lo == 4 || s2lo == 4) {
10149 /* non-Reordering has precedence over Reordering */
10150 ret.attrs = 4; /* nGnRE */
10151 } else if (s1lo == 8 || s2lo == 8) {
10152 /* non-Gathering has precedence over Gathering */
10153 ret.attrs = 8; /* nGRE */
10154 } else {
10155 ret.attrs = 0xc; /* GRE */
10156 }
10157
10158 /* Any location for which the resultant memory type is any
10159 * type of Device memory is always treated as Outer Shareable.
10160 */
10161 ret.shareability = 2;
10162 } else { /* Normal memory */
10163 /* Outer/inner cacheability combine independently */
10164 ret.attrs = combine_cacheattr_nibble(s1hi, s2hi) << 4
10165 | combine_cacheattr_nibble(s1lo, s2lo);
10166
10167 if (ret.attrs == 0x44) {
10168 /* Any location for which the resultant memory type is Normal
10169 * Inner Non-cacheable, Outer Non-cacheable is always treated
10170 * as Outer Shareable.
10171 */
10172 ret.shareability = 2;
10173 }
10174 }
10175
10176 return ret;
10177}
10178
10179
702a9357
PM
10180/* get_phys_addr - get the physical address for this virtual address
10181 *
10182 * Find the physical address corresponding to the given virtual address,
10183 * by doing a translation table walk on MMU based systems or using the
10184 * MPU state on MPU based systems.
10185 *
b7cc4e82
PC
10186 * Returns false if the translation was successful. Otherwise, phys_ptr, attrs,
10187 * prot and page_size may not be filled in, and the populated fsr value provides
702a9357
PM
10188 * information on why the translation aborted, in the format of a
10189 * DFSR/IFSR fault register, with the following caveats:
10190 * * we honour the short vs long DFSR format differences.
10191 * * the WnR bit is never set (the caller must do this).
f6bda88f 10192 * * for PSMAv5 based systems we don't bother to return a full FSR format
702a9357
PM
10193 * value.
10194 *
10195 * @env: CPUARMState
10196 * @address: virtual address to get physical address for
10197 * @access_type: 0 for read, 1 for write, 2 for execute
d3649702 10198 * @mmu_idx: MMU index indicating required translation regime
702a9357 10199 * @phys_ptr: set to the physical address corresponding to the virtual address
8bf5b6a9 10200 * @attrs: set to the memory transaction attributes to use
702a9357
PM
10201 * @prot: set to the permissions for the page containing phys_ptr
10202 * @page_size: set to the size of the page containing phys_ptr
5b2d261d
AB
10203 * @fi: set to fault info if the translation fails
10204 * @cacheattrs: (if non-NULL) set to the cacheability/shareability attributes
702a9357 10205 */
af51f566 10206static bool get_phys_addr(CPUARMState *env, target_ulong address,
03ae85f8 10207 MMUAccessType access_type, ARMMMUIdx mmu_idx,
af51f566 10208 hwaddr *phys_ptr, MemTxAttrs *attrs, int *prot,
bc52bfeb 10209 target_ulong *page_size,
5b2d261d 10210 ARMMMUFaultInfo *fi, ARMCacheAttrs *cacheattrs)
9ee6e8bb 10211{
0480f69a 10212 if (mmu_idx == ARMMMUIdx_S12NSE0 || mmu_idx == ARMMMUIdx_S12NSE1) {
9b539263
EI
10213 /* Call ourselves recursively to do the stage 1 and then stage 2
10214 * translations.
0480f69a 10215 */
9b539263
EI
10216 if (arm_feature(env, ARM_FEATURE_EL2)) {
10217 hwaddr ipa;
10218 int s2_prot;
10219 int ret;
5b2d261d 10220 ARMCacheAttrs cacheattrs2 = {};
9b539263
EI
10221
10222 ret = get_phys_addr(env, address, access_type,
8bd5c820 10223 stage_1_mmu_idx(mmu_idx), &ipa, attrs,
bc52bfeb 10224 prot, page_size, fi, cacheattrs);
9b539263
EI
10225
10226 /* If S1 fails or S2 is disabled, return early. */
10227 if (ret || regime_translation_disabled(env, ARMMMUIdx_S2NS)) {
10228 *phys_ptr = ipa;
10229 return ret;
10230 }
10231
10232 /* S1 is done. Now do S2 translation. */
10233 ret = get_phys_addr_lpae(env, ipa, access_type, ARMMMUIdx_S2NS,
10234 phys_ptr, attrs, &s2_prot,
da909b2c 10235 page_size, fi,
5b2d261d 10236 cacheattrs != NULL ? &cacheattrs2 : NULL);
9b539263
EI
10237 fi->s2addr = ipa;
10238 /* Combine the S1 and S2 perms. */
10239 *prot &= s2_prot;
5b2d261d
AB
10240
10241 /* Combine the S1 and S2 cache attributes, if needed */
10242 if (!ret && cacheattrs != NULL) {
10243 *cacheattrs = combine_cacheattrs(*cacheattrs, cacheattrs2);
10244 }
10245
9b539263
EI
10246 return ret;
10247 } else {
10248 /*
10249 * For non-EL2 CPUs a stage1+stage2 translation is just stage 1.
10250 */
8bd5c820 10251 mmu_idx = stage_1_mmu_idx(mmu_idx);
9b539263 10252 }
0480f69a 10253 }
d3649702 10254
8bf5b6a9
PM
10255 /* The page table entries may downgrade secure to non-secure, but
10256 * cannot upgrade an non-secure translation regime's attributes
10257 * to secure.
10258 */
10259 attrs->secure = regime_is_secure(env, mmu_idx);
0995bf8c 10260 attrs->user = regime_is_user(env, mmu_idx);
8bf5b6a9 10261
0480f69a
PM
10262 /* Fast Context Switch Extension. This doesn't exist at all in v8.
10263 * In v7 and earlier it affects all stage 1 translations.
10264 */
10265 if (address < 0x02000000 && mmu_idx != ARMMMUIdx_S2NS
10266 && !arm_feature(env, ARM_FEATURE_V8)) {
10267 if (regime_el(env, mmu_idx) == 3) {
10268 address += env->cp15.fcseidr_s;
10269 } else {
10270 address += env->cp15.fcseidr_ns;
10271 }
54bf36ed 10272 }
9ee6e8bb 10273
3279adb9 10274 if (arm_feature(env, ARM_FEATURE_PMSA)) {
c9f9f124 10275 bool ret;
f6bda88f 10276 *page_size = TARGET_PAGE_SIZE;
3279adb9 10277
504e3cc3
PM
10278 if (arm_feature(env, ARM_FEATURE_V8)) {
10279 /* PMSAv8 */
10280 ret = get_phys_addr_pmsav8(env, address, access_type, mmu_idx,
3f551b5b 10281 phys_ptr, attrs, prot, fi);
504e3cc3 10282 } else if (arm_feature(env, ARM_FEATURE_V7)) {
3279adb9
PM
10283 /* PMSAv7 */
10284 ret = get_phys_addr_pmsav7(env, address, access_type, mmu_idx,
9375ad15 10285 phys_ptr, prot, fi);
3279adb9
PM
10286 } else {
10287 /* Pre-v7 MPU */
10288 ret = get_phys_addr_pmsav5(env, address, access_type, mmu_idx,
53a4e5c5 10289 phys_ptr, prot, fi);
3279adb9
PM
10290 }
10291 qemu_log_mask(CPU_LOG_MMU, "PMSA MPU lookup for %s at 0x%08" PRIx32
c9f9f124 10292 " mmu_idx %u -> %s (prot %c%c%c)\n",
709e4407
PM
10293 access_type == MMU_DATA_LOAD ? "reading" :
10294 (access_type == MMU_DATA_STORE ? "writing" : "execute"),
c9f9f124
MD
10295 (uint32_t)address, mmu_idx,
10296 ret ? "Miss" : "Hit",
10297 *prot & PAGE_READ ? 'r' : '-',
10298 *prot & PAGE_WRITE ? 'w' : '-',
10299 *prot & PAGE_EXEC ? 'x' : '-');
10300
10301 return ret;
f6bda88f
PC
10302 }
10303
3279adb9
PM
10304 /* Definitely a real MMU, not an MPU */
10305
0480f69a 10306 if (regime_translation_disabled(env, mmu_idx)) {
3279adb9 10307 /* MMU disabled. */
9ee6e8bb 10308 *phys_ptr = address;
3ad493fc 10309 *prot = PAGE_READ | PAGE_WRITE | PAGE_EXEC;
d4c430a8 10310 *page_size = TARGET_PAGE_SIZE;
9ee6e8bb 10311 return 0;
0480f69a
PM
10312 }
10313
0480f69a 10314 if (regime_using_lpae_format(env, mmu_idx)) {
bc52bfeb
PM
10315 return get_phys_addr_lpae(env, address, access_type, mmu_idx,
10316 phys_ptr, attrs, prot, page_size,
10317 fi, cacheattrs);
0480f69a 10318 } else if (regime_sctlr(env, mmu_idx) & SCTLR_XP) {
bc52bfeb
PM
10319 return get_phys_addr_v6(env, address, access_type, mmu_idx,
10320 phys_ptr, attrs, prot, page_size, fi);
9ee6e8bb 10321 } else {
bc52bfeb 10322 return get_phys_addr_v5(env, address, access_type, mmu_idx,
f989983e 10323 phys_ptr, prot, page_size, fi);
9ee6e8bb
PB
10324 }
10325}
10326
8c6084bf 10327/* Walk the page table and (if the mapping exists) add the page
b7cc4e82
PC
10328 * to the TLB. Return false on success, or true on failure. Populate
10329 * fsr with ARM DFSR/IFSR fault register format value on failure.
8c6084bf 10330 */
b7cc4e82 10331bool arm_tlb_fill(CPUState *cs, vaddr address,
bc52bfeb 10332 MMUAccessType access_type, int mmu_idx,
e14b5a23 10333 ARMMMUFaultInfo *fi)
b5ff1b31 10334{
7510454e
AF
10335 ARMCPU *cpu = ARM_CPU(cs);
10336 CPUARMState *env = &cpu->env;
a8170e5e 10337 hwaddr phys_addr;
d4c430a8 10338 target_ulong page_size;
b5ff1b31 10339 int prot;
d3649702 10340 int ret;
8bf5b6a9 10341 MemTxAttrs attrs = {};
b5ff1b31 10342
8bd5c820
PM
10343 ret = get_phys_addr(env, address, access_type,
10344 core_to_arm_mmu_idx(env, mmu_idx), &phys_addr,
bc52bfeb 10345 &attrs, &prot, &page_size, fi, NULL);
b7cc4e82 10346 if (!ret) {
b5ff1b31 10347 /* Map a single [sub]page. */
dcd82c11
AB
10348 phys_addr &= TARGET_PAGE_MASK;
10349 address &= TARGET_PAGE_MASK;
8bf5b6a9
PM
10350 tlb_set_page_with_attrs(cs, address, phys_addr, attrs,
10351 prot, mmu_idx, page_size);
d4c430a8 10352 return 0;
b5ff1b31
FB
10353 }
10354
8c6084bf 10355 return ret;
b5ff1b31
FB
10356}
10357
0faea0c7
PM
10358hwaddr arm_cpu_get_phys_page_attrs_debug(CPUState *cs, vaddr addr,
10359 MemTxAttrs *attrs)
b5ff1b31 10360{
00b941e5 10361 ARMCPU *cpu = ARM_CPU(cs);
d3649702 10362 CPUARMState *env = &cpu->env;
a8170e5e 10363 hwaddr phys_addr;
d4c430a8 10364 target_ulong page_size;
b5ff1b31 10365 int prot;
b7cc4e82 10366 bool ret;
e14b5a23 10367 ARMMMUFaultInfo fi = {};
8bd5c820 10368 ARMMMUIdx mmu_idx = core_to_arm_mmu_idx(env, cpu_mmu_index(env, false));
b5ff1b31 10369
0faea0c7
PM
10370 *attrs = (MemTxAttrs) {};
10371
8bd5c820 10372 ret = get_phys_addr(env, addr, 0, mmu_idx, &phys_addr,
bc52bfeb 10373 attrs, &prot, &page_size, &fi, NULL);
b5ff1b31 10374
b7cc4e82 10375 if (ret) {
b5ff1b31 10376 return -1;
00b941e5 10377 }
b5ff1b31
FB
10378 return phys_addr;
10379}
10380
0ecb72a5 10381uint32_t HELPER(v7m_mrs)(CPUARMState *env, uint32_t reg)
9ee6e8bb 10382{
58117c9b
MD
10383 uint32_t mask;
10384 unsigned el = arm_current_el(env);
10385
10386 /* First handle registers which unprivileged can read */
10387
10388 switch (reg) {
10389 case 0 ... 7: /* xPSR sub-fields */
10390 mask = 0;
10391 if ((reg & 1) && el) {
987ab45e 10392 mask |= XPSR_EXCP; /* IPSR (unpriv. reads as zero) */
58117c9b
MD
10393 }
10394 if (!(reg & 4)) {
987ab45e 10395 mask |= XPSR_NZCV | XPSR_Q; /* APSR */
58117c9b
MD
10396 }
10397 /* EPSR reads as zero */
10398 return xpsr_read(env) & mask;
10399 break;
10400 case 20: /* CONTROL */
8bfc26ea 10401 return env->v7m.control[env->v7m.secure];
50f11062
PM
10402 case 0x94: /* CONTROL_NS */
10403 /* We have to handle this here because unprivileged Secure code
10404 * can read the NS CONTROL register.
10405 */
10406 if (!env->v7m.secure) {
10407 return 0;
10408 }
10409 return env->v7m.control[M_REG_NS];
58117c9b
MD
10410 }
10411
10412 if (el == 0) {
10413 return 0; /* unprivileged reads others as zero */
10414 }
a47dddd7 10415
50f11062
PM
10416 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
10417 switch (reg) {
10418 case 0x88: /* MSP_NS */
10419 if (!env->v7m.secure) {
10420 return 0;
10421 }
10422 return env->v7m.other_ss_msp;
10423 case 0x89: /* PSP_NS */
10424 if (!env->v7m.secure) {
10425 return 0;
10426 }
10427 return env->v7m.other_ss_psp;
57bb3156
PM
10428 case 0x8a: /* MSPLIM_NS */
10429 if (!env->v7m.secure) {
10430 return 0;
10431 }
10432 return env->v7m.msplim[M_REG_NS];
10433 case 0x8b: /* PSPLIM_NS */
10434 if (!env->v7m.secure) {
10435 return 0;
10436 }
10437 return env->v7m.psplim[M_REG_NS];
50f11062
PM
10438 case 0x90: /* PRIMASK_NS */
10439 if (!env->v7m.secure) {
10440 return 0;
10441 }
10442 return env->v7m.primask[M_REG_NS];
10443 case 0x91: /* BASEPRI_NS */
10444 if (!env->v7m.secure) {
10445 return 0;
10446 }
10447 return env->v7m.basepri[M_REG_NS];
10448 case 0x93: /* FAULTMASK_NS */
10449 if (!env->v7m.secure) {
10450 return 0;
10451 }
10452 return env->v7m.faultmask[M_REG_NS];
10453 case 0x98: /* SP_NS */
10454 {
10455 /* This gives the non-secure SP selected based on whether we're
10456 * currently in handler mode or not, using the NS CONTROL.SPSEL.
10457 */
10458 bool spsel = env->v7m.control[M_REG_NS] & R_V7M_CONTROL_SPSEL_MASK;
10459
10460 if (!env->v7m.secure) {
10461 return 0;
10462 }
10463 if (!arm_v7m_is_handler_mode(env) && spsel) {
10464 return env->v7m.other_ss_psp;
10465 } else {
10466 return env->v7m.other_ss_msp;
10467 }
10468 }
10469 default:
10470 break;
10471 }
10472 }
10473
9ee6e8bb 10474 switch (reg) {
9ee6e8bb 10475 case 8: /* MSP */
1169d3aa 10476 return v7m_using_psp(env) ? env->v7m.other_sp : env->regs[13];
9ee6e8bb 10477 case 9: /* PSP */
1169d3aa 10478 return v7m_using_psp(env) ? env->regs[13] : env->v7m.other_sp;
57bb3156
PM
10479 case 10: /* MSPLIM */
10480 if (!arm_feature(env, ARM_FEATURE_V8)) {
10481 goto bad_reg;
10482 }
10483 return env->v7m.msplim[env->v7m.secure];
10484 case 11: /* PSPLIM */
10485 if (!arm_feature(env, ARM_FEATURE_V8)) {
10486 goto bad_reg;
10487 }
10488 return env->v7m.psplim[env->v7m.secure];
9ee6e8bb 10489 case 16: /* PRIMASK */
6d804834 10490 return env->v7m.primask[env->v7m.secure];
82845826
SH
10491 case 17: /* BASEPRI */
10492 case 18: /* BASEPRI_MAX */
acf94941 10493 return env->v7m.basepri[env->v7m.secure];
82845826 10494 case 19: /* FAULTMASK */
42a6686b 10495 return env->v7m.faultmask[env->v7m.secure];
9ee6e8bb 10496 default:
57bb3156 10497 bad_reg:
58117c9b
MD
10498 qemu_log_mask(LOG_GUEST_ERROR, "Attempt to read unknown special"
10499 " register %d\n", reg);
9ee6e8bb
PB
10500 return 0;
10501 }
10502}
10503
b28b3377
PM
10504void HELPER(v7m_msr)(CPUARMState *env, uint32_t maskreg, uint32_t val)
10505{
10506 /* We're passed bits [11..0] of the instruction; extract
10507 * SYSm and the mask bits.
10508 * Invalid combinations of SYSm and mask are UNPREDICTABLE;
10509 * we choose to treat them as if the mask bits were valid.
10510 * NB that the pseudocode 'mask' variable is bits [11..10],
10511 * whereas ours is [11..8].
10512 */
10513 uint32_t mask = extract32(maskreg, 8, 4);
10514 uint32_t reg = extract32(maskreg, 0, 8);
10515
58117c9b
MD
10516 if (arm_current_el(env) == 0 && reg > 7) {
10517 /* only xPSR sub-fields may be written by unprivileged */
10518 return;
10519 }
a47dddd7 10520
50f11062
PM
10521 if (arm_feature(env, ARM_FEATURE_M_SECURITY)) {
10522 switch (reg) {
10523 case 0x88: /* MSP_NS */
10524 if (!env->v7m.secure) {
10525 return;
10526 }
10527 env->v7m.other_ss_msp = val;
10528 return;
10529 case 0x89: /* PSP_NS */
10530 if (!env->v7m.secure) {
10531 return;
10532 }
10533 env->v7m.other_ss_psp = val;
10534 return;
57bb3156
PM
10535 case 0x8a: /* MSPLIM_NS */
10536 if (!env->v7m.secure) {
10537 return;
10538 }
10539 env->v7m.msplim[M_REG_NS] = val & ~7;
10540 return;
10541 case 0x8b: /* PSPLIM_NS */
10542 if (!env->v7m.secure) {
10543 return;
10544 }
10545 env->v7m.psplim[M_REG_NS] = val & ~7;
10546 return;
50f11062
PM
10547 case 0x90: /* PRIMASK_NS */
10548 if (!env->v7m.secure) {
10549 return;
10550 }
10551 env->v7m.primask[M_REG_NS] = val & 1;
10552 return;
10553 case 0x91: /* BASEPRI_NS */
10554 if (!env->v7m.secure) {
10555 return;
10556 }
10557 env->v7m.basepri[M_REG_NS] = val & 0xff;
10558 return;
10559 case 0x93: /* FAULTMASK_NS */
10560 if (!env->v7m.secure) {
10561 return;
10562 }
10563 env->v7m.faultmask[M_REG_NS] = val & 1;
10564 return;
6eb3a64e
PM
10565 case 0x94: /* CONTROL_NS */
10566 if (!env->v7m.secure) {
10567 return;
10568 }
10569 write_v7m_control_spsel_for_secstate(env,
10570 val & R_V7M_CONTROL_SPSEL_MASK,
10571 M_REG_NS);
10572 env->v7m.control[M_REG_NS] &= ~R_V7M_CONTROL_NPRIV_MASK;
10573 env->v7m.control[M_REG_NS] |= val & R_V7M_CONTROL_NPRIV_MASK;
10574 return;
50f11062
PM
10575 case 0x98: /* SP_NS */
10576 {
10577 /* This gives the non-secure SP selected based on whether we're
10578 * currently in handler mode or not, using the NS CONTROL.SPSEL.
10579 */
10580 bool spsel = env->v7m.control[M_REG_NS] & R_V7M_CONTROL_SPSEL_MASK;
10581
10582 if (!env->v7m.secure) {
10583 return;
10584 }
10585 if (!arm_v7m_is_handler_mode(env) && spsel) {
10586 env->v7m.other_ss_psp = val;
10587 } else {
10588 env->v7m.other_ss_msp = val;
10589 }
10590 return;
10591 }
10592 default:
10593 break;
10594 }
10595 }
10596
9ee6e8bb 10597 switch (reg) {
58117c9b
MD
10598 case 0 ... 7: /* xPSR sub-fields */
10599 /* only APSR is actually writable */
b28b3377
PM
10600 if (!(reg & 4)) {
10601 uint32_t apsrmask = 0;
10602
10603 if (mask & 8) {
987ab45e 10604 apsrmask |= XPSR_NZCV | XPSR_Q;
b28b3377
PM
10605 }
10606 if ((mask & 4) && arm_feature(env, ARM_FEATURE_THUMB_DSP)) {
987ab45e 10607 apsrmask |= XPSR_GE;
b28b3377
PM
10608 }
10609 xpsr_write(env, val, apsrmask);
58117c9b 10610 }
9ee6e8bb
PB
10611 break;
10612 case 8: /* MSP */
1169d3aa 10613 if (v7m_using_psp(env)) {
9ee6e8bb 10614 env->v7m.other_sp = val;
abc24d86 10615 } else {
9ee6e8bb 10616 env->regs[13] = val;
abc24d86 10617 }
9ee6e8bb
PB
10618 break;
10619 case 9: /* PSP */
1169d3aa 10620 if (v7m_using_psp(env)) {
9ee6e8bb 10621 env->regs[13] = val;
abc24d86 10622 } else {
9ee6e8bb 10623 env->v7m.other_sp = val;
abc24d86 10624 }
9ee6e8bb 10625 break;
57bb3156
PM
10626 case 10: /* MSPLIM */
10627 if (!arm_feature(env, ARM_FEATURE_V8)) {
10628 goto bad_reg;
10629 }
10630 env->v7m.msplim[env->v7m.secure] = val & ~7;
10631 break;
10632 case 11: /* PSPLIM */
10633 if (!arm_feature(env, ARM_FEATURE_V8)) {
10634 goto bad_reg;
10635 }
10636 env->v7m.psplim[env->v7m.secure] = val & ~7;
10637 break;
9ee6e8bb 10638 case 16: /* PRIMASK */
6d804834 10639 env->v7m.primask[env->v7m.secure] = val & 1;
9ee6e8bb 10640 break;
82845826 10641 case 17: /* BASEPRI */
acf94941 10642 env->v7m.basepri[env->v7m.secure] = val & 0xff;
9ee6e8bb 10643 break;
82845826 10644 case 18: /* BASEPRI_MAX */
9ee6e8bb 10645 val &= 0xff;
acf94941
PM
10646 if (val != 0 && (val < env->v7m.basepri[env->v7m.secure]
10647 || env->v7m.basepri[env->v7m.secure] == 0)) {
10648 env->v7m.basepri[env->v7m.secure] = val;
10649 }
9ee6e8bb 10650 break;
82845826 10651 case 19: /* FAULTMASK */
42a6686b 10652 env->v7m.faultmask[env->v7m.secure] = val & 1;
82845826 10653 break;
9ee6e8bb 10654 case 20: /* CONTROL */
792dac30
PM
10655 /* Writing to the SPSEL bit only has an effect if we are in
10656 * thread mode; other bits can be updated by any privileged code.
de2db7ec 10657 * write_v7m_control_spsel() deals with updating the SPSEL bit in
792dac30 10658 * env->v7m.control, so we only need update the others.
83d7f86d
PM
10659 * For v7M, we must just ignore explicit writes to SPSEL in handler
10660 * mode; for v8M the write is permitted but will have no effect.
792dac30 10661 */
83d7f86d
PM
10662 if (arm_feature(env, ARM_FEATURE_V8) ||
10663 !arm_v7m_is_handler_mode(env)) {
de2db7ec 10664 write_v7m_control_spsel(env, (val & R_V7M_CONTROL_SPSEL_MASK) != 0);
792dac30 10665 }
8bfc26ea
PM
10666 env->v7m.control[env->v7m.secure] &= ~R_V7M_CONTROL_NPRIV_MASK;
10667 env->v7m.control[env->v7m.secure] |= val & R_V7M_CONTROL_NPRIV_MASK;
9ee6e8bb
PB
10668 break;
10669 default:
57bb3156 10670 bad_reg:
58117c9b
MD
10671 qemu_log_mask(LOG_GUEST_ERROR, "Attempt to write unknown special"
10672 " register %d\n", reg);
9ee6e8bb
PB
10673 return;
10674 }
10675}
10676
5158de24
PM
10677uint32_t HELPER(v7m_tt)(CPUARMState *env, uint32_t addr, uint32_t op)
10678{
10679 /* Implement the TT instruction. op is bits [7:6] of the insn. */
10680 bool forceunpriv = op & 1;
10681 bool alt = op & 2;
10682 V8M_SAttributes sattrs = {};
10683 uint32_t tt_resp;
10684 bool r, rw, nsr, nsrw, mrvalid;
10685 int prot;
3f551b5b 10686 ARMMMUFaultInfo fi = {};
5158de24
PM
10687 MemTxAttrs attrs = {};
10688 hwaddr phys_addr;
5158de24
PM
10689 ARMMMUIdx mmu_idx;
10690 uint32_t mregion;
10691 bool targetpriv;
10692 bool targetsec = env->v7m.secure;
10693
10694 /* Work out what the security state and privilege level we're
10695 * interested in is...
10696 */
10697 if (alt) {
10698 targetsec = !targetsec;
10699 }
10700
10701 if (forceunpriv) {
10702 targetpriv = false;
10703 } else {
10704 targetpriv = arm_v7m_is_handler_mode(env) ||
10705 !(env->v7m.control[targetsec] & R_V7M_CONTROL_NPRIV_MASK);
10706 }
10707
10708 /* ...and then figure out which MMU index this is */
10709 mmu_idx = arm_v7m_mmu_idx_for_secstate_and_priv(env, targetsec, targetpriv);
10710
10711 /* We know that the MPU and SAU don't care about the access type
10712 * for our purposes beyond that we don't want to claim to be
10713 * an insn fetch, so we arbitrarily call this a read.
10714 */
10715
10716 /* MPU region info only available for privileged or if
10717 * inspecting the other MPU state.
10718 */
10719 if (arm_current_el(env) != 0 || alt) {
10720 /* We can ignore the return value as prot is always set */
10721 pmsav8_mpu_lookup(env, addr, MMU_DATA_LOAD, mmu_idx,
3f551b5b 10722 &phys_addr, &attrs, &prot, &fi, &mregion);
5158de24
PM
10723 if (mregion == -1) {
10724 mrvalid = false;
10725 mregion = 0;
10726 } else {
10727 mrvalid = true;
10728 }
10729 r = prot & PAGE_READ;
10730 rw = prot & PAGE_WRITE;
10731 } else {
10732 r = false;
10733 rw = false;
10734 mrvalid = false;
10735 mregion = 0;
10736 }
10737
10738 if (env->v7m.secure) {
10739 v8m_security_lookup(env, addr, MMU_DATA_LOAD, mmu_idx, &sattrs);
10740 nsr = sattrs.ns && r;
10741 nsrw = sattrs.ns && rw;
10742 } else {
10743 sattrs.ns = true;
10744 nsr = false;
10745 nsrw = false;
10746 }
10747
10748 tt_resp = (sattrs.iregion << 24) |
10749 (sattrs.irvalid << 23) |
10750 ((!sattrs.ns) << 22) |
10751 (nsrw << 21) |
10752 (nsr << 20) |
10753 (rw << 19) |
10754 (r << 18) |
10755 (sattrs.srvalid << 17) |
10756 (mrvalid << 16) |
10757 (sattrs.sregion << 8) |
10758 mregion;
10759
10760 return tt_resp;
10761}
10762
b5ff1b31 10763#endif
6ddbc6e4 10764
aca3f40b
PM
10765void HELPER(dc_zva)(CPUARMState *env, uint64_t vaddr_in)
10766{
10767 /* Implement DC ZVA, which zeroes a fixed-length block of memory.
10768 * Note that we do not implement the (architecturally mandated)
10769 * alignment fault for attempts to use this on Device memory
10770 * (which matches the usual QEMU behaviour of not implementing either
10771 * alignment faults or any memory attribute handling).
10772 */
10773
10774 ARMCPU *cpu = arm_env_get_cpu(env);
10775 uint64_t blocklen = 4 << cpu->dcz_blocksize;
10776 uint64_t vaddr = vaddr_in & ~(blocklen - 1);
10777
10778#ifndef CONFIG_USER_ONLY
10779 {
10780 /* Slightly awkwardly, QEMU's TARGET_PAGE_SIZE may be less than
10781 * the block size so we might have to do more than one TLB lookup.
10782 * We know that in fact for any v8 CPU the page size is at least 4K
10783 * and the block size must be 2K or less, but TARGET_PAGE_SIZE is only
10784 * 1K as an artefact of legacy v5 subpage support being present in the
10785 * same QEMU executable.
10786 */
10787 int maxidx = DIV_ROUND_UP(blocklen, TARGET_PAGE_SIZE);
10788 void *hostaddr[maxidx];
10789 int try, i;
97ed5ccd 10790 unsigned mmu_idx = cpu_mmu_index(env, false);
3972ef6f 10791 TCGMemOpIdx oi = make_memop_idx(MO_UB, mmu_idx);
aca3f40b
PM
10792
10793 for (try = 0; try < 2; try++) {
10794
10795 for (i = 0; i < maxidx; i++) {
10796 hostaddr[i] = tlb_vaddr_to_host(env,
10797 vaddr + TARGET_PAGE_SIZE * i,
3972ef6f 10798 1, mmu_idx);
aca3f40b
PM
10799 if (!hostaddr[i]) {
10800 break;
10801 }
10802 }
10803 if (i == maxidx) {
10804 /* If it's all in the TLB it's fair game for just writing to;
10805 * we know we don't need to update dirty status, etc.
10806 */
10807 for (i = 0; i < maxidx - 1; i++) {
10808 memset(hostaddr[i], 0, TARGET_PAGE_SIZE);
10809 }
10810 memset(hostaddr[i], 0, blocklen - (i * TARGET_PAGE_SIZE));
10811 return;
10812 }
10813 /* OK, try a store and see if we can populate the tlb. This
10814 * might cause an exception if the memory isn't writable,
10815 * in which case we will longjmp out of here. We must for
10816 * this purpose use the actual register value passed to us
10817 * so that we get the fault address right.
10818 */
01ecaf43 10819 helper_ret_stb_mmu(env, vaddr_in, 0, oi, GETPC());
aca3f40b
PM
10820 /* Now we can populate the other TLB entries, if any */
10821 for (i = 0; i < maxidx; i++) {
10822 uint64_t va = vaddr + TARGET_PAGE_SIZE * i;
10823 if (va != (vaddr_in & TARGET_PAGE_MASK)) {
01ecaf43 10824 helper_ret_stb_mmu(env, va, 0, oi, GETPC());
aca3f40b
PM
10825 }
10826 }
10827 }
10828
10829 /* Slow path (probably attempt to do this to an I/O device or
10830 * similar, or clearing of a block of code we have translations
10831 * cached for). Just do a series of byte writes as the architecture
10832 * demands. It's not worth trying to use a cpu_physical_memory_map(),
10833 * memset(), unmap() sequence here because:
10834 * + we'd need to account for the blocksize being larger than a page
10835 * + the direct-RAM access case is almost always going to be dealt
10836 * with in the fastpath code above, so there's no speed benefit
10837 * + we would have to deal with the map returning NULL because the
10838 * bounce buffer was in use
10839 */
10840 for (i = 0; i < blocklen; i++) {
01ecaf43 10841 helper_ret_stb_mmu(env, vaddr + i, 0, oi, GETPC());
aca3f40b
PM
10842 }
10843 }
10844#else
10845 memset(g2h(vaddr), 0, blocklen);
10846#endif
10847}
10848
6ddbc6e4
PB
10849/* Note that signed overflow is undefined in C. The following routines are
10850 careful to use unsigned types where modulo arithmetic is required.
10851 Failure to do so _will_ break on newer gcc. */
10852
10853/* Signed saturating arithmetic. */
10854
1654b2d6 10855/* Perform 16-bit signed saturating addition. */
6ddbc6e4
PB
10856static inline uint16_t add16_sat(uint16_t a, uint16_t b)
10857{
10858 uint16_t res;
10859
10860 res = a + b;
10861 if (((res ^ a) & 0x8000) && !((a ^ b) & 0x8000)) {
10862 if (a & 0x8000)
10863 res = 0x8000;
10864 else
10865 res = 0x7fff;
10866 }
10867 return res;
10868}
10869
1654b2d6 10870/* Perform 8-bit signed saturating addition. */
6ddbc6e4
PB
10871static inline uint8_t add8_sat(uint8_t a, uint8_t b)
10872{
10873 uint8_t res;
10874
10875 res = a + b;
10876 if (((res ^ a) & 0x80) && !((a ^ b) & 0x80)) {
10877 if (a & 0x80)
10878 res = 0x80;
10879 else
10880 res = 0x7f;
10881 }
10882 return res;
10883}
10884
1654b2d6 10885/* Perform 16-bit signed saturating subtraction. */
6ddbc6e4
PB
10886static inline uint16_t sub16_sat(uint16_t a, uint16_t b)
10887{
10888 uint16_t res;
10889
10890 res = a - b;
10891 if (((res ^ a) & 0x8000) && ((a ^ b) & 0x8000)) {
10892 if (a & 0x8000)
10893 res = 0x8000;
10894 else
10895 res = 0x7fff;
10896 }
10897 return res;
10898}
10899
1654b2d6 10900/* Perform 8-bit signed saturating subtraction. */
6ddbc6e4
PB
10901static inline uint8_t sub8_sat(uint8_t a, uint8_t b)
10902{
10903 uint8_t res;
10904
10905 res = a - b;
10906 if (((res ^ a) & 0x80) && ((a ^ b) & 0x80)) {
10907 if (a & 0x80)
10908 res = 0x80;
10909 else
10910 res = 0x7f;
10911 }
10912 return res;
10913}
10914
10915#define ADD16(a, b, n) RESULT(add16_sat(a, b), n, 16);
10916#define SUB16(a, b, n) RESULT(sub16_sat(a, b), n, 16);
10917#define ADD8(a, b, n) RESULT(add8_sat(a, b), n, 8);
10918#define SUB8(a, b, n) RESULT(sub8_sat(a, b), n, 8);
10919#define PFX q
10920
10921#include "op_addsub.h"
10922
10923/* Unsigned saturating arithmetic. */
460a09c1 10924static inline uint16_t add16_usat(uint16_t a, uint16_t b)
6ddbc6e4
PB
10925{
10926 uint16_t res;
10927 res = a + b;
10928 if (res < a)
10929 res = 0xffff;
10930 return res;
10931}
10932
460a09c1 10933static inline uint16_t sub16_usat(uint16_t a, uint16_t b)
6ddbc6e4 10934{
4c4fd3f8 10935 if (a > b)
6ddbc6e4
PB
10936 return a - b;
10937 else
10938 return 0;
10939}
10940
10941static inline uint8_t add8_usat(uint8_t a, uint8_t b)
10942{
10943 uint8_t res;
10944 res = a + b;
10945 if (res < a)
10946 res = 0xff;
10947 return res;
10948}
10949
10950static inline uint8_t sub8_usat(uint8_t a, uint8_t b)
10951{
4c4fd3f8 10952 if (a > b)
6ddbc6e4
PB
10953 return a - b;
10954 else
10955 return 0;
10956}
10957
10958#define ADD16(a, b, n) RESULT(add16_usat(a, b), n, 16);
10959#define SUB16(a, b, n) RESULT(sub16_usat(a, b), n, 16);
10960#define ADD8(a, b, n) RESULT(add8_usat(a, b), n, 8);
10961#define SUB8(a, b, n) RESULT(sub8_usat(a, b), n, 8);
10962#define PFX uq
10963
10964#include "op_addsub.h"
10965
10966/* Signed modulo arithmetic. */
10967#define SARITH16(a, b, n, op) do { \
10968 int32_t sum; \
db6e2e65 10969 sum = (int32_t)(int16_t)(a) op (int32_t)(int16_t)(b); \
6ddbc6e4
PB
10970 RESULT(sum, n, 16); \
10971 if (sum >= 0) \
10972 ge |= 3 << (n * 2); \
10973 } while(0)
10974
10975#define SARITH8(a, b, n, op) do { \
10976 int32_t sum; \
db6e2e65 10977 sum = (int32_t)(int8_t)(a) op (int32_t)(int8_t)(b); \
6ddbc6e4
PB
10978 RESULT(sum, n, 8); \
10979 if (sum >= 0) \
10980 ge |= 1 << n; \
10981 } while(0)
10982
10983
10984#define ADD16(a, b, n) SARITH16(a, b, n, +)
10985#define SUB16(a, b, n) SARITH16(a, b, n, -)
10986#define ADD8(a, b, n) SARITH8(a, b, n, +)
10987#define SUB8(a, b, n) SARITH8(a, b, n, -)
10988#define PFX s
10989#define ARITH_GE
10990
10991#include "op_addsub.h"
10992
10993/* Unsigned modulo arithmetic. */
10994#define ADD16(a, b, n) do { \
10995 uint32_t sum; \
10996 sum = (uint32_t)(uint16_t)(a) + (uint32_t)(uint16_t)(b); \
10997 RESULT(sum, n, 16); \
a87aa10b 10998 if ((sum >> 16) == 1) \
6ddbc6e4
PB
10999 ge |= 3 << (n * 2); \
11000 } while(0)
11001
11002#define ADD8(a, b, n) do { \
11003 uint32_t sum; \
11004 sum = (uint32_t)(uint8_t)(a) + (uint32_t)(uint8_t)(b); \
11005 RESULT(sum, n, 8); \
a87aa10b
AZ
11006 if ((sum >> 8) == 1) \
11007 ge |= 1 << n; \
6ddbc6e4
PB
11008 } while(0)
11009
11010#define SUB16(a, b, n) do { \
11011 uint32_t sum; \
11012 sum = (uint32_t)(uint16_t)(a) - (uint32_t)(uint16_t)(b); \
11013 RESULT(sum, n, 16); \
11014 if ((sum >> 16) == 0) \
11015 ge |= 3 << (n * 2); \
11016 } while(0)
11017
11018#define SUB8(a, b, n) do { \
11019 uint32_t sum; \
11020 sum = (uint32_t)(uint8_t)(a) - (uint32_t)(uint8_t)(b); \
11021 RESULT(sum, n, 8); \
11022 if ((sum >> 8) == 0) \
a87aa10b 11023 ge |= 1 << n; \
6ddbc6e4
PB
11024 } while(0)
11025
11026#define PFX u
11027#define ARITH_GE
11028
11029#include "op_addsub.h"
11030
11031/* Halved signed arithmetic. */
11032#define ADD16(a, b, n) \
11033 RESULT(((int32_t)(int16_t)(a) + (int32_t)(int16_t)(b)) >> 1, n, 16)
11034#define SUB16(a, b, n) \
11035 RESULT(((int32_t)(int16_t)(a) - (int32_t)(int16_t)(b)) >> 1, n, 16)
11036#define ADD8(a, b, n) \
11037 RESULT(((int32_t)(int8_t)(a) + (int32_t)(int8_t)(b)) >> 1, n, 8)
11038#define SUB8(a, b, n) \
11039 RESULT(((int32_t)(int8_t)(a) - (int32_t)(int8_t)(b)) >> 1, n, 8)
11040#define PFX sh
11041
11042#include "op_addsub.h"
11043
11044/* Halved unsigned arithmetic. */
11045#define ADD16(a, b, n) \
11046 RESULT(((uint32_t)(uint16_t)(a) + (uint32_t)(uint16_t)(b)) >> 1, n, 16)
11047#define SUB16(a, b, n) \
11048 RESULT(((uint32_t)(uint16_t)(a) - (uint32_t)(uint16_t)(b)) >> 1, n, 16)
11049#define ADD8(a, b, n) \
11050 RESULT(((uint32_t)(uint8_t)(a) + (uint32_t)(uint8_t)(b)) >> 1, n, 8)
11051#define SUB8(a, b, n) \
11052 RESULT(((uint32_t)(uint8_t)(a) - (uint32_t)(uint8_t)(b)) >> 1, n, 8)
11053#define PFX uh
11054
11055#include "op_addsub.h"
11056
11057static inline uint8_t do_usad(uint8_t a, uint8_t b)
11058{
11059 if (a > b)
11060 return a - b;
11061 else
11062 return b - a;
11063}
11064
11065/* Unsigned sum of absolute byte differences. */
11066uint32_t HELPER(usad8)(uint32_t a, uint32_t b)
11067{
11068 uint32_t sum;
11069 sum = do_usad(a, b);
11070 sum += do_usad(a >> 8, b >> 8);
11071 sum += do_usad(a >> 16, b >>16);
11072 sum += do_usad(a >> 24, b >> 24);
11073 return sum;
11074}
11075
11076/* For ARMv6 SEL instruction. */
11077uint32_t HELPER(sel_flags)(uint32_t flags, uint32_t a, uint32_t b)
11078{
11079 uint32_t mask;
11080
11081 mask = 0;
11082 if (flags & 1)
11083 mask |= 0xff;
11084 if (flags & 2)
11085 mask |= 0xff00;
11086 if (flags & 4)
11087 mask |= 0xff0000;
11088 if (flags & 8)
11089 mask |= 0xff000000;
11090 return (a & mask) | (b & ~mask);
11091}
11092
b90372ad
PM
11093/* VFP support. We follow the convention used for VFP instructions:
11094 Single precision routines have a "s" suffix, double precision a
4373f3ce
PB
11095 "d" suffix. */
11096
11097/* Convert host exception flags to vfp form. */
11098static inline int vfp_exceptbits_from_host(int host_bits)
11099{
11100 int target_bits = 0;
11101
11102 if (host_bits & float_flag_invalid)
11103 target_bits |= 1;
11104 if (host_bits & float_flag_divbyzero)
11105 target_bits |= 2;
11106 if (host_bits & float_flag_overflow)
11107 target_bits |= 4;
36802b6b 11108 if (host_bits & (float_flag_underflow | float_flag_output_denormal))
4373f3ce
PB
11109 target_bits |= 8;
11110 if (host_bits & float_flag_inexact)
11111 target_bits |= 0x10;
cecd8504
PM
11112 if (host_bits & float_flag_input_denormal)
11113 target_bits |= 0x80;
4373f3ce
PB
11114 return target_bits;
11115}
11116
0ecb72a5 11117uint32_t HELPER(vfp_get_fpscr)(CPUARMState *env)
4373f3ce
PB
11118{
11119 int i;
11120 uint32_t fpscr;
11121
11122 fpscr = (env->vfp.xregs[ARM_VFP_FPSCR] & 0xffc8ffff)
11123 | (env->vfp.vec_len << 16)
11124 | (env->vfp.vec_stride << 20);
11125 i = get_float_exception_flags(&env->vfp.fp_status);
3a492f3a 11126 i |= get_float_exception_flags(&env->vfp.standard_fp_status);
d81ce0ef 11127 i |= get_float_exception_flags(&env->vfp.fp_status_f16);
4373f3ce
PB
11128 fpscr |= vfp_exceptbits_from_host(i);
11129 return fpscr;
11130}
11131
0ecb72a5 11132uint32_t vfp_get_fpscr(CPUARMState *env)
01653295
PM
11133{
11134 return HELPER(vfp_get_fpscr)(env);
11135}
11136
4373f3ce
PB
11137/* Convert vfp exception flags to target form. */
11138static inline int vfp_exceptbits_to_host(int target_bits)
11139{
11140 int host_bits = 0;
11141
11142 if (target_bits & 1)
11143 host_bits |= float_flag_invalid;
11144 if (target_bits & 2)
11145 host_bits |= float_flag_divbyzero;
11146 if (target_bits & 4)
11147 host_bits |= float_flag_overflow;
11148 if (target_bits & 8)
11149 host_bits |= float_flag_underflow;
11150 if (target_bits & 0x10)
11151 host_bits |= float_flag_inexact;
cecd8504
PM
11152 if (target_bits & 0x80)
11153 host_bits |= float_flag_input_denormal;
4373f3ce
PB
11154 return host_bits;
11155}
11156
0ecb72a5 11157void HELPER(vfp_set_fpscr)(CPUARMState *env, uint32_t val)
4373f3ce
PB
11158{
11159 int i;
11160 uint32_t changed;
11161
11162 changed = env->vfp.xregs[ARM_VFP_FPSCR];
11163 env->vfp.xregs[ARM_VFP_FPSCR] = (val & 0xffc8ffff);
11164 env->vfp.vec_len = (val >> 16) & 7;
11165 env->vfp.vec_stride = (val >> 20) & 3;
11166
11167 changed ^= val;
11168 if (changed & (3 << 22)) {
11169 i = (val >> 22) & 3;
11170 switch (i) {
4d3da0f3 11171 case FPROUNDING_TIEEVEN:
4373f3ce
PB
11172 i = float_round_nearest_even;
11173 break;
4d3da0f3 11174 case FPROUNDING_POSINF:
4373f3ce
PB
11175 i = float_round_up;
11176 break;
4d3da0f3 11177 case FPROUNDING_NEGINF:
4373f3ce
PB
11178 i = float_round_down;
11179 break;
4d3da0f3 11180 case FPROUNDING_ZERO:
4373f3ce
PB
11181 i = float_round_to_zero;
11182 break;
11183 }
11184 set_float_rounding_mode(i, &env->vfp.fp_status);
d81ce0ef 11185 set_float_rounding_mode(i, &env->vfp.fp_status_f16);
4373f3ce 11186 }
d81ce0ef
AB
11187 if (changed & FPCR_FZ16) {
11188 bool ftz_enabled = val & FPCR_FZ16;
11189 set_flush_to_zero(ftz_enabled, &env->vfp.fp_status_f16);
11190 set_flush_inputs_to_zero(ftz_enabled, &env->vfp.fp_status_f16);
11191 }
11192 if (changed & FPCR_FZ) {
11193 bool ftz_enabled = val & FPCR_FZ;
11194 set_flush_to_zero(ftz_enabled, &env->vfp.fp_status);
11195 set_flush_inputs_to_zero(ftz_enabled, &env->vfp.fp_status);
11196 }
11197 if (changed & FPCR_DN) {
11198 bool dnan_enabled = val & FPCR_DN;
11199 set_default_nan_mode(dnan_enabled, &env->vfp.fp_status);
11200 set_default_nan_mode(dnan_enabled, &env->vfp.fp_status_f16);
cecd8504 11201 }
4373f3ce 11202
d81ce0ef
AB
11203 /* The exception flags are ORed together when we read fpscr so we
11204 * only need to preserve the current state in one of our
11205 * float_status values.
11206 */
b12c390b 11207 i = vfp_exceptbits_to_host(val);
4373f3ce 11208 set_float_exception_flags(i, &env->vfp.fp_status);
d81ce0ef 11209 set_float_exception_flags(0, &env->vfp.fp_status_f16);
3a492f3a 11210 set_float_exception_flags(0, &env->vfp.standard_fp_status);
4373f3ce
PB
11211}
11212
0ecb72a5 11213void vfp_set_fpscr(CPUARMState *env, uint32_t val)
01653295
PM
11214{
11215 HELPER(vfp_set_fpscr)(env, val);
11216}
11217
4373f3ce
PB
11218#define VFP_HELPER(name, p) HELPER(glue(glue(vfp_,name),p))
11219
11220#define VFP_BINOP(name) \
ae1857ec 11221float32 VFP_HELPER(name, s)(float32 a, float32 b, void *fpstp) \
4373f3ce 11222{ \
ae1857ec
PM
11223 float_status *fpst = fpstp; \
11224 return float32_ ## name(a, b, fpst); \
4373f3ce 11225} \
ae1857ec 11226float64 VFP_HELPER(name, d)(float64 a, float64 b, void *fpstp) \
4373f3ce 11227{ \
ae1857ec
PM
11228 float_status *fpst = fpstp; \
11229 return float64_ ## name(a, b, fpst); \
4373f3ce
PB
11230}
11231VFP_BINOP(add)
11232VFP_BINOP(sub)
11233VFP_BINOP(mul)
11234VFP_BINOP(div)
f71a2ae5
PM
11235VFP_BINOP(min)
11236VFP_BINOP(max)
11237VFP_BINOP(minnum)
11238VFP_BINOP(maxnum)
4373f3ce
PB
11239#undef VFP_BINOP
11240
11241float32 VFP_HELPER(neg, s)(float32 a)
11242{
11243 return float32_chs(a);
11244}
11245
11246float64 VFP_HELPER(neg, d)(float64 a)
11247{
66230e0d 11248 return float64_chs(a);
4373f3ce
PB
11249}
11250
11251float32 VFP_HELPER(abs, s)(float32 a)
11252{
11253 return float32_abs(a);
11254}
11255
11256float64 VFP_HELPER(abs, d)(float64 a)
11257{
66230e0d 11258 return float64_abs(a);
4373f3ce
PB
11259}
11260
0ecb72a5 11261float32 VFP_HELPER(sqrt, s)(float32 a, CPUARMState *env)
4373f3ce
PB
11262{
11263 return float32_sqrt(a, &env->vfp.fp_status);
11264}
11265
0ecb72a5 11266float64 VFP_HELPER(sqrt, d)(float64 a, CPUARMState *env)
4373f3ce
PB
11267{
11268 return float64_sqrt(a, &env->vfp.fp_status);
11269}
11270
11271/* XXX: check quiet/signaling case */
11272#define DO_VFP_cmp(p, type) \
0ecb72a5 11273void VFP_HELPER(cmp, p)(type a, type b, CPUARMState *env) \
4373f3ce
PB
11274{ \
11275 uint32_t flags; \
11276 switch(type ## _compare_quiet(a, b, &env->vfp.fp_status)) { \
11277 case 0: flags = 0x6; break; \
11278 case -1: flags = 0x8; break; \
11279 case 1: flags = 0x2; break; \
11280 default: case 2: flags = 0x3; break; \
11281 } \
11282 env->vfp.xregs[ARM_VFP_FPSCR] = (flags << 28) \
11283 | (env->vfp.xregs[ARM_VFP_FPSCR] & 0x0fffffff); \
11284} \
0ecb72a5 11285void VFP_HELPER(cmpe, p)(type a, type b, CPUARMState *env) \
4373f3ce
PB
11286{ \
11287 uint32_t flags; \
11288 switch(type ## _compare(a, b, &env->vfp.fp_status)) { \
11289 case 0: flags = 0x6; break; \
11290 case -1: flags = 0x8; break; \
11291 case 1: flags = 0x2; break; \
11292 default: case 2: flags = 0x3; break; \
11293 } \
11294 env->vfp.xregs[ARM_VFP_FPSCR] = (flags << 28) \
11295 | (env->vfp.xregs[ARM_VFP_FPSCR] & 0x0fffffff); \
11296}
11297DO_VFP_cmp(s, float32)
11298DO_VFP_cmp(d, float64)
11299#undef DO_VFP_cmp
11300
5500b06c 11301/* Integer to float and float to integer conversions */
4373f3ce 11302
5500b06c
PM
11303#define CONV_ITOF(name, fsz, sign) \
11304 float##fsz HELPER(name)(uint32_t x, void *fpstp) \
11305{ \
11306 float_status *fpst = fpstp; \
85836979 11307 return sign##int32_to_##float##fsz((sign##int32_t)x, fpst); \
4373f3ce
PB
11308}
11309
5500b06c
PM
11310#define CONV_FTOI(name, fsz, sign, round) \
11311uint32_t HELPER(name)(float##fsz x, void *fpstp) \
11312{ \
11313 float_status *fpst = fpstp; \
11314 if (float##fsz##_is_any_nan(x)) { \
11315 float_raise(float_flag_invalid, fpst); \
11316 return 0; \
11317 } \
11318 return float##fsz##_to_##sign##int32##round(x, fpst); \
4373f3ce
PB
11319}
11320
5500b06c
PM
11321#define FLOAT_CONVS(name, p, fsz, sign) \
11322CONV_ITOF(vfp_##name##to##p, fsz, sign) \
11323CONV_FTOI(vfp_to##name##p, fsz, sign, ) \
11324CONV_FTOI(vfp_to##name##z##p, fsz, sign, _round_to_zero)
4373f3ce 11325
93193190 11326FLOAT_CONVS(si, h, 16, )
5500b06c
PM
11327FLOAT_CONVS(si, s, 32, )
11328FLOAT_CONVS(si, d, 64, )
93193190 11329FLOAT_CONVS(ui, h, 16, u)
5500b06c
PM
11330FLOAT_CONVS(ui, s, 32, u)
11331FLOAT_CONVS(ui, d, 64, u)
4373f3ce 11332
5500b06c
PM
11333#undef CONV_ITOF
11334#undef CONV_FTOI
11335#undef FLOAT_CONVS
4373f3ce
PB
11336
11337/* floating point conversion */
0ecb72a5 11338float64 VFP_HELPER(fcvtd, s)(float32 x, CPUARMState *env)
4373f3ce 11339{
2d627737
PM
11340 float64 r = float32_to_float64(x, &env->vfp.fp_status);
11341 /* ARM requires that S<->D conversion of any kind of NaN generates
11342 * a quiet NaN by forcing the most significant frac bit to 1.
11343 */
af39bc8c 11344 return float64_maybe_silence_nan(r, &env->vfp.fp_status);
4373f3ce
PB
11345}
11346
0ecb72a5 11347float32 VFP_HELPER(fcvts, d)(float64 x, CPUARMState *env)
4373f3ce 11348{
2d627737
PM
11349 float32 r = float64_to_float32(x, &env->vfp.fp_status);
11350 /* ARM requires that S<->D conversion of any kind of NaN generates
11351 * a quiet NaN by forcing the most significant frac bit to 1.
11352 */
af39bc8c 11353 return float32_maybe_silence_nan(r, &env->vfp.fp_status);
4373f3ce
PB
11354}
11355
11356/* VFP3 fixed point conversion. */
16d5b3ca 11357#define VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
8ed697e8
WN
11358float##fsz HELPER(vfp_##name##to##p)(uint##isz##_t x, uint32_t shift, \
11359 void *fpstp) \
4373f3ce 11360{ \
5500b06c 11361 float_status *fpst = fpstp; \
622465e1 11362 float##fsz tmp; \
8ed697e8 11363 tmp = itype##_to_##float##fsz(x, fpst); \
5500b06c 11364 return float##fsz##_scalbn(tmp, -(int)shift, fpst); \
16d5b3ca
WN
11365}
11366
abe66f70
PM
11367/* Notice that we want only input-denormal exception flags from the
11368 * scalbn operation: the other possible flags (overflow+inexact if
11369 * we overflow to infinity, output-denormal) aren't correct for the
11370 * complete scale-and-convert operation.
11371 */
16d5b3ca
WN
11372#define VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, round) \
11373uint##isz##_t HELPER(vfp_to##name##p##round)(float##fsz x, \
11374 uint32_t shift, \
11375 void *fpstp) \
4373f3ce 11376{ \
5500b06c 11377 float_status *fpst = fpstp; \
abe66f70 11378 int old_exc_flags = get_float_exception_flags(fpst); \
622465e1
PM
11379 float##fsz tmp; \
11380 if (float##fsz##_is_any_nan(x)) { \
5500b06c 11381 float_raise(float_flag_invalid, fpst); \
622465e1 11382 return 0; \
09d9487f 11383 } \
5500b06c 11384 tmp = float##fsz##_scalbn(x, shift, fpst); \
abe66f70
PM
11385 old_exc_flags |= get_float_exception_flags(fpst) \
11386 & float_flag_input_denormal; \
11387 set_float_exception_flags(old_exc_flags, fpst); \
16d5b3ca 11388 return float##fsz##_to_##itype##round(tmp, fpst); \
622465e1
PM
11389}
11390
16d5b3ca
WN
11391#define VFP_CONV_FIX(name, p, fsz, isz, itype) \
11392VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
3c6a074a
WN
11393VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, _round_to_zero) \
11394VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, )
11395
11396#define VFP_CONV_FIX_A64(name, p, fsz, isz, itype) \
11397VFP_CONV_FIX_FLOAT(name, p, fsz, isz, itype) \
11398VFP_CONV_FLOAT_FIX_ROUND(name, p, fsz, isz, itype, )
16d5b3ca 11399
8ed697e8
WN
11400VFP_CONV_FIX(sh, d, 64, 64, int16)
11401VFP_CONV_FIX(sl, d, 64, 64, int32)
3c6a074a 11402VFP_CONV_FIX_A64(sq, d, 64, 64, int64)
8ed697e8
WN
11403VFP_CONV_FIX(uh, d, 64, 64, uint16)
11404VFP_CONV_FIX(ul, d, 64, 64, uint32)
3c6a074a 11405VFP_CONV_FIX_A64(uq, d, 64, 64, uint64)
8ed697e8
WN
11406VFP_CONV_FIX(sh, s, 32, 32, int16)
11407VFP_CONV_FIX(sl, s, 32, 32, int32)
3c6a074a 11408VFP_CONV_FIX_A64(sq, s, 32, 64, int64)
8ed697e8
WN
11409VFP_CONV_FIX(uh, s, 32, 32, uint16)
11410VFP_CONV_FIX(ul, s, 32, 32, uint32)
3c6a074a 11411VFP_CONV_FIX_A64(uq, s, 32, 64, uint64)
c708ce7d 11412
4373f3ce 11413#undef VFP_CONV_FIX
16d5b3ca
WN
11414#undef VFP_CONV_FIX_FLOAT
11415#undef VFP_CONV_FLOAT_FIX_ROUND
c708ce7d
RH
11416#undef VFP_CONV_FIX_A64
11417
11418/* Conversion to/from f16 can overflow to infinity before/after scaling.
11419 * Therefore we convert to f64 (which does not round), scale,
11420 * and then convert f64 to f16 (which may round).
11421 */
11422
11423static float16 do_postscale_fp16(float64 f, int shift, float_status *fpst)
11424{
11425 return float64_to_float16(float64_scalbn(f, -shift, fpst), true, fpst);
11426}
11427
11428float16 HELPER(vfp_sltoh)(uint32_t x, uint32_t shift, void *fpst)
11429{
11430 return do_postscale_fp16(int32_to_float64(x, fpst), shift, fpst);
11431}
11432
11433float16 HELPER(vfp_ultoh)(uint32_t x, uint32_t shift, void *fpst)
11434{
11435 return do_postscale_fp16(uint32_to_float64(x, fpst), shift, fpst);
11436}
11437
11438static float64 do_prescale_fp16(float16 f, int shift, float_status *fpst)
11439{
11440 if (unlikely(float16_is_any_nan(f))) {
11441 float_raise(float_flag_invalid, fpst);
11442 return 0;
11443 } else {
11444 int old_exc_flags = get_float_exception_flags(fpst);
11445 float64 ret;
11446
11447 ret = float16_to_float64(f, true, fpst);
11448 ret = float64_scalbn(ret, shift, fpst);
11449 old_exc_flags |= get_float_exception_flags(fpst)
11450 & float_flag_input_denormal;
11451 set_float_exception_flags(old_exc_flags, fpst);
11452
11453 return ret;
11454 }
11455}
11456
11457uint32_t HELPER(vfp_toshh)(float16 x, uint32_t shift, void *fpst)
11458{
11459 return float64_to_int16(do_prescale_fp16(x, shift, fpst), fpst);
11460}
11461
11462uint32_t HELPER(vfp_touhh)(float16 x, uint32_t shift, void *fpst)
11463{
11464 return float64_to_uint16(do_prescale_fp16(x, shift, fpst), fpst);
11465}
4373f3ce 11466
52a1f6a3
AG
11467/* Set the current fp rounding mode and return the old one.
11468 * The argument is a softfloat float_round_ value.
11469 */
9b049916 11470uint32_t HELPER(set_rmode)(uint32_t rmode, void *fpstp)
52a1f6a3 11471{
9b049916 11472 float_status *fp_status = fpstp;
52a1f6a3
AG
11473
11474 uint32_t prev_rmode = get_float_rounding_mode(fp_status);
11475 set_float_rounding_mode(rmode, fp_status);
11476
11477 return prev_rmode;
11478}
11479
43630e58
WN
11480/* Set the current fp rounding mode in the standard fp status and return
11481 * the old one. This is for NEON instructions that need to change the
11482 * rounding mode but wish to use the standard FPSCR values for everything
11483 * else. Always set the rounding mode back to the correct value after
11484 * modifying it.
11485 * The argument is a softfloat float_round_ value.
11486 */
11487uint32_t HELPER(set_neon_rmode)(uint32_t rmode, CPUARMState *env)
11488{
11489 float_status *fp_status = &env->vfp.standard_fp_status;
11490
11491 uint32_t prev_rmode = get_float_rounding_mode(fp_status);
11492 set_float_rounding_mode(rmode, fp_status);
11493
11494 return prev_rmode;
11495}
11496
60011498 11497/* Half precision conversions. */
0ecb72a5 11498static float32 do_fcvt_f16_to_f32(uint32_t a, CPUARMState *env, float_status *s)
60011498 11499{
60011498 11500 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
fb91678d
PM
11501 float32 r = float16_to_float32(make_float16(a), ieee, s);
11502 if (ieee) {
af39bc8c 11503 return float32_maybe_silence_nan(r, s);
fb91678d
PM
11504 }
11505 return r;
60011498
PB
11506}
11507
0ecb72a5 11508static uint32_t do_fcvt_f32_to_f16(float32 a, CPUARMState *env, float_status *s)
60011498 11509{
60011498 11510 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
fb91678d
PM
11511 float16 r = float32_to_float16(a, ieee, s);
11512 if (ieee) {
af39bc8c 11513 r = float16_maybe_silence_nan(r, s);
fb91678d
PM
11514 }
11515 return float16_val(r);
60011498
PB
11516}
11517
0ecb72a5 11518float32 HELPER(neon_fcvt_f16_to_f32)(uint32_t a, CPUARMState *env)
2d981da7
PM
11519{
11520 return do_fcvt_f16_to_f32(a, env, &env->vfp.standard_fp_status);
11521}
11522
0ecb72a5 11523uint32_t HELPER(neon_fcvt_f32_to_f16)(float32 a, CPUARMState *env)
2d981da7
PM
11524{
11525 return do_fcvt_f32_to_f16(a, env, &env->vfp.standard_fp_status);
11526}
11527
0ecb72a5 11528float32 HELPER(vfp_fcvt_f16_to_f32)(uint32_t a, CPUARMState *env)
2d981da7
PM
11529{
11530 return do_fcvt_f16_to_f32(a, env, &env->vfp.fp_status);
11531}
11532
0ecb72a5 11533uint32_t HELPER(vfp_fcvt_f32_to_f16)(float32 a, CPUARMState *env)
2d981da7
PM
11534{
11535 return do_fcvt_f32_to_f16(a, env, &env->vfp.fp_status);
11536}
11537
8900aad2
PM
11538float64 HELPER(vfp_fcvt_f16_to_f64)(uint32_t a, CPUARMState *env)
11539{
11540 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
11541 float64 r = float16_to_float64(make_float16(a), ieee, &env->vfp.fp_status);
11542 if (ieee) {
af39bc8c 11543 return float64_maybe_silence_nan(r, &env->vfp.fp_status);
8900aad2
PM
11544 }
11545 return r;
11546}
11547
11548uint32_t HELPER(vfp_fcvt_f64_to_f16)(float64 a, CPUARMState *env)
11549{
11550 int ieee = (env->vfp.xregs[ARM_VFP_FPSCR] & (1 << 26)) == 0;
11551 float16 r = float64_to_float16(a, ieee, &env->vfp.fp_status);
11552 if (ieee) {
af39bc8c 11553 r = float16_maybe_silence_nan(r, &env->vfp.fp_status);
8900aad2
PM
11554 }
11555 return float16_val(r);
11556}
11557
dda3ec49 11558#define float32_two make_float32(0x40000000)
6aae3df1
PM
11559#define float32_three make_float32(0x40400000)
11560#define float32_one_point_five make_float32(0x3fc00000)
dda3ec49 11561
0ecb72a5 11562float32 HELPER(recps_f32)(float32 a, float32 b, CPUARMState *env)
4373f3ce 11563{
dda3ec49
PM
11564 float_status *s = &env->vfp.standard_fp_status;
11565 if ((float32_is_infinity(a) && float32_is_zero_or_denormal(b)) ||
11566 (float32_is_infinity(b) && float32_is_zero_or_denormal(a))) {
43fe9bdb
PM
11567 if (!(float32_is_zero(a) || float32_is_zero(b))) {
11568 float_raise(float_flag_input_denormal, s);
11569 }
dda3ec49
PM
11570 return float32_two;
11571 }
11572 return float32_sub(float32_two, float32_mul(a, b, s), s);
4373f3ce
PB
11573}
11574
0ecb72a5 11575float32 HELPER(rsqrts_f32)(float32 a, float32 b, CPUARMState *env)
4373f3ce 11576{
71826966 11577 float_status *s = &env->vfp.standard_fp_status;
9ea62f57
PM
11578 float32 product;
11579 if ((float32_is_infinity(a) && float32_is_zero_or_denormal(b)) ||
11580 (float32_is_infinity(b) && float32_is_zero_or_denormal(a))) {
43fe9bdb
PM
11581 if (!(float32_is_zero(a) || float32_is_zero(b))) {
11582 float_raise(float_flag_input_denormal, s);
11583 }
6aae3df1 11584 return float32_one_point_five;
9ea62f57 11585 }
6aae3df1
PM
11586 product = float32_mul(a, b, s);
11587 return float32_div(float32_sub(float32_three, product, s), float32_two, s);
4373f3ce
PB
11588}
11589
8f8e3aa4
PB
11590/* NEON helpers. */
11591
56bf4fe2
CL
11592/* Constants 256 and 512 are used in some helpers; we avoid relying on
11593 * int->float conversions at run-time. */
11594#define float64_256 make_float64(0x4070000000000000LL)
11595#define float64_512 make_float64(0x4080000000000000LL)
5eb70735 11596#define float16_maxnorm make_float16(0x7bff)
b6d4443a
AB
11597#define float32_maxnorm make_float32(0x7f7fffff)
11598#define float64_maxnorm make_float64(0x7fefffffffffffffLL)
56bf4fe2 11599
b6d4443a
AB
11600/* Reciprocal functions
11601 *
11602 * The algorithm that must be used to calculate the estimate
5eb70735 11603 * is specified by the ARM ARM, see FPRecipEstimate()/RecipEstimate
fe0e4872 11604 */
b6d4443a 11605
5eb70735
AB
11606/* See RecipEstimate()
11607 *
11608 * input is a 9 bit fixed point number
11609 * input range 256 .. 511 for a number from 0.5 <= x < 1.0.
11610 * result range 256 .. 511 for a number from 1.0 to 511/256.
11611 */
fe0e4872 11612
5eb70735
AB
11613static int recip_estimate(int input)
11614{
11615 int a, b, r;
11616 assert(256 <= input && input < 512);
11617 a = (input * 2) + 1;
11618 b = (1 << 19) / a;
11619 r = (b + 1) >> 1;
11620 assert(256 <= r && r < 512);
11621 return r;
fe0e4872
CL
11622}
11623
5eb70735
AB
11624/*
11625 * Common wrapper to call recip_estimate
11626 *
11627 * The parameters are exponent and 64 bit fraction (without implicit
11628 * bit) where the binary point is nominally at bit 52. Returns a
11629 * float64 which can then be rounded to the appropriate size by the
11630 * callee.
11631 */
11632
11633static uint64_t call_recip_estimate(int *exp, int exp_off, uint64_t frac)
4373f3ce 11634{
5eb70735
AB
11635 uint32_t scaled, estimate;
11636 uint64_t result_frac;
11637 int result_exp;
fe0e4872 11638
5eb70735
AB
11639 /* Handle sub-normals */
11640 if (*exp == 0) {
b6d4443a 11641 if (extract64(frac, 51, 1) == 0) {
5eb70735
AB
11642 *exp = -1;
11643 frac <<= 2;
b6d4443a 11644 } else {
5eb70735 11645 frac <<= 1;
b6d4443a
AB
11646 }
11647 }
fe0e4872 11648
5eb70735
AB
11649 /* scaled = UInt('1':fraction<51:44>) */
11650 scaled = deposit32(1 << 8, 0, 8, extract64(frac, 44, 8));
11651 estimate = recip_estimate(scaled);
b6d4443a 11652
5eb70735
AB
11653 result_exp = exp_off - *exp;
11654 result_frac = deposit64(0, 44, 8, estimate);
11655 if (result_exp == 0) {
11656 result_frac = deposit64(result_frac >> 1, 51, 1, 1);
11657 } else if (result_exp == -1) {
11658 result_frac = deposit64(result_frac >> 2, 50, 2, 1);
11659 result_exp = 0;
b6d4443a
AB
11660 }
11661
5eb70735
AB
11662 *exp = result_exp;
11663
11664 return result_frac;
b6d4443a
AB
11665}
11666
11667static bool round_to_inf(float_status *fpst, bool sign_bit)
11668{
11669 switch (fpst->float_rounding_mode) {
11670 case float_round_nearest_even: /* Round to Nearest */
11671 return true;
11672 case float_round_up: /* Round to +Inf */
11673 return !sign_bit;
11674 case float_round_down: /* Round to -Inf */
11675 return sign_bit;
11676 case float_round_to_zero: /* Round to Zero */
11677 return false;
11678 }
11679
11680 g_assert_not_reached();
11681}
11682
5eb70735
AB
11683float16 HELPER(recpe_f16)(float16 input, void *fpstp)
11684{
11685 float_status *fpst = fpstp;
11686 float16 f16 = float16_squash_input_denormal(input, fpst);
11687 uint32_t f16_val = float16_val(f16);
11688 uint32_t f16_sign = float16_is_neg(f16);
11689 int f16_exp = extract32(f16_val, 10, 5);
11690 uint32_t f16_frac = extract32(f16_val, 0, 10);
11691 uint64_t f64_frac;
11692
11693 if (float16_is_any_nan(f16)) {
11694 float16 nan = f16;
11695 if (float16_is_signaling_nan(f16, fpst)) {
11696 float_raise(float_flag_invalid, fpst);
11697 nan = float16_maybe_silence_nan(f16, fpst);
11698 }
11699 if (fpst->default_nan_mode) {
11700 nan = float16_default_nan(fpst);
11701 }
11702 return nan;
11703 } else if (float16_is_infinity(f16)) {
11704 return float16_set_sign(float16_zero, float16_is_neg(f16));
11705 } else if (float16_is_zero(f16)) {
11706 float_raise(float_flag_divbyzero, fpst);
11707 return float16_set_sign(float16_infinity, float16_is_neg(f16));
11708 } else if (float16_abs(f16) < (1 << 8)) {
11709 /* Abs(value) < 2.0^-16 */
11710 float_raise(float_flag_overflow | float_flag_inexact, fpst);
11711 if (round_to_inf(fpst, f16_sign)) {
11712 return float16_set_sign(float16_infinity, f16_sign);
11713 } else {
11714 return float16_set_sign(float16_maxnorm, f16_sign);
11715 }
11716 } else if (f16_exp >= 29 && fpst->flush_to_zero) {
11717 float_raise(float_flag_underflow, fpst);
11718 return float16_set_sign(float16_zero, float16_is_neg(f16));
11719 }
11720
11721 f64_frac = call_recip_estimate(&f16_exp, 29,
11722 ((uint64_t) f16_frac) << (52 - 10));
11723
11724 /* result = sign : result_exp<4:0> : fraction<51:42> */
11725 f16_val = deposit32(0, 15, 1, f16_sign);
11726 f16_val = deposit32(f16_val, 10, 5, f16_exp);
11727 f16_val = deposit32(f16_val, 0, 10, extract64(f64_frac, 52 - 10, 10));
11728 return make_float16(f16_val);
11729}
11730
b6d4443a
AB
11731float32 HELPER(recpe_f32)(float32 input, void *fpstp)
11732{
11733 float_status *fpst = fpstp;
11734 float32 f32 = float32_squash_input_denormal(input, fpst);
11735 uint32_t f32_val = float32_val(f32);
5eb70735
AB
11736 bool f32_sign = float32_is_neg(f32);
11737 int f32_exp = extract32(f32_val, 23, 8);
b6d4443a 11738 uint32_t f32_frac = extract32(f32_val, 0, 23);
5eb70735 11739 uint64_t f64_frac;
b6d4443a
AB
11740
11741 if (float32_is_any_nan(f32)) {
11742 float32 nan = f32;
af39bc8c 11743 if (float32_is_signaling_nan(f32, fpst)) {
b6d4443a 11744 float_raise(float_flag_invalid, fpst);
af39bc8c 11745 nan = float32_maybe_silence_nan(f32, fpst);
fe0e4872 11746 }
b6d4443a 11747 if (fpst->default_nan_mode) {
af39bc8c 11748 nan = float32_default_nan(fpst);
43fe9bdb 11749 }
b6d4443a
AB
11750 return nan;
11751 } else if (float32_is_infinity(f32)) {
11752 return float32_set_sign(float32_zero, float32_is_neg(f32));
11753 } else if (float32_is_zero(f32)) {
11754 float_raise(float_flag_divbyzero, fpst);
11755 return float32_set_sign(float32_infinity, float32_is_neg(f32));
5eb70735 11756 } else if (float32_abs(f32) < (1ULL << 21)) {
b6d4443a
AB
11757 /* Abs(value) < 2.0^-128 */
11758 float_raise(float_flag_overflow | float_flag_inexact, fpst);
5eb70735
AB
11759 if (round_to_inf(fpst, f32_sign)) {
11760 return float32_set_sign(float32_infinity, f32_sign);
b6d4443a 11761 } else {
5eb70735 11762 return float32_set_sign(float32_maxnorm, f32_sign);
b6d4443a
AB
11763 }
11764 } else if (f32_exp >= 253 && fpst->flush_to_zero) {
11765 float_raise(float_flag_underflow, fpst);
11766 return float32_set_sign(float32_zero, float32_is_neg(f32));
fe0e4872
CL
11767 }
11768
5eb70735
AB
11769 f64_frac = call_recip_estimate(&f32_exp, 253,
11770 ((uint64_t) f32_frac) << (52 - 23));
fe0e4872 11771
5eb70735
AB
11772 /* result = sign : result_exp<7:0> : fraction<51:29> */
11773 f32_val = deposit32(0, 31, 1, f32_sign);
11774 f32_val = deposit32(f32_val, 23, 8, f32_exp);
11775 f32_val = deposit32(f32_val, 0, 23, extract64(f64_frac, 52 - 23, 23));
11776 return make_float32(f32_val);
b6d4443a
AB
11777}
11778
11779float64 HELPER(recpe_f64)(float64 input, void *fpstp)
11780{
11781 float_status *fpst = fpstp;
11782 float64 f64 = float64_squash_input_denormal(input, fpst);
11783 uint64_t f64_val = float64_val(f64);
5eb70735
AB
11784 bool f64_sign = float64_is_neg(f64);
11785 int f64_exp = extract64(f64_val, 52, 11);
11786 uint64_t f64_frac = extract64(f64_val, 0, 52);
b6d4443a
AB
11787
11788 /* Deal with any special cases */
11789 if (float64_is_any_nan(f64)) {
11790 float64 nan = f64;
af39bc8c 11791 if (float64_is_signaling_nan(f64, fpst)) {
b6d4443a 11792 float_raise(float_flag_invalid, fpst);
af39bc8c 11793 nan = float64_maybe_silence_nan(f64, fpst);
b6d4443a
AB
11794 }
11795 if (fpst->default_nan_mode) {
af39bc8c 11796 nan = float64_default_nan(fpst);
b6d4443a
AB
11797 }
11798 return nan;
11799 } else if (float64_is_infinity(f64)) {
11800 return float64_set_sign(float64_zero, float64_is_neg(f64));
11801 } else if (float64_is_zero(f64)) {
11802 float_raise(float_flag_divbyzero, fpst);
11803 return float64_set_sign(float64_infinity, float64_is_neg(f64));
11804 } else if ((f64_val & ~(1ULL << 63)) < (1ULL << 50)) {
11805 /* Abs(value) < 2.0^-1024 */
11806 float_raise(float_flag_overflow | float_flag_inexact, fpst);
5eb70735
AB
11807 if (round_to_inf(fpst, f64_sign)) {
11808 return float64_set_sign(float64_infinity, f64_sign);
b6d4443a 11809 } else {
5eb70735 11810 return float64_set_sign(float64_maxnorm, f64_sign);
b6d4443a 11811 }
fc1792e9 11812 } else if (f64_exp >= 2045 && fpst->flush_to_zero) {
b6d4443a
AB
11813 float_raise(float_flag_underflow, fpst);
11814 return float64_set_sign(float64_zero, float64_is_neg(f64));
11815 }
fe0e4872 11816
5eb70735 11817 f64_frac = call_recip_estimate(&f64_exp, 2045, f64_frac);
fe0e4872 11818
5eb70735
AB
11819 /* result = sign : result_exp<10:0> : fraction<51:0>; */
11820 f64_val = deposit64(0, 63, 1, f64_sign);
11821 f64_val = deposit64(f64_val, 52, 11, f64_exp);
11822 f64_val = deposit64(f64_val, 0, 52, f64_frac);
11823 return make_float64(f64_val);
4373f3ce
PB
11824}
11825
e07be5d2
CL
11826/* The algorithm that must be used to calculate the estimate
11827 * is specified by the ARM ARM.
11828 */
d719cbc7
AB
11829
11830static int do_recip_sqrt_estimate(int a)
11831{
11832 int b, estimate;
11833
11834 assert(128 <= a && a < 512);
11835 if (a < 256) {
11836 a = a * 2 + 1;
e07be5d2 11837 } else {
d719cbc7
AB
11838 a = (a >> 1) << 1;
11839 a = (a + 1) * 2;
11840 }
11841 b = 512;
11842 while (a * (b + 1) * (b + 1) < (1 << 28)) {
11843 b += 1;
11844 }
11845 estimate = (b + 1) / 2;
11846 assert(256 <= estimate && estimate < 512);
11847
11848 return estimate;
11849}
11850
e07be5d2 11851
d719cbc7
AB
11852static uint64_t recip_sqrt_estimate(int *exp , int exp_off, uint64_t frac)
11853{
11854 int estimate;
11855 uint32_t scaled;
e07be5d2 11856
d719cbc7
AB
11857 if (*exp == 0) {
11858 while (extract64(frac, 51, 1) == 0) {
11859 frac = frac << 1;
11860 *exp -= 1;
11861 }
11862 frac = extract64(frac, 0, 51) << 1;
e07be5d2 11863 }
e07be5d2 11864
d719cbc7
AB
11865 if (*exp & 1) {
11866 /* scaled = UInt('01':fraction<51:45>) */
11867 scaled = deposit32(1 << 7, 0, 7, extract64(frac, 45, 7));
11868 } else {
11869 /* scaled = UInt('1':fraction<51:44>) */
11870 scaled = deposit32(1 << 8, 0, 8, extract64(frac, 44, 8));
11871 }
11872 estimate = do_recip_sqrt_estimate(scaled);
e07be5d2 11873
d719cbc7
AB
11874 *exp = (exp_off - *exp) / 2;
11875 return extract64(estimate, 0, 8) << 44;
11876}
11877
11878float16 HELPER(rsqrte_f16)(float16 input, void *fpstp)
11879{
11880 float_status *s = fpstp;
11881 float16 f16 = float16_squash_input_denormal(input, s);
11882 uint16_t val = float16_val(f16);
11883 bool f16_sign = float16_is_neg(f16);
11884 int f16_exp = extract32(val, 10, 5);
11885 uint16_t f16_frac = extract32(val, 0, 10);
11886 uint64_t f64_frac;
11887
11888 if (float16_is_any_nan(f16)) {
11889 float16 nan = f16;
11890 if (float16_is_signaling_nan(f16, s)) {
11891 float_raise(float_flag_invalid, s);
11892 nan = float16_maybe_silence_nan(f16, s);
11893 }
11894 if (s->default_nan_mode) {
11895 nan = float16_default_nan(s);
11896 }
11897 return nan;
11898 } else if (float16_is_zero(f16)) {
11899 float_raise(float_flag_divbyzero, s);
11900 return float16_set_sign(float16_infinity, f16_sign);
11901 } else if (f16_sign) {
11902 float_raise(float_flag_invalid, s);
11903 return float16_default_nan(s);
11904 } else if (float16_is_infinity(f16)) {
11905 return float16_zero;
11906 }
11907
11908 /* Scale and normalize to a double-precision value between 0.25 and 1.0,
11909 * preserving the parity of the exponent. */
11910
11911 f64_frac = ((uint64_t) f16_frac) << (52 - 10);
11912
11913 f64_frac = recip_sqrt_estimate(&f16_exp, 44, f64_frac);
11914
11915 /* result = sign : result_exp<4:0> : estimate<7:0> : Zeros(2) */
11916 val = deposit32(0, 15, 1, f16_sign);
11917 val = deposit32(val, 10, 5, f16_exp);
11918 val = deposit32(val, 2, 8, extract64(f64_frac, 52 - 8, 8));
11919 return make_float16(val);
e07be5d2
CL
11920}
11921
c2fb418e 11922float32 HELPER(rsqrte_f32)(float32 input, void *fpstp)
4373f3ce 11923{
c2fb418e
AB
11924 float_status *s = fpstp;
11925 float32 f32 = float32_squash_input_denormal(input, s);
11926 uint32_t val = float32_val(f32);
d719cbc7
AB
11927 uint32_t f32_sign = float32_is_neg(f32);
11928 int f32_exp = extract32(val, 23, 8);
c2fb418e
AB
11929 uint32_t f32_frac = extract32(val, 0, 23);
11930 uint64_t f64_frac;
e07be5d2 11931
c2fb418e
AB
11932 if (float32_is_any_nan(f32)) {
11933 float32 nan = f32;
af39bc8c 11934 if (float32_is_signaling_nan(f32, s)) {
e07be5d2 11935 float_raise(float_flag_invalid, s);
af39bc8c 11936 nan = float32_maybe_silence_nan(f32, s);
e07be5d2 11937 }
c2fb418e 11938 if (s->default_nan_mode) {
af39bc8c 11939 nan = float32_default_nan(s);
43fe9bdb 11940 }
c2fb418e
AB
11941 return nan;
11942 } else if (float32_is_zero(f32)) {
e07be5d2 11943 float_raise(float_flag_divbyzero, s);
c2fb418e
AB
11944 return float32_set_sign(float32_infinity, float32_is_neg(f32));
11945 } else if (float32_is_neg(f32)) {
e07be5d2 11946 float_raise(float_flag_invalid, s);
af39bc8c 11947 return float32_default_nan(s);
c2fb418e 11948 } else if (float32_is_infinity(f32)) {
e07be5d2
CL
11949 return float32_zero;
11950 }
11951
c2fb418e 11952 /* Scale and normalize to a double-precision value between 0.25 and 1.0,
e07be5d2 11953 * preserving the parity of the exponent. */
c2fb418e
AB
11954
11955 f64_frac = ((uint64_t) f32_frac) << 29;
e07be5d2 11956
d719cbc7 11957 f64_frac = recip_sqrt_estimate(&f32_exp, 380, f64_frac);
e07be5d2 11958
d719cbc7
AB
11959 /* result = sign : result_exp<4:0> : estimate<7:0> : Zeros(15) */
11960 val = deposit32(0, 31, 1, f32_sign);
11961 val = deposit32(val, 23, 8, f32_exp);
11962 val = deposit32(val, 15, 8, extract64(f64_frac, 52 - 8, 8));
e07be5d2 11963 return make_float32(val);
4373f3ce
PB
11964}
11965
c2fb418e
AB
11966float64 HELPER(rsqrte_f64)(float64 input, void *fpstp)
11967{
11968 float_status *s = fpstp;
11969 float64 f64 = float64_squash_input_denormal(input, s);
11970 uint64_t val = float64_val(f64);
d719cbc7
AB
11971 bool f64_sign = float64_is_neg(f64);
11972 int f64_exp = extract64(val, 52, 11);
c2fb418e 11973 uint64_t f64_frac = extract64(val, 0, 52);
c2fb418e
AB
11974
11975 if (float64_is_any_nan(f64)) {
11976 float64 nan = f64;
af39bc8c 11977 if (float64_is_signaling_nan(f64, s)) {
c2fb418e 11978 float_raise(float_flag_invalid, s);
af39bc8c 11979 nan = float64_maybe_silence_nan(f64, s);
c2fb418e
AB
11980 }
11981 if (s->default_nan_mode) {
af39bc8c 11982 nan = float64_default_nan(s);
c2fb418e
AB
11983 }
11984 return nan;
11985 } else if (float64_is_zero(f64)) {
11986 float_raise(float_flag_divbyzero, s);
11987 return float64_set_sign(float64_infinity, float64_is_neg(f64));
11988 } else if (float64_is_neg(f64)) {
11989 float_raise(float_flag_invalid, s);
af39bc8c 11990 return float64_default_nan(s);
c2fb418e
AB
11991 } else if (float64_is_infinity(f64)) {
11992 return float64_zero;
11993 }
11994
d719cbc7 11995 f64_frac = recip_sqrt_estimate(&f64_exp, 3068, f64_frac);
c2fb418e 11996
d719cbc7
AB
11997 /* result = sign : result_exp<4:0> : estimate<7:0> : Zeros(44) */
11998 val = deposit64(0, 61, 1, f64_sign);
11999 val = deposit64(val, 52, 11, f64_exp);
12000 val = deposit64(val, 44, 8, extract64(f64_frac, 52 - 8, 8));
12001 return make_float64(val);
c2fb418e
AB
12002}
12003
b6d4443a 12004uint32_t HELPER(recpe_u32)(uint32_t a, void *fpstp)
4373f3ce 12005{
5eb70735
AB
12006 /* float_status *s = fpstp; */
12007 int input, estimate;
fe0e4872
CL
12008
12009 if ((a & 0x80000000) == 0) {
12010 return 0xffffffff;
12011 }
12012
5eb70735
AB
12013 input = extract32(a, 23, 9);
12014 estimate = recip_estimate(input);
fe0e4872 12015
5eb70735 12016 return deposit32(0, (32 - 9), 9, estimate);
4373f3ce
PB
12017}
12018
c2fb418e 12019uint32_t HELPER(rsqrte_u32)(uint32_t a, void *fpstp)
4373f3ce 12020{
d719cbc7 12021 int estimate;
e07be5d2
CL
12022
12023 if ((a & 0xc0000000) == 0) {
12024 return 0xffffffff;
12025 }
12026
d719cbc7 12027 estimate = do_recip_sqrt_estimate(extract32(a, 23, 9));
e07be5d2 12028
d719cbc7 12029 return deposit32(0, 23, 9, estimate);
4373f3ce 12030}
fe1479c3 12031
da97f52c
PM
12032/* VFPv4 fused multiply-accumulate */
12033float32 VFP_HELPER(muladd, s)(float32 a, float32 b, float32 c, void *fpstp)
12034{
12035 float_status *fpst = fpstp;
12036 return float32_muladd(a, b, c, 0, fpst);
12037}
12038
12039float64 VFP_HELPER(muladd, d)(float64 a, float64 b, float64 c, void *fpstp)
12040{
12041 float_status *fpst = fpstp;
12042 return float64_muladd(a, b, c, 0, fpst);
12043}
d9b0848d
PM
12044
12045/* ARMv8 round to integral */
12046float32 HELPER(rints_exact)(float32 x, void *fp_status)
12047{
12048 return float32_round_to_int(x, fp_status);
12049}
12050
12051float64 HELPER(rintd_exact)(float64 x, void *fp_status)
12052{
12053 return float64_round_to_int(x, fp_status);
12054}
12055
12056float32 HELPER(rints)(float32 x, void *fp_status)
12057{
12058 int old_flags = get_float_exception_flags(fp_status), new_flags;
12059 float32 ret;
12060
12061 ret = float32_round_to_int(x, fp_status);
12062
12063 /* Suppress any inexact exceptions the conversion produced */
12064 if (!(old_flags & float_flag_inexact)) {
12065 new_flags = get_float_exception_flags(fp_status);
12066 set_float_exception_flags(new_flags & ~float_flag_inexact, fp_status);
12067 }
12068
12069 return ret;
12070}
12071
12072float64 HELPER(rintd)(float64 x, void *fp_status)
12073{
12074 int old_flags = get_float_exception_flags(fp_status), new_flags;
12075 float64 ret;
12076
12077 ret = float64_round_to_int(x, fp_status);
12078
12079 new_flags = get_float_exception_flags(fp_status);
12080
12081 /* Suppress any inexact exceptions the conversion produced */
12082 if (!(old_flags & float_flag_inexact)) {
12083 new_flags = get_float_exception_flags(fp_status);
12084 set_float_exception_flags(new_flags & ~float_flag_inexact, fp_status);
12085 }
12086
12087 return ret;
12088}
9972da66
WN
12089
12090/* Convert ARM rounding mode to softfloat */
12091int arm_rmode_to_sf(int rmode)
12092{
12093 switch (rmode) {
12094 case FPROUNDING_TIEAWAY:
12095 rmode = float_round_ties_away;
12096 break;
12097 case FPROUNDING_ODD:
12098 /* FIXME: add support for TIEAWAY and ODD */
12099 qemu_log_mask(LOG_UNIMP, "arm: unimplemented rounding mode: %d\n",
12100 rmode);
12101 case FPROUNDING_TIEEVEN:
12102 default:
12103 rmode = float_round_nearest_even;
12104 break;
12105 case FPROUNDING_POSINF:
12106 rmode = float_round_up;
12107 break;
12108 case FPROUNDING_NEGINF:
12109 rmode = float_round_down;
12110 break;
12111 case FPROUNDING_ZERO:
12112 rmode = float_round_to_zero;
12113 break;
12114 }
12115 return rmode;
12116}
eb0ecd5a 12117
aa633469
PM
12118/* CRC helpers.
12119 * The upper bytes of val (above the number specified by 'bytes') must have
12120 * been zeroed out by the caller.
12121 */
eb0ecd5a
WN
12122uint32_t HELPER(crc32)(uint32_t acc, uint32_t val, uint32_t bytes)
12123{
12124 uint8_t buf[4];
12125
aa633469 12126 stl_le_p(buf, val);
eb0ecd5a
WN
12127
12128 /* zlib crc32 converts the accumulator and output to one's complement. */
12129 return crc32(acc ^ 0xffffffff, buf, bytes) ^ 0xffffffff;
12130}
12131
12132uint32_t HELPER(crc32c)(uint32_t acc, uint32_t val, uint32_t bytes)
12133{
12134 uint8_t buf[4];
12135
aa633469 12136 stl_le_p(buf, val);
eb0ecd5a
WN
12137
12138 /* Linux crc32c converts the output to one's complement. */
12139 return crc32c(acc, buf, bytes) ^ 0xffffffff;
12140}
a9e01311
RH
12141
12142/* Return the exception level to which FP-disabled exceptions should
12143 * be taken, or 0 if FP is enabled.
12144 */
12145static inline int fp_exception_el(CPUARMState *env)
12146{
55faa212 12147#ifndef CONFIG_USER_ONLY
a9e01311
RH
12148 int fpen;
12149 int cur_el = arm_current_el(env);
12150
12151 /* CPACR and the CPTR registers don't exist before v6, so FP is
12152 * always accessible
12153 */
12154 if (!arm_feature(env, ARM_FEATURE_V6)) {
12155 return 0;
12156 }
12157
12158 /* The CPACR controls traps to EL1, or PL1 if we're 32 bit:
12159 * 0, 2 : trap EL0 and EL1/PL1 accesses
12160 * 1 : trap only EL0 accesses
12161 * 3 : trap no accesses
12162 */
12163 fpen = extract32(env->cp15.cpacr_el1, 20, 2);
12164 switch (fpen) {
12165 case 0:
12166 case 2:
12167 if (cur_el == 0 || cur_el == 1) {
12168 /* Trap to PL1, which might be EL1 or EL3 */
12169 if (arm_is_secure(env) && !arm_el_is_aa64(env, 3)) {
12170 return 3;
12171 }
12172 return 1;
12173 }
12174 if (cur_el == 3 && !is_a64(env)) {
12175 /* Secure PL1 running at EL3 */
12176 return 3;
12177 }
12178 break;
12179 case 1:
12180 if (cur_el == 0) {
12181 return 1;
12182 }
12183 break;
12184 case 3:
12185 break;
12186 }
12187
12188 /* For the CPTR registers we don't need to guard with an ARM_FEATURE
12189 * check because zero bits in the registers mean "don't trap".
12190 */
12191
12192 /* CPTR_EL2 : present in v7VE or v8 */
12193 if (cur_el <= 2 && extract32(env->cp15.cptr_el[2], 10, 1)
12194 && !arm_is_secure_below_el3(env)) {
12195 /* Trap FP ops at EL2, NS-EL1 or NS-EL0 to EL2 */
12196 return 2;
12197 }
12198
12199 /* CPTR_EL3 : present in v8 */
12200 if (extract32(env->cp15.cptr_el[3], 10, 1)) {
12201 /* Trap all FP ops to EL3 */
12202 return 3;
12203 }
55faa212 12204#endif
a9e01311
RH
12205 return 0;
12206}
12207
12208void cpu_get_tb_cpu_state(CPUARMState *env, target_ulong *pc,
b9adaa70 12209 target_ulong *cs_base, uint32_t *pflags)
a9e01311
RH
12210{
12211 ARMMMUIdx mmu_idx = core_to_arm_mmu_idx(env, cpu_mmu_index(env, false));
1db5e96c 12212 int fp_el = fp_exception_el(env);
b9adaa70
RH
12213 uint32_t flags;
12214
a9e01311 12215 if (is_a64(env)) {
1db5e96c
RH
12216 int sve_el = sve_exception_el(env);
12217 uint32_t zcr_len;
12218
a9e01311 12219 *pc = env->pc;
b9adaa70 12220 flags = ARM_TBFLAG_AARCH64_STATE_MASK;
a9e01311 12221 /* Get control bits for tagged addresses */
b9adaa70
RH
12222 flags |= (arm_regime_tbi0(env, mmu_idx) << ARM_TBFLAG_TBI0_SHIFT);
12223 flags |= (arm_regime_tbi1(env, mmu_idx) << ARM_TBFLAG_TBI1_SHIFT);
1db5e96c
RH
12224 flags |= sve_el << ARM_TBFLAG_SVEEXC_EL_SHIFT;
12225
12226 /* If SVE is disabled, but FP is enabled,
12227 then the effective len is 0. */
12228 if (sve_el != 0 && fp_el == 0) {
12229 zcr_len = 0;
12230 } else {
12231 int current_el = arm_current_el(env);
12232
12233 zcr_len = env->vfp.zcr_el[current_el <= 1 ? 1 : current_el];
12234 zcr_len &= 0xf;
12235 if (current_el < 2 && arm_feature(env, ARM_FEATURE_EL2)) {
12236 zcr_len = MIN(zcr_len, 0xf & (uint32_t)env->vfp.zcr_el[2]);
12237 }
12238 if (current_el < 3 && arm_feature(env, ARM_FEATURE_EL3)) {
12239 zcr_len = MIN(zcr_len, 0xf & (uint32_t)env->vfp.zcr_el[3]);
12240 }
12241 }
12242 flags |= zcr_len << ARM_TBFLAG_ZCR_LEN_SHIFT;
a9e01311
RH
12243 } else {
12244 *pc = env->regs[15];
b9adaa70 12245 flags = (env->thumb << ARM_TBFLAG_THUMB_SHIFT)
a9e01311
RH
12246 | (env->vfp.vec_len << ARM_TBFLAG_VECLEN_SHIFT)
12247 | (env->vfp.vec_stride << ARM_TBFLAG_VECSTRIDE_SHIFT)
12248 | (env->condexec_bits << ARM_TBFLAG_CONDEXEC_SHIFT)
12249 | (arm_sctlr_b(env) << ARM_TBFLAG_SCTLR_B_SHIFT);
12250 if (!(access_secure_reg(env))) {
b9adaa70 12251 flags |= ARM_TBFLAG_NS_MASK;
a9e01311
RH
12252 }
12253 if (env->vfp.xregs[ARM_VFP_FPEXC] & (1 << 30)
12254 || arm_el_is_aa64(env, 1)) {
b9adaa70 12255 flags |= ARM_TBFLAG_VFPEN_MASK;
a9e01311 12256 }
b9adaa70
RH
12257 flags |= (extract32(env->cp15.c15_cpar, 0, 2)
12258 << ARM_TBFLAG_XSCALE_CPAR_SHIFT);
a9e01311
RH
12259 }
12260
b9adaa70 12261 flags |= (arm_to_core_mmu_idx(mmu_idx) << ARM_TBFLAG_MMUIDX_SHIFT);
a9e01311
RH
12262
12263 /* The SS_ACTIVE and PSTATE_SS bits correspond to the state machine
12264 * states defined in the ARM ARM for software singlestep:
12265 * SS_ACTIVE PSTATE.SS State
12266 * 0 x Inactive (the TB flag for SS is always 0)
12267 * 1 0 Active-pending
12268 * 1 1 Active-not-pending
12269 */
12270 if (arm_singlestep_active(env)) {
b9adaa70 12271 flags |= ARM_TBFLAG_SS_ACTIVE_MASK;
a9e01311
RH
12272 if (is_a64(env)) {
12273 if (env->pstate & PSTATE_SS) {
b9adaa70 12274 flags |= ARM_TBFLAG_PSTATE_SS_MASK;
a9e01311
RH
12275 }
12276 } else {
12277 if (env->uncached_cpsr & PSTATE_SS) {
b9adaa70 12278 flags |= ARM_TBFLAG_PSTATE_SS_MASK;
a9e01311
RH
12279 }
12280 }
12281 }
12282 if (arm_cpu_data_is_big_endian(env)) {
b9adaa70 12283 flags |= ARM_TBFLAG_BE_DATA_MASK;
a9e01311 12284 }
1db5e96c 12285 flags |= fp_el << ARM_TBFLAG_FPEXC_EL_SHIFT;
a9e01311
RH
12286
12287 if (arm_v7m_is_handler_mode(env)) {
b9adaa70 12288 flags |= ARM_TBFLAG_HANDLER_MASK;
a9e01311
RH
12289 }
12290
b9adaa70 12291 *pflags = flags;
a9e01311
RH
12292 *cs_base = 0;
12293}