]> git.proxmox.com Git - ceph.git/blame - ceph/src/spdk/intel-ipsec-mb/libIPSec_MB.def
import 15.2.0 Octopus source
[ceph.git] / ceph / src / spdk / intel-ipsec-mb / libIPSec_MB.def
CommitLineData
11fdf7f2
TL
1; Copyright (c) 2017-2018, Intel Corporation
2;
3; Redistribution and use in source and binary forms, with or without
4; modification, are permitted provided that the following conditions are met:
5;
6; * Redistributions of source code must retain the above copyright notice,
7; this list of conditions and the following disclaimer.
8; * Redistributions in binary form must reproduce the above copyright
9; notice, this list of conditions and the following disclaimer in the
10; documentation and/or other materials provided with the distribution.
11; * Neither the name of Intel Corporation nor the names of its contributors
12; may be used to endorse or promote products derived from this software
13; without specific prior written permission.
14;
15; THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16; AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17; IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18; DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
19; FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20; DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
21; SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
22; CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
23; OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
24; OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
25LIBRARY libIPSec_MB.dll
26EXPORTS
27 aes_gcm_dec_128_avx_gen2 @1
28 aes_gcm_dec_128_avx_gen4 @2
29 aes_gcm_dec_128_finalize_avx_gen2 @3
30 aes_gcm_dec_128_finalize_avx_gen4 @4
31 aes_gcm_dec_128_finalize_sse @5
32 aes_gcm_dec_128_sse @6
33 aes_gcm_dec_128_update_avx_gen2 @7
34 aes_gcm_dec_128_update_avx_gen4 @8
35 aes_gcm_dec_128_update_sse @9
36 aes_gcm_dec_192_avx_gen2 @10
37 aes_gcm_dec_192_avx_gen4 @11
38 aes_gcm_dec_192_finalize_avx_gen2 @12
39 aes_gcm_dec_192_finalize_avx_gen4 @13
40 aes_gcm_dec_192_finalize_sse @14
41 aes_gcm_dec_192_sse @15
42 aes_gcm_dec_192_update_avx_gen2 @16
43 aes_gcm_dec_192_update_avx_gen4 @17
44 aes_gcm_dec_192_update_sse @18
45 aes_gcm_dec_256_avx_gen2 @19
46 aes_gcm_dec_256_avx_gen4 @20
47 aes_gcm_dec_256_finalize_avx_gen2 @21
48 aes_gcm_dec_256_finalize_avx_gen4 @22
49 aes_gcm_dec_256_finalize_sse @23
50 aes_gcm_dec_256_sse @24
51 aes_gcm_dec_256_update_avx_gen2 @25
52 aes_gcm_dec_256_update_avx_gen4 @26
53 aes_gcm_dec_256_update_sse @27
54 aes_gcm_enc_128_avx_gen2 @28
55 aes_gcm_enc_128_avx_gen4 @29
56 aes_gcm_enc_128_finalize_avx_gen2 @30
57 aes_gcm_enc_128_finalize_avx_gen4 @31
58 aes_gcm_enc_128_finalize_sse @32
59 aes_gcm_enc_128_sse @33
60 aes_gcm_enc_128_update_avx_gen2 @34
61 aes_gcm_enc_128_update_avx_gen4 @35
62 aes_gcm_enc_128_update_sse @36
63 aes_gcm_enc_192_avx_gen2 @37
64 aes_gcm_enc_192_avx_gen4 @38
65 aes_gcm_enc_192_finalize_avx_gen2 @39
66 aes_gcm_enc_192_finalize_avx_gen4 @40
67 aes_gcm_enc_192_finalize_sse @41
68 aes_gcm_enc_192_sse @42
69 aes_gcm_enc_192_update_avx_gen2 @43
70 aes_gcm_enc_192_update_avx_gen4 @44
71 aes_gcm_enc_192_update_sse @45
72 aes_gcm_enc_256_avx_gen2 @46
73 aes_gcm_enc_256_avx_gen4 @47
74 aes_gcm_enc_256_finalize_avx_gen2 @48
75 aes_gcm_enc_256_finalize_avx_gen4 @49
76 aes_gcm_enc_256_finalize_sse @50
77 aes_gcm_enc_256_sse @51
78 aes_gcm_enc_256_update_avx_gen2 @52
79 aes_gcm_enc_256_update_avx_gen4 @53
80 aes_gcm_enc_256_update_sse @54
81 aes_gcm_init_128_avx_gen2 @55
82 aes_gcm_init_128_avx_gen4 @56
83 aes_gcm_init_128_sse @57
84 aes_gcm_init_192_avx_gen2 @58
85 aes_gcm_init_192_avx_gen4 @59
86 aes_gcm_init_192_sse @60
87 aes_gcm_init_256_avx_gen2 @61
88 aes_gcm_init_256_avx_gen4 @62
89 aes_gcm_init_256_sse @63
90 aes_gcm_precomp_128_avx_gen2 @64
91 aes_gcm_precomp_128_avx_gen4 @65
92 aes_gcm_precomp_128_sse @66
93 aes_gcm_precomp_192_avx_gen2 @67
94 aes_gcm_precomp_192_avx_gen4 @68
95 aes_gcm_precomp_192_sse @69
96 aes_gcm_precomp_256_avx_gen2 @70
97 aes_gcm_precomp_256_avx_gen4 @71
98 aes_gcm_precomp_256_sse @72
99 aes_keyexp_128_avx @73
100 aes_keyexp_128_enc_avx @74
101 aes_keyexp_128_enc_sse @75
102 aes_keyexp_128_sse @76
103 aes_keyexp_192_avx @77
104 aes_keyexp_192_enc_avx @78
105 aes_keyexp_192_enc_sse @79
106 aes_keyexp_192_sse @80
107 aes_keyexp_256_avx @81
108 aes_keyexp_256_enc_avx @82
109 aes_keyexp_256_enc_sse @83
110 aes_keyexp_256_sse @84
111 aes_xcbc_expand_key_avx @85
112 aes_xcbc_expand_key_sse @86
113 des_key_schedule @87
114 flush_job_avx @88
115 flush_job_avx2 @89
116 flush_job_avx512 @90
117 flush_job_sse @91
118 init_mb_mgr_avx @92
119 init_mb_mgr_avx2 @93
120 init_mb_mgr_avx512 @94
121 init_mb_mgr_sse @95
122 md5_one_block_sse @96
123 queue_size_avx @97
124 queue_size_avx2 @98
125 queue_size_avx512 @99
126 queue_size_sse @100
127 sha1_one_block_avx @101
128 sha1_one_block_sse @102
129 sha224_one_block_avx @103
130 sha224_one_block_sse @104
131 sha256_one_block_avx @105
132 sha256_one_block_sse @106
133 sha384_one_block_avx @107
134 sha384_one_block_sse @108
135 sha512_one_block_avx @109
136 sha512_one_block_sse @110
137; sse_sha_ext_usage @111 ## deprecated
138 submit_job_avx @112
139 submit_job_avx2 @113
140 submit_job_avx512 @114
141 submit_job_nocheck_avx @115
142 submit_job_nocheck_avx2 @116
143 submit_job_nocheck_avx512 @117
144 submit_job_nocheck_sse @118
145 submit_job_sse @119
146 aes_cmac_subkey_gen_sse @120
147 aes_cmac_subkey_gen_avx @121
148 alloc_mb_mgr @122
149 free_mb_mgr @123
150 aes_cfb_128_one_sse @124
151 aes_cfb_128_one_avx @125
9f95a23c
TL
152 sha1_sse @126
153 sha1_avx @127
154 sha1_avx2 @128
155 sha1_avx512 @129
156 sha1_one_block_avx2 @130
157 sha1_one_block_avx512 @131
158 md5_one_block_avx @132
159 md5_one_block_avx2 @133
160 md5_one_block_avx512 @134
161 sha224_one_block_avx2 @135
162 sha224_one_block_avx512 @136
163 sha256_one_block_avx2 @137
164 sha256_one_block_avx512 @138
165 sha384_one_block_avx2 @139
166 sha384_one_block_avx512 @140
167 sha512_one_block_avx2 @141
168 sha512_one_block_avx512 @142
169 get_next_job_sse @143
170 get_next_job_avx @144
171 get_next_job_avx2 @145
172 get_next_job_avx512 @146
173 get_completed_job_sse @147
174 get_completed_job_avx @148
175 get_completed_job_avx2 @149
176 get_completed_job_avx512 @150
177 aes_keyexp_128_enc_avx2 @151
178 aes_keyexp_128_enc_avx512 @152
179 aes_keyexp_192_enc_avx2 @153
180 aes_keyexp_192_enc_avx512 @154
181 aes_keyexp_256_enc_avx2 @155
182 aes_keyexp_256_enc_avx512 @156
183 aes_xcbc_expand_key_avx2 @157
184 aes_xcbc_expand_key_avx512 @158
185 aes_cmac_subkey_gen_avx2 @159
186 aes_cmac_subkey_gen_avx512 @160
187 aes_cfb_128_one_avx2 @161
188 aes_cfb_128_one_avx512 @162
189 aes_keyexp_128_avx2 @163
190 aes_keyexp_128_avx512 @164
191 aes_keyexp_192_avx2 @165
192 aes_keyexp_192_avx512 @166
193 aes_keyexp_256_avx2 @167
194 aes_keyexp_256_avx512 @168
195 imb_get_version_str @169
196 imb_get_version @170
197 init_mb_mgr_sse_no_aesni @171
198 submit_job_sse_no_aesni @172
199 submit_job_nocheck_sse_no_aesni @173
200 flush_job_sse_no_aesni @174
201 queue_size_sse_no_aesni @175
202 get_completed_job_sse_no_aesni @176
203 get_next_job_sse_no_aesni @177
204 aes_cfb_128_one_sse_no_aesni @178
205 aes_gcm_dec_128_finalize_sse_no_aesni @179
206 aes_gcm_dec_128_sse_no_aesni @180
207 aes_gcm_dec_128_update_sse_no_aesni @181
208 aes_gcm_dec_192_finalize_sse_no_aesni @182
209 aes_gcm_dec_192_sse_no_aesni @183
210 aes_gcm_dec_192_update_sse_no_aesni @184
211 aes_gcm_dec_256_finalize_sse_no_aesni @185
212 aes_gcm_dec_256_sse_no_aesni @186
213 aes_gcm_dec_256_update_sse_no_aesni @187
214 aes_gcm_enc_128_finalize_sse_no_aesni @188
215 aes_gcm_enc_128_sse_no_aesni @189
216 aes_gcm_enc_128_update_sse_no_aesni @190
217 aes_gcm_enc_192_finalize_sse_no_aesni @191
218 aes_gcm_enc_192_sse_no_aesni @192
219 aes_gcm_enc_192_update_sse_no_aesni @193
220 aes_gcm_enc_256_finalize_sse_no_aesni @194
221 aes_gcm_enc_256_sse_no_aesni @195
222 aes_gcm_enc_256_update_sse_no_aesni @196
223 aes_gcm_init_128_sse_no_aesni @197
224 aes_gcm_init_192_sse_no_aesni @198
225 aes_gcm_init_256_sse_no_aesni @199
226 aes_gcm_precomp_128_sse_no_aesni @200
227 aes_gcm_precomp_192_sse_no_aesni @201
228 aes_gcm_precomp_256_sse_no_aesni @202
229 aes_keyexp_128_enc_sse_no_aesni @203
230 aes_keyexp_128_sse_no_aesni @204
231 aes_keyexp_192_enc_sse_no_aesni @205
232 aes_keyexp_192_sse_no_aesni @206
233 aes_keyexp_256_enc_sse_no_aesni @207
234 aes_keyexp_256_sse_no_aesni @208
235 aes_xcbc_expand_key_sse_no_aesni @209
236 aes_cmac_subkey_gen_sse_no_aesni @210
237 aes_gcm_pre_128_sse_no_aesni @211
238 aes_gcm_pre_192_sse_no_aesni @212
239 aes_gcm_pre_256_sse_no_aesni @213
240 aes_gcm_pre_128_sse @214
241 aes_gcm_pre_192_sse @215
242 aes_gcm_pre_256_sse @216
243 aes_gcm_pre_128_avx_gen2 @217
244 aes_gcm_pre_192_avx_gen2 @218
245 aes_gcm_pre_256_avx_gen2 @219
246 aes_gcm_pre_128_avx_gen4 @220
247 aes_gcm_pre_192_avx_gen4 @221
248 aes_gcm_pre_256_avx_gen4 @222
249 aes_gcm_pre_128_avx512 @223
250 aes_gcm_pre_192_avx512 @224
251 aes_gcm_pre_256_avx512 @225
252 aes_gcm_pre_128_vaes_avx512 @226
253 aes_gcm_pre_192_vaes_avx512 @227
254 aes_gcm_pre_256_vaes_avx512 @228
255 aes_gcm_dec_128_finalize_avx512 @229
256 aes_gcm_dec_128_avx512 @230
257 aes_gcm_dec_128_update_avx512 @231
258 aes_gcm_dec_192_finalize_avx512 @232
259 aes_gcm_dec_192_avx512 @233
260 aes_gcm_dec_192_update_avx512 @234
261 aes_gcm_dec_256_finalize_avx512 @235
262 aes_gcm_dec_256_avx512 @236
263 aes_gcm_dec_256_update_avx512 @237
264 aes_gcm_enc_128_finalize_avx512 @238
265 aes_gcm_enc_128_avx512 @239
266 aes_gcm_enc_128_update_avx512 @240
267 aes_gcm_enc_192_finalize_avx512 @241
268 aes_gcm_enc_192_avx512 @242
269 aes_gcm_enc_192_update_avx512 @243
270 aes_gcm_enc_256_finalize_avx512 @244
271 aes_gcm_enc_256_avx512 @245
272 aes_gcm_enc_256_update_avx512 @246
273 aes_gcm_init_128_avx512 @247
274 aes_gcm_init_192_avx512 @248
275 aes_gcm_init_256_avx512 @249
276 aes_gcm_precomp_128_avx512 @250
277 aes_gcm_precomp_192_avx512 @251
278 aes_gcm_precomp_256_avx512 @252
279 aes_gcm_dec_128_finalize_vaes_avx512 @253
280 aes_gcm_dec_128_vaes_avx512 @254
281 aes_gcm_dec_128_update_vaes_avx512 @255
282 aes_gcm_dec_192_finalize_vaes_avx512 @256
283 aes_gcm_dec_192_vaes_avx512 @257
284 aes_gcm_dec_192_update_vaes_avx512 @258
285 aes_gcm_dec_256_finalize_vaes_avx512 @259
286 aes_gcm_dec_256_vaes_avx512 @260
287 aes_gcm_dec_256_update_vaes_avx512 @261
288 aes_gcm_enc_128_finalize_vaes_avx512 @262
289 aes_gcm_enc_128_vaes_avx512 @263
290 aes_gcm_enc_128_update_vaes_avx512 @264
291 aes_gcm_enc_192_finalize_vaes_avx512 @265
292 aes_gcm_enc_192_vaes_avx512 @266
293 aes_gcm_enc_192_update_vaes_avx512 @267
294 aes_gcm_enc_256_finalize_vaes_avx512 @268
295 aes_gcm_enc_256_vaes_avx512 @269
296 aes_gcm_enc_256_update_vaes_avx512 @270
297 aes_gcm_init_128_vaes_avx512 @271
298 aes_gcm_init_192_vaes_avx512 @272
299 aes_gcm_init_256_vaes_avx512 @273
300 aes_gcm_precomp_128_vaes_avx512 @274
301 aes_gcm_precomp_192_vaes_avx512 @275
302 aes_gcm_precomp_256_vaes_avx512 @276