]> git.proxmox.com Git - mirror_qemu.git/blame - hw/sparc/sun4m.c
Merge remote-tracking branch 'remotes/kraxel/tags/audio-20190110-pull-request' into...
[mirror_qemu.git] / hw / sparc / sun4m.c
CommitLineData
420557e8 1/*
ee76f82e 2 * QEMU Sun4m & Sun4d & Sun4c System Emulator
5fafdf24 3 *
b81b3b10 4 * Copyright (c) 2003-2005 Fabrice Bellard
5fafdf24 5 *
420557e8
FB
6 * Permission is hereby granted, free of charge, to any person obtaining a copy
7 * of this software and associated documentation files (the "Software"), to deal
8 * in the Software without restriction, including without limitation the rights
9 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
10 * copies of the Software, and to permit persons to whom the Software is
11 * furnished to do so, subject to the following conditions:
12 *
13 * The above copyright notice and this permission notice shall be included in
14 * all copies or substantial portions of the Software.
15 *
16 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
17 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
18 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
19 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
20 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
21 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
22 * THE SOFTWARE.
23 */
db5ebe5f 24#include "qemu/osdep.h"
0a2e467b 25#include "qemu/units.h"
da34e65c 26#include "qapi/error.h"
4771d756
PB
27#include "qemu-common.h"
28#include "cpu.h"
83c9f4ca 29#include "hw/sysbus.h"
af87bf29 30#include "qemu/error-report.h"
1de7afc9 31#include "qemu/timer.h"
1527f488 32#include "hw/sparc/sun4m_iommu.h"
0d09e41a
PB
33#include "hw/timer/m48t59.h"
34#include "hw/sparc/sparc32_dma.h"
35#include "hw/block/fdc.h"
9c17d615 36#include "sysemu/sysemu.h"
1422e32d 37#include "net/net.h"
83c9f4ca 38#include "hw/boards.h"
0d09e41a 39#include "hw/scsi/esp.h"
c6363bae 40#include "hw/nvram/sun_nvram.h"
2024c014 41#include "hw/nvram/chrp_nvram.h"
0d09e41a
PB
42#include "hw/nvram/fw_cfg.h"
43#include "hw/char/escc.h"
83c9f4ca 44#include "hw/empty_slot.h"
83c9f4ca 45#include "hw/loader.h"
ca20cf32 46#include "elf.h"
97bf4851 47#include "trace.h"
420557e8 48
36cd9210
BS
49/*
50 * Sun4m architecture was used in the following machines:
51 *
52 * SPARCserver 6xxMP/xx
77f193da
BS
53 * SPARCclassic (SPARCclassic Server)(SPARCstation LC) (4/15),
54 * SPARCclassic X (4/10)
36cd9210
BS
55 * SPARCstation LX/ZX (4/30)
56 * SPARCstation Voyager
57 * SPARCstation 10/xx, SPARCserver 10/xx
58 * SPARCstation 5, SPARCserver 5
59 * SPARCstation 20/xx, SPARCserver 20
60 * SPARCstation 4
61 *
62 * See for example: http://www.sunhelp.org/faq/sunref1.html
63 */
64
420557e8 65#define KERNEL_LOAD_ADDR 0x00004000
b6f479d3 66#define CMDLINE_ADDR 0x007ff000
713c45fa 67#define INITRD_LOAD_ADDR 0x00800000
0a2e467b 68#define PROM_SIZE_MAX (1 * MiB)
40ce0a9a 69#define PROM_VADDR 0xffd00000
f930d07e 70#define PROM_FILENAME "openbios-sparc32"
3cce6243 71#define CFG_ADDR 0xd00000510ULL
fbfcf955 72#define FW_CFG_SUN4M_DEPTH (FW_CFG_ARCH_LOCAL + 0x00)
b96919e0
MCA
73#define FW_CFG_SUN4M_WIDTH (FW_CFG_ARCH_LOCAL + 0x01)
74#define FW_CFG_SUN4M_HEIGHT (FW_CFG_ARCH_LOCAL + 0x02)
b8174937 75
ba3c64fb 76#define MAX_CPUS 16
b3a23197 77#define MAX_PILS 16
9a62fb24 78#define MAX_VSIMMS 4
420557e8 79
b4ed08e0
BS
80#define ESCC_CLOCK 4915200
81
8137cde8 82struct sun4m_hwdef {
a8170e5e
AK
83 hwaddr iommu_base, iommu_pad_base, iommu_pad_len, slavio_base;
84 hwaddr intctl_base, counter_base, nvram_base, ms_kb_base;
85 hwaddr serial_base, fd_base;
86 hwaddr afx_base, idreg_base, dma_base, esp_base, le_base;
87 hwaddr tcx_base, cs_base, apc_base, aux1_base, aux2_base;
88 hwaddr bpp_base, dbri_base, sx_base;
9a62fb24 89 struct {
a8170e5e 90 hwaddr reg_base, vram_base;
9a62fb24 91 } vsimm[MAX_VSIMMS];
a8170e5e 92 hwaddr ecc_base;
3ebf5aaf 93 uint64_t max_mem;
61999750
BS
94 uint32_t ecc_version;
95 uint32_t iommu_version;
96 uint16_t machine_id;
97 uint8_t nvram_machine_id;
36cd9210
BS
98};
99
ddcd5531
GA
100static void fw_cfg_boot_set(void *opaque, const char *boot_device,
101 Error **errp)
81864572 102{
48779e50 103 fw_cfg_modify_i16(opaque, FW_CFG_BOOT_DEVICE, boot_device[0]);
81864572
BS
104}
105
31688246 106static void nvram_init(Nvram *nvram, uint8_t *macaddr,
43a34704
BS
107 const char *cmdline, const char *boot_devices,
108 ram_addr_t RAM_size, uint32_t kernel_size,
f930d07e 109 int width, int height, int depth,
905fdcb5 110 int nvram_machine_id, const char *arch)
e80cfcfc 111{
d2c63fc1 112 unsigned int i;
2024c014 113 int sysp_end;
d2c63fc1 114 uint8_t image[0x1ff0];
31688246 115 NvramClass *k = NVRAM_GET_CLASS(nvram);
d2c63fc1
BS
116
117 memset(image, '\0', sizeof(image));
e80cfcfc 118
2024c014
TH
119 /* OpenBIOS nvram variables partition */
120 sysp_end = chrp_nvram_create_system_partition(image, 0);
b6f479d3 121
2024c014
TH
122 /* Free space partition */
123 chrp_nvram_create_free_partition(&image[sysp_end], 0x1fd0 - sysp_end);
d2c63fc1 124
905fdcb5
BS
125 Sun_init_header((struct Sun_nvram *)&image[0x1fd8], macaddr,
126 nvram_machine_id);
d2c63fc1 127
31688246
HP
128 for (i = 0; i < sizeof(image); i++) {
129 (k->write)(nvram, i, image[i]);
130 }
e80cfcfc
FB
131}
132
98cec4a2 133void cpu_check_irqs(CPUSPARCState *env)
327ac2e7 134{
d8ed887b
AF
135 CPUState *cs;
136
5ee59930
AB
137 /* We should be holding the BQL before we mess with IRQs */
138 g_assert(qemu_mutex_iothread_locked());
139
327ac2e7
BS
140 if (env->pil_in && (env->interrupt_index == 0 ||
141 (env->interrupt_index & ~15) == TT_EXTINT)) {
142 unsigned int i;
143
144 for (i = 15; i > 0; i--) {
145 if (env->pil_in & (1 << i)) {
146 int old_interrupt = env->interrupt_index;
147
148 env->interrupt_index = TT_EXTINT | i;
f32d7ec5 149 if (old_interrupt != env->interrupt_index) {
c3affe56 150 cs = CPU(sparc_env_get_cpu(env));
97bf4851 151 trace_sun4m_cpu_interrupt(i);
c3affe56 152 cpu_interrupt(cs, CPU_INTERRUPT_HARD);
f32d7ec5 153 }
327ac2e7
BS
154 break;
155 }
156 }
157 } else if (!env->pil_in && (env->interrupt_index & ~15) == TT_EXTINT) {
d8ed887b 158 cs = CPU(sparc_env_get_cpu(env));
97bf4851 159 trace_sun4m_cpu_reset_interrupt(env->interrupt_index & 15);
327ac2e7 160 env->interrupt_index = 0;
d8ed887b 161 cpu_reset_interrupt(cs, CPU_INTERRUPT_HARD);
327ac2e7
BS
162 }
163}
164
38c66cf2 165static void cpu_kick_irq(SPARCCPU *cpu)
94ad5b00 166{
38c66cf2 167 CPUSPARCState *env = &cpu->env;
259186a7 168 CPUState *cs = CPU(cpu);
38c66cf2 169
259186a7 170 cs->halted = 0;
94ad5b00 171 cpu_check_irqs(env);
259186a7 172 qemu_cpu_kick(cs);
94ad5b00
PB
173}
174
b3a23197
BS
175static void cpu_set_irq(void *opaque, int irq, int level)
176{
e0bbf9b5
AF
177 SPARCCPU *cpu = opaque;
178 CPUSPARCState *env = &cpu->env;
b3a23197
BS
179
180 if (level) {
97bf4851 181 trace_sun4m_cpu_set_irq_raise(irq);
327ac2e7 182 env->pil_in |= 1 << irq;
38c66cf2 183 cpu_kick_irq(cpu);
b3a23197 184 } else {
97bf4851 185 trace_sun4m_cpu_set_irq_lower(irq);
327ac2e7
BS
186 env->pil_in &= ~(1 << irq);
187 cpu_check_irqs(env);
b3a23197
BS
188 }
189}
190
191static void dummy_cpu_set_irq(void *opaque, int irq, int level)
192{
193}
194
c68ea704
FB
195static void main_cpu_reset(void *opaque)
196{
5414dec6 197 SPARCCPU *cpu = opaque;
259186a7 198 CPUState *cs = CPU(cpu);
3d29fbef 199
259186a7
AF
200 cpu_reset(cs);
201 cs->halted = 0;
3d29fbef
BS
202}
203
204static void secondary_cpu_reset(void *opaque)
205{
5414dec6 206 SPARCCPU *cpu = opaque;
259186a7 207 CPUState *cs = CPU(cpu);
3d29fbef 208
259186a7
AF
209 cpu_reset(cs);
210 cs->halted = 1;
c68ea704
FB
211}
212
6d0c293d
BS
213static void cpu_halt_signal(void *opaque, int irq, int level)
214{
4917cf44
AF
215 if (level && current_cpu) {
216 cpu_interrupt(current_cpu, CPU_INTERRUPT_HALT);
c3affe56 217 }
6d0c293d
BS
218}
219
409dbce5
AJ
220static uint64_t translate_kernel_address(void *opaque, uint64_t addr)
221{
222 return addr - 0xf0000000ULL;
223}
224
3ebf5aaf 225static unsigned long sun4m_load_kernel(const char *kernel_filename,
293f78bc 226 const char *initrd_filename,
c227f099 227 ram_addr_t RAM_size)
3ebf5aaf
BS
228{
229 int linux_boot;
230 unsigned int i;
231 long initrd_size, kernel_size;
3c178e72 232 uint8_t *ptr;
3ebf5aaf
BS
233
234 linux_boot = (kernel_filename != NULL);
235
236 kernel_size = 0;
237 if (linux_boot) {
ca20cf32
BS
238 int bswap_needed;
239
240#ifdef BSWAP_NEEDED
241 bswap_needed = 1;
242#else
243 bswap_needed = 0;
244#endif
409dbce5 245 kernel_size = load_elf(kernel_filename, translate_kernel_address, NULL,
7ef295ea 246 NULL, NULL, NULL, 1, EM_SPARC, 0, 0);
3ebf5aaf 247 if (kernel_size < 0)
293f78bc 248 kernel_size = load_aout(kernel_filename, KERNEL_LOAD_ADDR,
ca20cf32
BS
249 RAM_size - KERNEL_LOAD_ADDR, bswap_needed,
250 TARGET_PAGE_SIZE);
3ebf5aaf 251 if (kernel_size < 0)
293f78bc
BS
252 kernel_size = load_image_targphys(kernel_filename,
253 KERNEL_LOAD_ADDR,
254 RAM_size - KERNEL_LOAD_ADDR);
3ebf5aaf 255 if (kernel_size < 0) {
29bd7231 256 error_report("could not load kernel '%s'", kernel_filename);
3ebf5aaf
BS
257 exit(1);
258 }
259
260 /* load initrd */
261 initrd_size = 0;
262 if (initrd_filename) {
293f78bc
BS
263 initrd_size = load_image_targphys(initrd_filename,
264 INITRD_LOAD_ADDR,
265 RAM_size - INITRD_LOAD_ADDR);
3ebf5aaf 266 if (initrd_size < 0) {
29bd7231
AF
267 error_report("could not load initial ram disk '%s'",
268 initrd_filename);
3ebf5aaf
BS
269 exit(1);
270 }
271 }
272 if (initrd_size > 0) {
273 for (i = 0; i < 64 * TARGET_PAGE_SIZE; i += TARGET_PAGE_SIZE) {
0f0f8b61
TH
274 ptr = rom_ptr(KERNEL_LOAD_ADDR + i, 24);
275 if (ptr && ldl_p(ptr) == 0x48647253) { /* HdrS */
3c178e72
GH
276 stl_p(ptr + 16, INITRD_LOAD_ADDR);
277 stl_p(ptr + 20, initrd_size);
3ebf5aaf
BS
278 break;
279 }
280 }
281 }
282 }
283 return kernel_size;
284}
285
a8170e5e 286static void *iommu_init(hwaddr addr, uint32_t version, qemu_irq irq)
4b48bf05
BS
287{
288 DeviceState *dev;
289 SysBusDevice *s;
290
f542ad03 291 dev = qdev_create(NULL, TYPE_SUN4M_IOMMU);
4b48bf05 292 qdev_prop_set_uint32(dev, "version", version);
e23a1b33 293 qdev_init_nofail(dev);
1356b98d 294 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
295 sysbus_connect_irq(s, 0, irq);
296 sysbus_mmio_map(s, 0, addr);
297
298 return s;
299}
300
6aa62ed6
MCA
301static void *sparc32_dma_init(hwaddr dma_base,
302 hwaddr esp_base, qemu_irq espdma_irq,
303 hwaddr le_base, qemu_irq ledma_irq)
74ff8d90 304{
6aa62ed6
MCA
305 DeviceState *dma;
306 ESPDMADeviceState *espdma;
307 LEDMADeviceState *ledma;
308 SysBusESPState *esp;
309 SysBusPCNetState *lance;
74ff8d90 310
6aa62ed6
MCA
311 dma = qdev_create(NULL, TYPE_SPARC32_DMA);
312 qdev_init_nofail(dma);
313 sysbus_mmio_map(SYS_BUS_DEVICE(dma), 0, dma_base);
74ff8d90 314
6aa62ed6
MCA
315 espdma = SPARC32_ESPDMA_DEVICE(object_resolve_path_component(
316 OBJECT(dma), "espdma"));
317 sysbus_connect_irq(SYS_BUS_DEVICE(espdma), 0, espdma_irq);
318
319 esp = ESP_STATE(object_resolve_path_component(OBJECT(espdma), "esp"));
320 sysbus_mmio_map(SYS_BUS_DEVICE(esp), 0, esp_base);
12850b1b 321 scsi_bus_legacy_handle_cmdline(&esp->esp.bus);
6aa62ed6
MCA
322
323 ledma = SPARC32_LEDMA_DEVICE(object_resolve_path_component(
324 OBJECT(dma), "ledma"));
325 sysbus_connect_irq(SYS_BUS_DEVICE(ledma), 0, ledma_irq);
326
327 lance = SYSBUS_PCNET(object_resolve_path_component(
328 OBJECT(ledma), "lance"));
329 sysbus_mmio_map(SYS_BUS_DEVICE(lance), 0, le_base);
330
331 return dma;
74ff8d90
BS
332}
333
a8170e5e
AK
334static DeviceState *slavio_intctl_init(hwaddr addr,
335 hwaddr addrg,
462eda24 336 qemu_irq **parent_irq)
4b48bf05
BS
337{
338 DeviceState *dev;
339 SysBusDevice *s;
340 unsigned int i, j;
341
342 dev = qdev_create(NULL, "slavio_intctl");
e23a1b33 343 qdev_init_nofail(dev);
4b48bf05 344
1356b98d 345 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
346
347 for (i = 0; i < MAX_CPUS; i++) {
348 for (j = 0; j < MAX_PILS; j++) {
349 sysbus_connect_irq(s, i * MAX_PILS + j, parent_irq[i][j]);
350 }
351 }
352 sysbus_mmio_map(s, 0, addrg);
353 for (i = 0; i < MAX_CPUS; i++) {
354 sysbus_mmio_map(s, i + 1, addr + i * TARGET_PAGE_SIZE);
355 }
356
357 return dev;
358}
359
360#define SYS_TIMER_OFFSET 0x10000ULL
361#define CPU_TIMER_OFFSET(cpu) (0x1000ULL * cpu)
362
a8170e5e 363static void slavio_timer_init_all(hwaddr addr, qemu_irq master_irq,
4b48bf05
BS
364 qemu_irq *cpu_irqs, unsigned int num_cpus)
365{
366 DeviceState *dev;
367 SysBusDevice *s;
368 unsigned int i;
369
370 dev = qdev_create(NULL, "slavio_timer");
371 qdev_prop_set_uint32(dev, "num_cpus", num_cpus);
e23a1b33 372 qdev_init_nofail(dev);
1356b98d 373 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
374 sysbus_connect_irq(s, 0, master_irq);
375 sysbus_mmio_map(s, 0, addr + SYS_TIMER_OFFSET);
376
377 for (i = 0; i < MAX_CPUS; i++) {
a8170e5e 378 sysbus_mmio_map(s, i + 1, addr + (hwaddr)CPU_TIMER_OFFSET(i));
4b48bf05
BS
379 sysbus_connect_irq(s, i + 1, cpu_irqs[i]);
380 }
381}
382
bea42280
IM
383static qemu_irq slavio_system_powerdown;
384
385static void slavio_powerdown_req(Notifier *n, void *opaque)
386{
387 qemu_irq_raise(slavio_system_powerdown);
388}
389
390static Notifier slavio_system_powerdown_notifier = {
391 .notify = slavio_powerdown_req
392};
393
4b48bf05
BS
394#define MISC_LEDS 0x01600000
395#define MISC_CFG 0x01800000
396#define MISC_DIAG 0x01a00000
397#define MISC_MDM 0x01b00000
398#define MISC_SYS 0x01f00000
399
a8170e5e
AK
400static void slavio_misc_init(hwaddr base,
401 hwaddr aux1_base,
402 hwaddr aux2_base, qemu_irq irq,
b2b6f6ec 403 qemu_irq fdc_tc)
4b48bf05
BS
404{
405 DeviceState *dev;
406 SysBusDevice *s;
407
408 dev = qdev_create(NULL, "slavio_misc");
e23a1b33 409 qdev_init_nofail(dev);
1356b98d 410 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
411 if (base) {
412 /* 8 bit registers */
413 /* Slavio control */
414 sysbus_mmio_map(s, 0, base + MISC_CFG);
415 /* Diagnostics */
416 sysbus_mmio_map(s, 1, base + MISC_DIAG);
417 /* Modem control */
418 sysbus_mmio_map(s, 2, base + MISC_MDM);
419 /* 16 bit registers */
420 /* ss600mp diag LEDs */
421 sysbus_mmio_map(s, 3, base + MISC_LEDS);
422 /* 32 bit registers */
423 /* System control */
424 sysbus_mmio_map(s, 4, base + MISC_SYS);
425 }
426 if (aux1_base) {
427 /* AUX 1 (Misc System Functions) */
428 sysbus_mmio_map(s, 5, aux1_base);
429 }
430 if (aux2_base) {
431 /* AUX 2 (Software Powerdown Control) */
432 sysbus_mmio_map(s, 6, aux2_base);
433 }
434 sysbus_connect_irq(s, 0, irq);
435 sysbus_connect_irq(s, 1, fdc_tc);
bea42280
IM
436 slavio_system_powerdown = qdev_get_gpio_in(dev, 0);
437 qemu_register_powerdown_notifier(&slavio_system_powerdown_notifier);
4b48bf05
BS
438}
439
a8170e5e 440static void ecc_init(hwaddr base, qemu_irq irq, uint32_t version)
4b48bf05
BS
441{
442 DeviceState *dev;
443 SysBusDevice *s;
444
445 dev = qdev_create(NULL, "eccmemctl");
446 qdev_prop_set_uint32(dev, "version", version);
e23a1b33 447 qdev_init_nofail(dev);
1356b98d 448 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
449 sysbus_connect_irq(s, 0, irq);
450 sysbus_mmio_map(s, 0, base);
451 if (version == 0) { // SS-600MP only
452 sysbus_mmio_map(s, 1, base + 0x1000);
453 }
454}
455
a8170e5e 456static void apc_init(hwaddr power_base, qemu_irq cpu_halt)
4b48bf05
BS
457{
458 DeviceState *dev;
459 SysBusDevice *s;
460
461 dev = qdev_create(NULL, "apc");
e23a1b33 462 qdev_init_nofail(dev);
1356b98d 463 s = SYS_BUS_DEVICE(dev);
4b48bf05
BS
464 /* Power management (APC) XXX: not a Slavio device */
465 sysbus_mmio_map(s, 0, power_base);
466 sysbus_connect_irq(s, 0, cpu_halt);
467}
468
55d7bfe2 469static void tcx_init(hwaddr addr, qemu_irq irq, int vram_size, int width,
4b48bf05
BS
470 int height, int depth)
471{
472 DeviceState *dev;
473 SysBusDevice *s;
474
475 dev = qdev_create(NULL, "SUNW,tcx");
4b48bf05
BS
476 qdev_prop_set_uint32(dev, "vram_size", vram_size);
477 qdev_prop_set_uint16(dev, "width", width);
478 qdev_prop_set_uint16(dev, "height", height);
479 qdev_prop_set_uint16(dev, "depth", depth);
e23a1b33 480 qdev_init_nofail(dev);
1356b98d 481 s = SYS_BUS_DEVICE(dev);
55d7bfe2
MCA
482
483 /* 10/ROM : FCode ROM */
da87dd7b 484 sysbus_mmio_map(s, 0, addr);
55d7bfe2
MCA
485 /* 2/STIP : Stipple */
486 sysbus_mmio_map(s, 1, addr + 0x04000000ULL);
487 /* 3/BLIT : Blitter */
488 sysbus_mmio_map(s, 2, addr + 0x06000000ULL);
489 /* 5/RSTIP : Raw Stipple */
490 sysbus_mmio_map(s, 3, addr + 0x0c000000ULL);
491 /* 6/RBLIT : Raw Blitter */
492 sysbus_mmio_map(s, 4, addr + 0x0e000000ULL);
493 /* 7/TEC : Transform Engine */
494 sysbus_mmio_map(s, 5, addr + 0x00700000ULL);
495 /* 8/CMAP : DAC */
496 sysbus_mmio_map(s, 6, addr + 0x00200000ULL);
497 /* 9/THC : */
498 if (depth == 8) {
499 sysbus_mmio_map(s, 7, addr + 0x00300000ULL);
4b48bf05 500 } else {
55d7bfe2 501 sysbus_mmio_map(s, 7, addr + 0x00301000ULL);
4b48bf05 502 }
55d7bfe2
MCA
503 /* 11/DHC : */
504 sysbus_mmio_map(s, 8, addr + 0x00240000ULL);
505 /* 12/ALT : */
506 sysbus_mmio_map(s, 9, addr + 0x00280000ULL);
507 /* 0/DFB8 : 8-bit plane */
508 sysbus_mmio_map(s, 10, addr + 0x00800000ULL);
509 /* 1/DFB24 : 24bit plane */
510 sysbus_mmio_map(s, 11, addr + 0x02000000ULL);
511 /* 4/RDFB32: Raw framebuffer. Control plane */
512 sysbus_mmio_map(s, 12, addr + 0x0a000000ULL);
513 /* 9/THC24bits : NetBSD writes here even with 8-bit display: dummy */
514 if (depth == 8) {
515 sysbus_mmio_map(s, 13, addr + 0x00301000ULL);
516 }
517
518 sysbus_connect_irq(s, 0, irq);
4b48bf05
BS
519}
520
af87bf29
MCA
521static void cg3_init(hwaddr addr, qemu_irq irq, int vram_size, int width,
522 int height, int depth)
523{
524 DeviceState *dev;
525 SysBusDevice *s;
526
527 dev = qdev_create(NULL, "cgthree");
528 qdev_prop_set_uint32(dev, "vram-size", vram_size);
529 qdev_prop_set_uint16(dev, "width", width);
530 qdev_prop_set_uint16(dev, "height", height);
531 qdev_prop_set_uint16(dev, "depth", depth);
af87bf29
MCA
532 qdev_init_nofail(dev);
533 s = SYS_BUS_DEVICE(dev);
534
535 /* FCode ROM */
536 sysbus_mmio_map(s, 0, addr);
537 /* DAC */
538 sysbus_mmio_map(s, 1, addr + 0x400000ULL);
539 /* 8-bit plane */
540 sysbus_mmio_map(s, 2, addr + 0x800000ULL);
541
542 sysbus_connect_irq(s, 0, irq);
543}
544
325f2747 545/* NCR89C100/MACIO Internal ID register */
ef9dfa4c
AF
546
547#define TYPE_MACIO_ID_REGISTER "macio_idreg"
548
325f2747
BS
549static const uint8_t idreg_data[] = { 0xfe, 0x81, 0x01, 0x03 };
550
a8170e5e 551static void idreg_init(hwaddr addr)
325f2747
BS
552{
553 DeviceState *dev;
554 SysBusDevice *s;
555
ef9dfa4c 556 dev = qdev_create(NULL, TYPE_MACIO_ID_REGISTER);
e23a1b33 557 qdev_init_nofail(dev);
1356b98d 558 s = SYS_BUS_DEVICE(dev);
325f2747
BS
559
560 sysbus_mmio_map(s, 0, addr);
3c8133f9
PM
561 address_space_write_rom(&address_space_memory, addr,
562 MEMTXATTRS_UNSPECIFIED,
563 idreg_data, sizeof(idreg_data));
325f2747
BS
564}
565
ef9dfa4c
AF
566#define MACIO_ID_REGISTER(obj) \
567 OBJECT_CHECK(IDRegState, (obj), TYPE_MACIO_ID_REGISTER)
568
3150fa50 569typedef struct IDRegState {
ef9dfa4c
AF
570 SysBusDevice parent_obj;
571
3150fa50
AK
572 MemoryRegion mem;
573} IDRegState;
574
a2a5a7b5 575static void idreg_realize(DeviceState *ds, Error **errp)
325f2747 576{
a2a5a7b5
TH
577 IDRegState *s = MACIO_ID_REGISTER(ds);
578 SysBusDevice *dev = SYS_BUS_DEVICE(ds);
579 Error *local_err = NULL;
580
581 memory_region_init_ram_nomigrate(&s->mem, OBJECT(ds), "sun4m.idreg",
582 sizeof(idreg_data), &local_err);
583 if (local_err) {
584 error_propagate(errp, local_err);
585 return;
586 }
325f2747 587
c5705a77 588 vmstate_register_ram_global(&s->mem);
3150fa50 589 memory_region_set_readonly(&s->mem, true);
750ecd44 590 sysbus_init_mmio(dev, &s->mem);
999e12bb
AL
591}
592
a2a5a7b5
TH
593static void idreg_class_init(ObjectClass *oc, void *data)
594{
595 DeviceClass *dc = DEVICE_CLASS(oc);
596
597 dc->realize = idreg_realize;
598}
599
8c43a6f0 600static const TypeInfo idreg_info = {
ef9dfa4c 601 .name = TYPE_MACIO_ID_REGISTER,
39bffca2
AL
602 .parent = TYPE_SYS_BUS_DEVICE,
603 .instance_size = sizeof(IDRegState),
a2a5a7b5 604 .class_init = idreg_class_init,
325f2747
BS
605};
606
b3a49965
AF
607#define TYPE_TCX_AFX "tcx_afx"
608#define TCX_AFX(obj) OBJECT_CHECK(AFXState, (obj), TYPE_TCX_AFX)
609
3150fa50 610typedef struct AFXState {
b3a49965
AF
611 SysBusDevice parent_obj;
612
3150fa50
AK
613 MemoryRegion mem;
614} AFXState;
615
c5de386a 616/* SS-5 TCX AFX register */
a8170e5e 617static void afx_init(hwaddr addr)
c5de386a
AT
618{
619 DeviceState *dev;
620 SysBusDevice *s;
621
b3a49965 622 dev = qdev_create(NULL, TYPE_TCX_AFX);
c5de386a 623 qdev_init_nofail(dev);
1356b98d 624 s = SYS_BUS_DEVICE(dev);
c5de386a
AT
625
626 sysbus_mmio_map(s, 0, addr);
627}
628
a2a5a7b5 629static void afx_realize(DeviceState *ds, Error **errp)
c5de386a 630{
a2a5a7b5
TH
631 AFXState *s = TCX_AFX(ds);
632 SysBusDevice *dev = SYS_BUS_DEVICE(ds);
633 Error *local_err = NULL;
634
635 memory_region_init_ram_nomigrate(&s->mem, OBJECT(ds), "sun4m.afx", 4,
636 &local_err);
637 if (local_err) {
638 error_propagate(errp, local_err);
639 return;
640 }
c5de386a 641
c5705a77 642 vmstate_register_ram_global(&s->mem);
750ecd44 643 sysbus_init_mmio(dev, &s->mem);
999e12bb
AL
644}
645
a2a5a7b5
TH
646static void afx_class_init(ObjectClass *oc, void *data)
647{
648 DeviceClass *dc = DEVICE_CLASS(oc);
649
650 dc->realize = afx_realize;
651}
652
8c43a6f0 653static const TypeInfo afx_info = {
b3a49965 654 .name = TYPE_TCX_AFX,
39bffca2
AL
655 .parent = TYPE_SYS_BUS_DEVICE,
656 .instance_size = sizeof(AFXState),
a2a5a7b5 657 .class_init = afx_class_init,
c5de386a
AT
658};
659
e6f54c91
AF
660#define TYPE_OPENPROM "openprom"
661#define OPENPROM(obj) OBJECT_CHECK(PROMState, (obj), TYPE_OPENPROM)
662
3150fa50 663typedef struct PROMState {
e6f54c91
AF
664 SysBusDevice parent_obj;
665
3150fa50
AK
666 MemoryRegion prom;
667} PROMState;
668
f48f6569 669/* Boot PROM (OpenBIOS) */
409dbce5
AJ
670static uint64_t translate_prom_address(void *opaque, uint64_t addr)
671{
a8170e5e 672 hwaddr *base_addr = (hwaddr *)opaque;
409dbce5
AJ
673 return addr + *base_addr - PROM_VADDR;
674}
675
a8170e5e 676static void prom_init(hwaddr addr, const char *bios_name)
f48f6569
BS
677{
678 DeviceState *dev;
679 SysBusDevice *s;
680 char *filename;
681 int ret;
682
e6f54c91 683 dev = qdev_create(NULL, TYPE_OPENPROM);
e23a1b33 684 qdev_init_nofail(dev);
1356b98d 685 s = SYS_BUS_DEVICE(dev);
f48f6569
BS
686
687 sysbus_mmio_map(s, 0, addr);
688
689 /* load boot prom */
690 if (bios_name == NULL) {
691 bios_name = PROM_FILENAME;
692 }
693 filename = qemu_find_file(QEMU_FILE_TYPE_BIOS, bios_name);
694 if (filename) {
409dbce5 695 ret = load_elf(filename, translate_prom_address, &addr, NULL,
7ef295ea 696 NULL, NULL, 1, EM_SPARC, 0, 0);
f48f6569
BS
697 if (ret < 0 || ret > PROM_SIZE_MAX) {
698 ret = load_image_targphys(filename, addr, PROM_SIZE_MAX);
699 }
7267c094 700 g_free(filename);
f48f6569
BS
701 } else {
702 ret = -1;
703 }
704 if (ret < 0 || ret > PROM_SIZE_MAX) {
29bd7231 705 error_report("could not load prom '%s'", bios_name);
f48f6569
BS
706 exit(1);
707 }
708}
709
a2a5a7b5 710static void prom_realize(DeviceState *ds, Error **errp)
f48f6569 711{
a2a5a7b5
TH
712 PROMState *s = OPENPROM(ds);
713 SysBusDevice *dev = SYS_BUS_DEVICE(ds);
714 Error *local_err = NULL;
715
716 memory_region_init_ram_nomigrate(&s->prom, OBJECT(ds), "sun4m.prom",
717 PROM_SIZE_MAX, &local_err);
718 if (local_err) {
719 error_propagate(errp, local_err);
720 return;
721 }
f48f6569 722
c5705a77 723 vmstate_register_ram_global(&s->prom);
3150fa50 724 memory_region_set_readonly(&s->prom, true);
750ecd44 725 sysbus_init_mmio(dev, &s->prom);
f48f6569
BS
726}
727
999e12bb
AL
728static Property prom_properties[] = {
729 {/* end of property list */},
730};
731
732static void prom_class_init(ObjectClass *klass, void *data)
733{
39bffca2 734 DeviceClass *dc = DEVICE_CLASS(klass);
999e12bb 735
39bffca2 736 dc->props = prom_properties;
a2a5a7b5 737 dc->realize = prom_realize;
999e12bb
AL
738}
739
8c43a6f0 740static const TypeInfo prom_info = {
e6f54c91 741 .name = TYPE_OPENPROM,
39bffca2
AL
742 .parent = TYPE_SYS_BUS_DEVICE,
743 .instance_size = sizeof(PROMState),
744 .class_init = prom_class_init,
f48f6569
BS
745};
746
5ab6b4c6
AF
747#define TYPE_SUN4M_MEMORY "memory"
748#define SUN4M_RAM(obj) OBJECT_CHECK(RamDevice, (obj), TYPE_SUN4M_MEMORY)
749
750typedef struct RamDevice {
751 SysBusDevice parent_obj;
752
3150fa50 753 MemoryRegion ram;
04843626 754 uint64_t size;
ee6847d1
GH
755} RamDevice;
756
a350db85 757/* System RAM */
dc8b6dd9 758static void ram_realize(DeviceState *dev, Error **errp)
a350db85 759{
5ab6b4c6 760 RamDevice *d = SUN4M_RAM(dev);
dc8b6dd9 761 SysBusDevice *sbd = SYS_BUS_DEVICE(dev);
a350db85 762
8e7ba4ed
DM
763 memory_region_allocate_system_memory(&d->ram, OBJECT(d), "sun4m.ram",
764 d->size);
dc8b6dd9 765 sysbus_init_mmio(sbd, &d->ram);
a350db85
BS
766}
767
a8170e5e 768static void ram_init(hwaddr addr, ram_addr_t RAM_size,
a350db85
BS
769 uint64_t max_mem)
770{
771 DeviceState *dev;
772 SysBusDevice *s;
ee6847d1 773 RamDevice *d;
a350db85
BS
774
775 /* allocate RAM */
776 if ((uint64_t)RAM_size > max_mem) {
0a2e467b
PMD
777 error_report("Too much memory for this machine: %" PRId64 ","
778 " maximum %" PRId64,
779 RAM_size / MiB, max_mem / MiB);
a350db85
BS
780 exit(1);
781 }
782 dev = qdev_create(NULL, "memory");
1356b98d 783 s = SYS_BUS_DEVICE(dev);
a350db85 784
5ab6b4c6 785 d = SUN4M_RAM(dev);
ee6847d1 786 d->size = RAM_size;
e23a1b33 787 qdev_init_nofail(dev);
ee6847d1 788
a350db85
BS
789 sysbus_mmio_map(s, 0, addr);
790}
791
999e12bb
AL
792static Property ram_properties[] = {
793 DEFINE_PROP_UINT64("size", RamDevice, size, 0),
794 DEFINE_PROP_END_OF_LIST(),
795};
796
797static void ram_class_init(ObjectClass *klass, void *data)
798{
39bffca2 799 DeviceClass *dc = DEVICE_CLASS(klass);
999e12bb 800
dc8b6dd9 801 dc->realize = ram_realize;
39bffca2 802 dc->props = ram_properties;
999e12bb
AL
803}
804
8c43a6f0 805static const TypeInfo ram_info = {
5ab6b4c6 806 .name = TYPE_SUN4M_MEMORY,
39bffca2
AL
807 .parent = TYPE_SYS_BUS_DEVICE,
808 .instance_size = sizeof(RamDevice),
809 .class_init = ram_class_init,
a350db85
BS
810};
811
49cbd887 812static void cpu_devinit(const char *cpu_type, unsigned int id,
89835363 813 uint64_t prom_addr, qemu_irq **cpu_irqs)
666713c0 814{
259186a7 815 CPUState *cs;
8968f588 816 SPARCCPU *cpu;
98cec4a2 817 CPUSPARCState *env;
666713c0 818
49cbd887 819 cpu = SPARC_CPU(cpu_create(cpu_type));
8968f588 820 env = &cpu->env;
666713c0
BS
821
822 cpu_sparc_set_id(env, id);
823 if (id == 0) {
5414dec6 824 qemu_register_reset(main_cpu_reset, cpu);
666713c0 825 } else {
5414dec6 826 qemu_register_reset(secondary_cpu_reset, cpu);
259186a7
AF
827 cs = CPU(cpu);
828 cs->halted = 1;
666713c0 829 }
e0bbf9b5 830 *cpu_irqs = qemu_allocate_irqs(cpu_set_irq, cpu, MAX_PILS);
666713c0 831 env->prom_addr = prom_addr;
666713c0
BS
832}
833
acfbe712
BS
834static void dummy_fdc_tc(void *opaque, int irq, int level)
835{
836}
837
6b63ef4d 838static void sun4m_hw_init(const struct sun4m_hwdef *hwdef,
3ef96221 839 MachineState *machine)
420557e8 840{
61b97833 841 DeviceState *slavio_intctl;
713c45fa 842 unsigned int i;
6aa62ed6 843 void *nvram;
9540619d 844 qemu_irq *cpu_irqs[MAX_CPUS], slavio_irq[32], slavio_cpu_irq[MAX_CPUS];
2582cfa0 845 qemu_irq fdc_tc;
5c6602c5 846 unsigned long kernel_size;
fd8014e1 847 DriveInfo *fd[MAX_FD];
a88b362c 848 FWCfgState *fw_cfg;
9a62fb24 849 unsigned int num_vsimms;
2cc75c32
LV
850 DeviceState *dev;
851 SysBusDevice *s;
420557e8 852
ba3c64fb
FB
853 /* init CPUs */
854 for(i = 0; i < smp_cpus; i++) {
49cbd887 855 cpu_devinit(machine->cpu_type, i, hwdef->slavio_base, &cpu_irqs[i]);
ba3c64fb 856 }
b3a23197
BS
857
858 for (i = smp_cpus; i < MAX_CPUS; i++)
859 cpu_irqs[i] = qemu_allocate_irqs(dummy_cpu_set_irq, NULL, MAX_PILS);
860
3ebf5aaf 861
3ebf5aaf 862 /* set up devices */
3ef96221 863 ram_init(0, machine->ram_size, hwdef->max_mem);
676d9b9b
AT
864 /* models without ECC don't trap when missing ram is accessed */
865 if (!hwdef->ecc_base) {
3ef96221 866 empty_slot_init(machine->ram_size, hwdef->max_mem - machine->ram_size);
676d9b9b 867 }
a350db85 868
f48f6569
BS
869 prom_init(hwdef->slavio_base, bios_name);
870
d453c2c3
BS
871 slavio_intctl = slavio_intctl_init(hwdef->intctl_base,
872 hwdef->intctl_base + 0x10000ULL,
462eda24 873 cpu_irqs);
a1961a4b
BS
874
875 for (i = 0; i < 32; i++) {
d453c2c3 876 slavio_irq[i] = qdev_get_gpio_in(slavio_intctl, i);
a1961a4b
BS
877 }
878 for (i = 0; i < MAX_CPUS; i++) {
d453c2c3 879 slavio_cpu_irq[i] = qdev_get_gpio_in(slavio_intctl, 32 + i);
a1961a4b 880 }
b3a23197 881
fe096129 882 if (hwdef->idreg_base) {
325f2747 883 idreg_init(hwdef->idreg_base);
4c2485de
BS
884 }
885
c5de386a
AT
886 if (hwdef->afx_base) {
887 afx_init(hwdef->afx_base);
888 }
889
6aa62ed6 890 iommu_init(hwdef->iommu_base, hwdef->iommu_version, slavio_irq[30]);
ff403da6 891
3386376c
AT
892 if (hwdef->iommu_pad_base) {
893 /* On the real hardware (SS-5, LX) the MMU is not padded, but aliased.
894 Software shouldn't use aliased addresses, neither should it crash
895 when does. Using empty_slot instead of aliasing can help with
896 debugging such accesses */
897 empty_slot_init(hwdef->iommu_pad_base,hwdef->iommu_pad_len);
898 }
899
6aa62ed6
MCA
900 sparc32_dma_init(hwdef->dma_base,
901 hwdef->esp_base, slavio_irq[18],
902 hwdef->le_base, slavio_irq[16]);
e6ca02a4 903
eee0b836 904 if (graphic_depth != 8 && graphic_depth != 24) {
af87bf29 905 error_report("Unsupported depth: %d", graphic_depth);
eee0b836
BS
906 exit (1);
907 }
9a62fb24
BB
908 num_vsimms = 0;
909 if (num_vsimms == 0) {
af87bf29
MCA
910 if (vga_interface_type == VGA_CG3) {
911 if (graphic_depth != 8) {
912 error_report("Unsupported depth: %d", graphic_depth);
913 exit(1);
914 }
915
916 if (!(graphic_width == 1024 && graphic_height == 768) &&
917 !(graphic_width == 1152 && graphic_height == 900)) {
918 error_report("Unsupported resolution: %d x %d", graphic_width,
919 graphic_height);
920 exit(1);
921 }
922
923 /* sbus irq 5 */
924 cg3_init(hwdef->tcx_base, slavio_irq[11], 0x00100000,
925 graphic_width, graphic_height, graphic_depth);
926 } else {
927 /* If no display specified, default to TCX */
928 if (graphic_depth != 8 && graphic_depth != 24) {
929 error_report("Unsupported depth: %d", graphic_depth);
930 exit(1);
931 }
932
933 if (!(graphic_width == 1024 && graphic_height == 768)) {
934 error_report("Unsupported resolution: %d x %d",
935 graphic_width, graphic_height);
936 exit(1);
937 }
938
55d7bfe2
MCA
939 tcx_init(hwdef->tcx_base, slavio_irq[11], 0x00100000,
940 graphic_width, graphic_height, graphic_depth);
af87bf29 941 }
9a62fb24
BB
942 }
943
944 for (i = num_vsimms; i < MAX_VSIMMS; i++) {
945 /* vsimm registers probed by OBP */
946 if (hwdef->vsimm[i].reg_base) {
947 empty_slot_init(hwdef->vsimm[i].reg_base, 0x2000);
948 }
949 }
950
951 if (hwdef->sx_base) {
952 empty_slot_init(hwdef->sx_base, 0x2000);
953 }
dbe06e18 954
6de04973 955 nvram = m48t59_init(slavio_irq[0], hwdef->nvram_base, 0, 0x2000, 1968, 8);
81732d19 956
c533e0b3 957 slavio_timer_init_all(hwdef->counter_base, slavio_irq[19], slavio_cpu_irq, smp_cpus);
81732d19 958
5cbdb3a3
SW
959 /* Slavio TTYA (base+4, Linux ttyS0) is the first QEMU serial device
960 Slavio TTYB (base+0, Linux ttyS1) is the second QEMU serial device */
2cc75c32
LV
961 dev = qdev_create(NULL, TYPE_ESCC);
962 qdev_prop_set_uint32(dev, "disabled", !machine->enable_graphics);
963 qdev_prop_set_uint32(dev, "frequency", ESCC_CLOCK);
964 qdev_prop_set_uint32(dev, "it_shift", 1);
965 qdev_prop_set_chr(dev, "chrB", NULL);
966 qdev_prop_set_chr(dev, "chrA", NULL);
967 qdev_prop_set_uint32(dev, "chnBtype", escc_mouse);
968 qdev_prop_set_uint32(dev, "chnAtype", escc_kbd);
969 qdev_init_nofail(dev);
970 s = SYS_BUS_DEVICE(dev);
971 sysbus_connect_irq(s, 0, slavio_irq[14]);
972 sysbus_connect_irq(s, 1, slavio_irq[14]);
973 sysbus_mmio_map(s, 0, hwdef->ms_kb_base);
974
975 dev = qdev_create(NULL, TYPE_ESCC);
976 qdev_prop_set_uint32(dev, "disabled", 0);
977 qdev_prop_set_uint32(dev, "frequency", ESCC_CLOCK);
978 qdev_prop_set_uint32(dev, "it_shift", 1);
9bca0edb
PM
979 qdev_prop_set_chr(dev, "chrB", serial_hd(1));
980 qdev_prop_set_chr(dev, "chrA", serial_hd(0));
2cc75c32
LV
981 qdev_prop_set_uint32(dev, "chnBtype", escc_serial);
982 qdev_prop_set_uint32(dev, "chnAtype", escc_serial);
983 qdev_init_nofail(dev);
984
985 s = SYS_BUS_DEVICE(dev);
986 sysbus_connect_irq(s, 0, slavio_irq[15]);
987 sysbus_connect_irq(s, 1, slavio_irq[15]);
988 sysbus_mmio_map(s, 0, hwdef->serial_base);
741402f9 989
2582cfa0 990 if (hwdef->apc_base) {
ca43b97b 991 apc_init(hwdef->apc_base, qemu_allocate_irq(cpu_halt_signal, NULL, 0));
2582cfa0 992 }
2be17ebd 993
fe096129 994 if (hwdef->fd_base) {
e4bcb14c 995 /* there is zero or one floppy drive */
309e60bd 996 memset(fd, 0, sizeof(fd));
fd8014e1 997 fd[0] = drive_get(IF_FLOPPY, 0, 0);
c533e0b3 998 sun4m_fdctrl_init(slavio_irq[22], hwdef->fd_base, fd,
2582cfa0 999 &fdc_tc);
acfbe712 1000 } else {
ca43b97b 1001 fdc_tc = qemu_allocate_irq(dummy_fdc_tc, NULL, 0);
e4bcb14c
TS
1002 }
1003
acfbe712
BS
1004 slavio_misc_init(hwdef->slavio_base, hwdef->aux1_base, hwdef->aux2_base,
1005 slavio_irq[30], fdc_tc);
1006
fa28ec52
BS
1007 if (hwdef->cs_base) {
1008 sysbus_create_simple("SUNW,CS4231", hwdef->cs_base,
c533e0b3 1009 slavio_irq[5]);
fa28ec52 1010 }
b3ceef24 1011
9a62fb24
BB
1012 if (hwdef->dbri_base) {
1013 /* ISDN chip with attached CS4215 audio codec */
1014 /* prom space */
1015 empty_slot_init(hwdef->dbri_base+0x1000, 0x30);
1016 /* reg space */
1017 empty_slot_init(hwdef->dbri_base+0x10000, 0x100);
1018 }
1019
1020 if (hwdef->bpp_base) {
1021 /* parallel port */
1022 empty_slot_init(hwdef->bpp_base, 0x20);
1023 }
1024
3ef96221
MA
1025 kernel_size = sun4m_load_kernel(machine->kernel_filename,
1026 machine->initrd_filename,
1027 machine->ram_size);
36cd9210 1028
3ef96221
MA
1029 nvram_init(nvram, (uint8_t *)&nd_table[0].macaddr, machine->kernel_cmdline,
1030 machine->boot_order, machine->ram_size, kernel_size,
1031 graphic_width, graphic_height, graphic_depth,
1032 hwdef->nvram_machine_id, "Sun4m");
7eb0c8e8 1033
fe096129 1034 if (hwdef->ecc_base)
c533e0b3 1035 ecc_init(hwdef->ecc_base, slavio_irq[28],
e42c20b4 1036 hwdef->ecc_version);
3cce6243 1037
84983214
MCA
1038 dev = qdev_create(NULL, TYPE_FW_CFG_MEM);
1039 fw_cfg = FW_CFG(dev);
1040 qdev_prop_set_uint32(dev, "data_width", 1);
1041 qdev_prop_set_bit(dev, "dma_enabled", false);
1042 object_property_add_child(OBJECT(qdev_get_machine()), TYPE_FW_CFG,
1043 OBJECT(fw_cfg), NULL);
1044 qdev_init_nofail(dev);
1045 s = SYS_BUS_DEVICE(dev);
1046 sysbus_mmio_map(s, 0, CFG_ADDR);
1047 sysbus_mmio_map(s, 1, CFG_ADDR + 2);
1048
5836d168 1049 fw_cfg_add_i16(fw_cfg, FW_CFG_NB_CPUS, (uint16_t)smp_cpus);
70db9222 1050 fw_cfg_add_i16(fw_cfg, FW_CFG_MAX_CPUS, (uint16_t)max_cpus);
905fdcb5
BS
1051 fw_cfg_add_i64(fw_cfg, FW_CFG_RAM_SIZE, (uint64_t)ram_size);
1052 fw_cfg_add_i16(fw_cfg, FW_CFG_MACHINE_ID, hwdef->machine_id);
fbfcf955 1053 fw_cfg_add_i16(fw_cfg, FW_CFG_SUN4M_DEPTH, graphic_depth);
b96919e0
MCA
1054 fw_cfg_add_i16(fw_cfg, FW_CFG_SUN4M_WIDTH, graphic_width);
1055 fw_cfg_add_i16(fw_cfg, FW_CFG_SUN4M_HEIGHT, graphic_height);
513f789f
BS
1056 fw_cfg_add_i32(fw_cfg, FW_CFG_KERNEL_ADDR, KERNEL_LOAD_ADDR);
1057 fw_cfg_add_i32(fw_cfg, FW_CFG_KERNEL_SIZE, kernel_size);
3ef96221 1058 if (machine->kernel_cmdline) {
513f789f 1059 fw_cfg_add_i32(fw_cfg, FW_CFG_KERNEL_CMDLINE, CMDLINE_ADDR);
6b63ef4d 1060 pstrcpy_targphys("cmdline", CMDLINE_ADDR, TARGET_PAGE_SIZE,
3ef96221
MA
1061 machine->kernel_cmdline);
1062 fw_cfg_add_string(fw_cfg, FW_CFG_CMDLINE_DATA, machine->kernel_cmdline);
748a4ee3 1063 fw_cfg_add_i32(fw_cfg, FW_CFG_CMDLINE_SIZE,
3ef96221 1064 strlen(machine->kernel_cmdline) + 1);
513f789f
BS
1065 } else {
1066 fw_cfg_add_i32(fw_cfg, FW_CFG_KERNEL_CMDLINE, 0);
748a4ee3 1067 fw_cfg_add_i32(fw_cfg, FW_CFG_CMDLINE_SIZE, 0);
513f789f
BS
1068 }
1069 fw_cfg_add_i32(fw_cfg, FW_CFG_INITRD_ADDR, INITRD_LOAD_ADDR);
1070 fw_cfg_add_i32(fw_cfg, FW_CFG_INITRD_SIZE, 0); // not used
3ef96221 1071 fw_cfg_add_i16(fw_cfg, FW_CFG_BOOT_DEVICE, machine->boot_order[0]);
513f789f 1072 qemu_register_boot_set(fw_cfg_boot_set, fw_cfg);
36cd9210
BS
1073}
1074
905fdcb5 1075enum {
905fdcb5
BS
1076 ss5_id = 32,
1077 vger_id,
1078 lx_id,
1079 ss4_id,
1080 scls_id,
1081 sbook_id,
1082 ss10_id = 64,
1083 ss20_id,
1084 ss600mp_id,
905fdcb5
BS
1085};
1086
8137cde8 1087static const struct sun4m_hwdef sun4m_hwdefs[] = {
36cd9210
BS
1088 /* SS-5 */
1089 {
1090 .iommu_base = 0x10000000,
3386376c
AT
1091 .iommu_pad_base = 0x10004000,
1092 .iommu_pad_len = 0x0fffb000,
36cd9210
BS
1093 .tcx_base = 0x50000000,
1094 .cs_base = 0x6c000000,
384ccb5d 1095 .slavio_base = 0x70000000,
36cd9210
BS
1096 .ms_kb_base = 0x71000000,
1097 .serial_base = 0x71100000,
1098 .nvram_base = 0x71200000,
1099 .fd_base = 0x71400000,
1100 .counter_base = 0x71d00000,
1101 .intctl_base = 0x71e00000,
4c2485de 1102 .idreg_base = 0x78000000,
36cd9210
BS
1103 .dma_base = 0x78400000,
1104 .esp_base = 0x78800000,
1105 .le_base = 0x78c00000,
127fc407 1106 .apc_base = 0x6a000000,
c5de386a 1107 .afx_base = 0x6e000000,
0019ad53
BS
1108 .aux1_base = 0x71900000,
1109 .aux2_base = 0x71910000,
905fdcb5
BS
1110 .nvram_machine_id = 0x80,
1111 .machine_id = ss5_id,
cf3102ac 1112 .iommu_version = 0x05000000,
3ebf5aaf 1113 .max_mem = 0x10000000,
e0353fe2
BS
1114 },
1115 /* SS-10 */
e0353fe2 1116 {
5dcb6b91
BS
1117 .iommu_base = 0xfe0000000ULL,
1118 .tcx_base = 0xe20000000ULL,
5dcb6b91
BS
1119 .slavio_base = 0xff0000000ULL,
1120 .ms_kb_base = 0xff1000000ULL,
1121 .serial_base = 0xff1100000ULL,
1122 .nvram_base = 0xff1200000ULL,
1123 .fd_base = 0xff1700000ULL,
1124 .counter_base = 0xff1300000ULL,
1125 .intctl_base = 0xff1400000ULL,
4c2485de 1126 .idreg_base = 0xef0000000ULL,
5dcb6b91
BS
1127 .dma_base = 0xef0400000ULL,
1128 .esp_base = 0xef0800000ULL,
1129 .le_base = 0xef0c00000ULL,
0019ad53 1130 .apc_base = 0xefa000000ULL, // XXX should not exist
127fc407
BS
1131 .aux1_base = 0xff1800000ULL,
1132 .aux2_base = 0xff1a01000ULL,
7eb0c8e8
BS
1133 .ecc_base = 0xf00000000ULL,
1134 .ecc_version = 0x10000000, // version 0, implementation 1
905fdcb5
BS
1135 .nvram_machine_id = 0x72,
1136 .machine_id = ss10_id,
7fbfb139 1137 .iommu_version = 0x03000000,
6ef05b95 1138 .max_mem = 0xf00000000ULL,
36cd9210 1139 },
6a3b9cc9
BS
1140 /* SS-600MP */
1141 {
1142 .iommu_base = 0xfe0000000ULL,
1143 .tcx_base = 0xe20000000ULL,
6a3b9cc9
BS
1144 .slavio_base = 0xff0000000ULL,
1145 .ms_kb_base = 0xff1000000ULL,
1146 .serial_base = 0xff1100000ULL,
1147 .nvram_base = 0xff1200000ULL,
6a3b9cc9
BS
1148 .counter_base = 0xff1300000ULL,
1149 .intctl_base = 0xff1400000ULL,
1150 .dma_base = 0xef0081000ULL,
1151 .esp_base = 0xef0080000ULL,
1152 .le_base = 0xef0060000ULL,
0019ad53 1153 .apc_base = 0xefa000000ULL, // XXX should not exist
127fc407
BS
1154 .aux1_base = 0xff1800000ULL,
1155 .aux2_base = 0xff1a01000ULL, // XXX should not exist
7eb0c8e8
BS
1156 .ecc_base = 0xf00000000ULL,
1157 .ecc_version = 0x00000000, // version 0, implementation 0
905fdcb5
BS
1158 .nvram_machine_id = 0x71,
1159 .machine_id = ss600mp_id,
7fbfb139 1160 .iommu_version = 0x01000000,
6ef05b95 1161 .max_mem = 0xf00000000ULL,
6a3b9cc9 1162 },
ae40972f
BS
1163 /* SS-20 */
1164 {
1165 .iommu_base = 0xfe0000000ULL,
1166 .tcx_base = 0xe20000000ULL,
ae40972f
BS
1167 .slavio_base = 0xff0000000ULL,
1168 .ms_kb_base = 0xff1000000ULL,
1169 .serial_base = 0xff1100000ULL,
1170 .nvram_base = 0xff1200000ULL,
1171 .fd_base = 0xff1700000ULL,
1172 .counter_base = 0xff1300000ULL,
1173 .intctl_base = 0xff1400000ULL,
4c2485de 1174 .idreg_base = 0xef0000000ULL,
ae40972f
BS
1175 .dma_base = 0xef0400000ULL,
1176 .esp_base = 0xef0800000ULL,
1177 .le_base = 0xef0c00000ULL,
9a62fb24 1178 .bpp_base = 0xef4800000ULL,
0019ad53 1179 .apc_base = 0xefa000000ULL, // XXX should not exist
577d8dd4
BS
1180 .aux1_base = 0xff1800000ULL,
1181 .aux2_base = 0xff1a01000ULL,
9a62fb24
BB
1182 .dbri_base = 0xee0000000ULL,
1183 .sx_base = 0xf80000000ULL,
1184 .vsimm = {
1185 {
1186 .reg_base = 0x9c000000ULL,
1187 .vram_base = 0xfc000000ULL
1188 }, {
1189 .reg_base = 0x90000000ULL,
1190 .vram_base = 0xf0000000ULL
1191 }, {
1192 .reg_base = 0x94000000ULL
1193 }, {
1194 .reg_base = 0x98000000ULL
1195 }
1196 },
ae40972f
BS
1197 .ecc_base = 0xf00000000ULL,
1198 .ecc_version = 0x20000000, // version 0, implementation 2
905fdcb5
BS
1199 .nvram_machine_id = 0x72,
1200 .machine_id = ss20_id,
ae40972f 1201 .iommu_version = 0x13000000,
6ef05b95 1202 .max_mem = 0xf00000000ULL,
ae40972f 1203 },
a526a31c
BS
1204 /* Voyager */
1205 {
1206 .iommu_base = 0x10000000,
1207 .tcx_base = 0x50000000,
a526a31c
BS
1208 .slavio_base = 0x70000000,
1209 .ms_kb_base = 0x71000000,
1210 .serial_base = 0x71100000,
1211 .nvram_base = 0x71200000,
1212 .fd_base = 0x71400000,
1213 .counter_base = 0x71d00000,
1214 .intctl_base = 0x71e00000,
1215 .idreg_base = 0x78000000,
1216 .dma_base = 0x78400000,
1217 .esp_base = 0x78800000,
1218 .le_base = 0x78c00000,
1219 .apc_base = 0x71300000, // pmc
1220 .aux1_base = 0x71900000,
1221 .aux2_base = 0x71910000,
905fdcb5
BS
1222 .nvram_machine_id = 0x80,
1223 .machine_id = vger_id,
a526a31c 1224 .iommu_version = 0x05000000,
a526a31c 1225 .max_mem = 0x10000000,
a526a31c
BS
1226 },
1227 /* LX */
1228 {
1229 .iommu_base = 0x10000000,
3386376c
AT
1230 .iommu_pad_base = 0x10004000,
1231 .iommu_pad_len = 0x0fffb000,
a526a31c 1232 .tcx_base = 0x50000000,
a526a31c
BS
1233 .slavio_base = 0x70000000,
1234 .ms_kb_base = 0x71000000,
1235 .serial_base = 0x71100000,
1236 .nvram_base = 0x71200000,
1237 .fd_base = 0x71400000,
1238 .counter_base = 0x71d00000,
1239 .intctl_base = 0x71e00000,
1240 .idreg_base = 0x78000000,
1241 .dma_base = 0x78400000,
1242 .esp_base = 0x78800000,
1243 .le_base = 0x78c00000,
a526a31c
BS
1244 .aux1_base = 0x71900000,
1245 .aux2_base = 0x71910000,
905fdcb5
BS
1246 .nvram_machine_id = 0x80,
1247 .machine_id = lx_id,
a526a31c 1248 .iommu_version = 0x04000000,
a526a31c 1249 .max_mem = 0x10000000,
a526a31c
BS
1250 },
1251 /* SS-4 */
1252 {
1253 .iommu_base = 0x10000000,
1254 .tcx_base = 0x50000000,
1255 .cs_base = 0x6c000000,
1256 .slavio_base = 0x70000000,
1257 .ms_kb_base = 0x71000000,
1258 .serial_base = 0x71100000,
1259 .nvram_base = 0x71200000,
1260 .fd_base = 0x71400000,
1261 .counter_base = 0x71d00000,
1262 .intctl_base = 0x71e00000,
1263 .idreg_base = 0x78000000,
1264 .dma_base = 0x78400000,
1265 .esp_base = 0x78800000,
1266 .le_base = 0x78c00000,
1267 .apc_base = 0x6a000000,
1268 .aux1_base = 0x71900000,
1269 .aux2_base = 0x71910000,
905fdcb5
BS
1270 .nvram_machine_id = 0x80,
1271 .machine_id = ss4_id,
a526a31c 1272 .iommu_version = 0x05000000,
a526a31c 1273 .max_mem = 0x10000000,
a526a31c
BS
1274 },
1275 /* SPARCClassic */
1276 {
1277 .iommu_base = 0x10000000,
1278 .tcx_base = 0x50000000,
a526a31c
BS
1279 .slavio_base = 0x70000000,
1280 .ms_kb_base = 0x71000000,
1281 .serial_base = 0x71100000,
1282 .nvram_base = 0x71200000,
1283 .fd_base = 0x71400000,
1284 .counter_base = 0x71d00000,
1285 .intctl_base = 0x71e00000,
1286 .idreg_base = 0x78000000,
1287 .dma_base = 0x78400000,
1288 .esp_base = 0x78800000,
1289 .le_base = 0x78c00000,
1290 .apc_base = 0x6a000000,
1291 .aux1_base = 0x71900000,
1292 .aux2_base = 0x71910000,
905fdcb5
BS
1293 .nvram_machine_id = 0x80,
1294 .machine_id = scls_id,
a526a31c 1295 .iommu_version = 0x05000000,
a526a31c 1296 .max_mem = 0x10000000,
a526a31c
BS
1297 },
1298 /* SPARCbook */
1299 {
1300 .iommu_base = 0x10000000,
1301 .tcx_base = 0x50000000, // XXX
a526a31c
BS
1302 .slavio_base = 0x70000000,
1303 .ms_kb_base = 0x71000000,
1304 .serial_base = 0x71100000,
1305 .nvram_base = 0x71200000,
1306 .fd_base = 0x71400000,
1307 .counter_base = 0x71d00000,
1308 .intctl_base = 0x71e00000,
1309 .idreg_base = 0x78000000,
1310 .dma_base = 0x78400000,
1311 .esp_base = 0x78800000,
1312 .le_base = 0x78c00000,
1313 .apc_base = 0x6a000000,
1314 .aux1_base = 0x71900000,
1315 .aux2_base = 0x71910000,
905fdcb5
BS
1316 .nvram_machine_id = 0x80,
1317 .machine_id = sbook_id,
a526a31c 1318 .iommu_version = 0x05000000,
a526a31c 1319 .max_mem = 0x10000000,
a526a31c 1320 },
36cd9210
BS
1321};
1322
36cd9210 1323/* SPARCstation 5 hardware initialisation */
3ef96221 1324static void ss5_init(MachineState *machine)
36cd9210 1325{
3ef96221 1326 sun4m_hw_init(&sun4m_hwdefs[0], machine);
420557e8 1327}
c0e564d5 1328
e0353fe2 1329/* SPARCstation 10 hardware initialisation */
3ef96221 1330static void ss10_init(MachineState *machine)
e0353fe2 1331{
3ef96221 1332 sun4m_hw_init(&sun4m_hwdefs[1], machine);
e0353fe2
BS
1333}
1334
6a3b9cc9 1335/* SPARCserver 600MP hardware initialisation */
3ef96221 1336static void ss600mp_init(MachineState *machine)
6a3b9cc9 1337{
3ef96221 1338 sun4m_hw_init(&sun4m_hwdefs[2], machine);
6a3b9cc9
BS
1339}
1340
ae40972f 1341/* SPARCstation 20 hardware initialisation */
3ef96221 1342static void ss20_init(MachineState *machine)
ae40972f 1343{
3ef96221 1344 sun4m_hw_init(&sun4m_hwdefs[3], machine);
ee76f82e
BS
1345}
1346
a526a31c 1347/* SPARCstation Voyager hardware initialisation */
3ef96221 1348static void vger_init(MachineState *machine)
a526a31c 1349{
3ef96221 1350 sun4m_hw_init(&sun4m_hwdefs[4], machine);
a526a31c
BS
1351}
1352
1353/* SPARCstation LX hardware initialisation */
3ef96221 1354static void ss_lx_init(MachineState *machine)
a526a31c 1355{
3ef96221 1356 sun4m_hw_init(&sun4m_hwdefs[5], machine);
a526a31c
BS
1357}
1358
1359/* SPARCstation 4 hardware initialisation */
3ef96221 1360static void ss4_init(MachineState *machine)
a526a31c 1361{
3ef96221 1362 sun4m_hw_init(&sun4m_hwdefs[6], machine);
a526a31c
BS
1363}
1364
1365/* SPARCClassic hardware initialisation */
3ef96221 1366static void scls_init(MachineState *machine)
a526a31c 1367{
3ef96221 1368 sun4m_hw_init(&sun4m_hwdefs[7], machine);
a526a31c
BS
1369}
1370
1371/* SPARCbook hardware initialisation */
3ef96221 1372static void sbook_init(MachineState *machine)
a526a31c 1373{
3ef96221 1374 sun4m_hw_init(&sun4m_hwdefs[8], machine);
a526a31c
BS
1375}
1376
8a661aea 1377static void ss5_class_init(ObjectClass *oc, void *data)
e264d29d 1378{
8a661aea
AF
1379 MachineClass *mc = MACHINE_CLASS(oc);
1380
e264d29d
EH
1381 mc->desc = "Sun4m platform, SPARCstation 5";
1382 mc->init = ss5_init;
1383 mc->block_default_type = IF_SCSI;
1384 mc->is_default = 1;
1385 mc->default_boot_order = "c";
49cbd887 1386 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("Fujitsu-MB86904");
e264d29d 1387}
e0353fe2 1388
8a661aea
AF
1389static const TypeInfo ss5_type = {
1390 .name = MACHINE_TYPE_NAME("SS-5"),
1391 .parent = TYPE_MACHINE,
1392 .class_init = ss5_class_init,
1393};
6a3b9cc9 1394
8a661aea 1395static void ss10_class_init(ObjectClass *oc, void *data)
e264d29d 1396{
8a661aea
AF
1397 MachineClass *mc = MACHINE_CLASS(oc);
1398
e264d29d
EH
1399 mc->desc = "Sun4m platform, SPARCstation 10";
1400 mc->init = ss10_init;
1401 mc->block_default_type = IF_SCSI;
1402 mc->max_cpus = 4;
1403 mc->default_boot_order = "c";
49cbd887 1404 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-SuperSparc-II");
e264d29d 1405}
ae40972f 1406
8a661aea
AF
1407static const TypeInfo ss10_type = {
1408 .name = MACHINE_TYPE_NAME("SS-10"),
1409 .parent = TYPE_MACHINE,
1410 .class_init = ss10_class_init,
1411};
ae40972f 1412
8a661aea 1413static void ss600mp_class_init(ObjectClass *oc, void *data)
e264d29d 1414{
8a661aea
AF
1415 MachineClass *mc = MACHINE_CLASS(oc);
1416
e264d29d
EH
1417 mc->desc = "Sun4m platform, SPARCserver 600MP";
1418 mc->init = ss600mp_init;
1419 mc->block_default_type = IF_SCSI;
1420 mc->max_cpus = 4;
1421 mc->default_boot_order = "c";
49cbd887 1422 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-SuperSparc-II");
e264d29d 1423}
a526a31c 1424
8a661aea
AF
1425static const TypeInfo ss600mp_type = {
1426 .name = MACHINE_TYPE_NAME("SS-600MP"),
1427 .parent = TYPE_MACHINE,
1428 .class_init = ss600mp_class_init,
1429};
a526a31c 1430
8a661aea 1431static void ss20_class_init(ObjectClass *oc, void *data)
e264d29d 1432{
8a661aea
AF
1433 MachineClass *mc = MACHINE_CLASS(oc);
1434
e264d29d
EH
1435 mc->desc = "Sun4m platform, SPARCstation 20";
1436 mc->init = ss20_init;
1437 mc->block_default_type = IF_SCSI;
1438 mc->max_cpus = 4;
1439 mc->default_boot_order = "c";
49cbd887 1440 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-SuperSparc-II");
e264d29d 1441}
a526a31c 1442
8a661aea
AF
1443static const TypeInfo ss20_type = {
1444 .name = MACHINE_TYPE_NAME("SS-20"),
1445 .parent = TYPE_MACHINE,
1446 .class_init = ss20_class_init,
1447};
a526a31c 1448
8a661aea 1449static void voyager_class_init(ObjectClass *oc, void *data)
e264d29d 1450{
8a661aea
AF
1451 MachineClass *mc = MACHINE_CLASS(oc);
1452
e264d29d
EH
1453 mc->desc = "Sun4m platform, SPARCstation Voyager";
1454 mc->init = vger_init;
1455 mc->block_default_type = IF_SCSI;
1456 mc->default_boot_order = "c";
49cbd887 1457 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("Fujitsu-MB86904");
e264d29d
EH
1458}
1459
8a661aea
AF
1460static const TypeInfo voyager_type = {
1461 .name = MACHINE_TYPE_NAME("Voyager"),
1462 .parent = TYPE_MACHINE,
1463 .class_init = voyager_class_init,
1464};
e264d29d 1465
8a661aea 1466static void ss_lx_class_init(ObjectClass *oc, void *data)
e264d29d 1467{
8a661aea
AF
1468 MachineClass *mc = MACHINE_CLASS(oc);
1469
e264d29d
EH
1470 mc->desc = "Sun4m platform, SPARCstation LX";
1471 mc->init = ss_lx_init;
1472 mc->block_default_type = IF_SCSI;
1473 mc->default_boot_order = "c";
49cbd887 1474 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-MicroSparc-I");
e264d29d
EH
1475}
1476
8a661aea
AF
1477static const TypeInfo ss_lx_type = {
1478 .name = MACHINE_TYPE_NAME("LX"),
1479 .parent = TYPE_MACHINE,
1480 .class_init = ss_lx_class_init,
1481};
e264d29d 1482
8a661aea 1483static void ss4_class_init(ObjectClass *oc, void *data)
e264d29d 1484{
8a661aea
AF
1485 MachineClass *mc = MACHINE_CLASS(oc);
1486
e264d29d
EH
1487 mc->desc = "Sun4m platform, SPARCstation 4";
1488 mc->init = ss4_init;
1489 mc->block_default_type = IF_SCSI;
1490 mc->default_boot_order = "c";
49cbd887 1491 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("Fujitsu-MB86904");
e264d29d
EH
1492}
1493
8a661aea
AF
1494static const TypeInfo ss4_type = {
1495 .name = MACHINE_TYPE_NAME("SS-4"),
1496 .parent = TYPE_MACHINE,
1497 .class_init = ss4_class_init,
1498};
e264d29d 1499
8a661aea 1500static void scls_class_init(ObjectClass *oc, void *data)
e264d29d 1501{
8a661aea
AF
1502 MachineClass *mc = MACHINE_CLASS(oc);
1503
e264d29d
EH
1504 mc->desc = "Sun4m platform, SPARCClassic";
1505 mc->init = scls_init;
1506 mc->block_default_type = IF_SCSI;
1507 mc->default_boot_order = "c";
49cbd887 1508 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-MicroSparc-I");
e264d29d
EH
1509}
1510
8a661aea
AF
1511static const TypeInfo scls_type = {
1512 .name = MACHINE_TYPE_NAME("SPARCClassic"),
1513 .parent = TYPE_MACHINE,
1514 .class_init = scls_class_init,
1515};
e264d29d 1516
8a661aea 1517static void sbook_class_init(ObjectClass *oc, void *data)
e264d29d 1518{
8a661aea
AF
1519 MachineClass *mc = MACHINE_CLASS(oc);
1520
e264d29d
EH
1521 mc->desc = "Sun4m platform, SPARCbook";
1522 mc->init = sbook_init;
1523 mc->block_default_type = IF_SCSI;
1524 mc->default_boot_order = "c";
49cbd887 1525 mc->default_cpu_type = SPARC_CPU_TYPE_NAME("TI-MicroSparc-I");
e264d29d
EH
1526}
1527
8a661aea
AF
1528static const TypeInfo sbook_type = {
1529 .name = MACHINE_TYPE_NAME("SPARCbook"),
1530 .parent = TYPE_MACHINE,
1531 .class_init = sbook_class_init,
1532};
a526a31c 1533
83f7d43a
AF
1534static void sun4m_register_types(void)
1535{
1536 type_register_static(&idreg_info);
1537 type_register_static(&afx_info);
1538 type_register_static(&prom_info);
1539 type_register_static(&ram_info);
83f7d43a 1540
8a661aea
AF
1541 type_register_static(&ss5_type);
1542 type_register_static(&ss10_type);
1543 type_register_static(&ss600mp_type);
1544 type_register_static(&ss20_type);
1545 type_register_static(&voyager_type);
1546 type_register_static(&ss_lx_type);
1547 type_register_static(&ss4_type);
1548 type_register_static(&scls_type);
1549 type_register_static(&sbook_type);
1550}
1551
83f7d43a 1552type_init(sun4m_register_types)