]> git.proxmox.com Git - qemu.git/blame - target-ppc/cpu.h
cpu: Introduce CPUClass::gdb_core_xml_file for GDB_CORE_XML
[qemu.git] / target-ppc / cpu.h
CommitLineData
79aceca5 1/*
3fc6c082 2 * PowerPC emulation cpu definitions for qemu.
5fafdf24 3 *
76a66253 4 * Copyright (c) 2003-2007 Jocelyn Mayer
79aceca5
FB
5 *
6 * This library is free software; you can redistribute it and/or
7 * modify it under the terms of the GNU Lesser General Public
8 * License as published by the Free Software Foundation; either
9 * version 2 of the License, or (at your option) any later version.
10 *
11 * This library is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
14 * Lesser General Public License for more details.
15 *
16 * You should have received a copy of the GNU Lesser General Public
8167ee88 17 * License along with this library; if not, see <http://www.gnu.org/licenses/>.
79aceca5
FB
18 */
19#if !defined (__CPU_PPC_H__)
20#define __CPU_PPC_H__
21
3fc6c082 22#include "config.h"
9a78eead 23#include "qemu-common.h"
3fc6c082 24
a4f30719
JM
25//#define PPC_EMULATE_32BITS_HYPV
26
76a66253 27#if defined (TARGET_PPC64)
3cd7d1dd 28/* PowerPC 64 definitions */
d9d7210c 29#define TARGET_LONG_BITS 64
35cdaad6 30#define TARGET_PAGE_BITS 12
3cd7d1dd 31
52705890
RH
32/* Note that the official physical address space bits is 62-M where M
33 is implementation dependent. I've not looked up M for the set of
34 cpus we emulate at the system level. */
35#define TARGET_PHYS_ADDR_SPACE_BITS 62
36
37/* Note that the PPC environment architecture talks about 80 bit virtual
38 addresses, with segmentation. Obviously that's not all visible to a
39 single process, which is all we're concerned with here. */
40#ifdef TARGET_ABI32
41# define TARGET_VIRT_ADDR_SPACE_BITS 32
42#else
43# define TARGET_VIRT_ADDR_SPACE_BITS 64
44#endif
45
81762d6d
DG
46#define TARGET_PAGE_BITS_16M 24
47
3cd7d1dd
JM
48#else /* defined (TARGET_PPC64) */
49/* PowerPC 32 definitions */
d9d7210c 50#define TARGET_LONG_BITS 32
3cd7d1dd
JM
51
52#if defined(TARGET_PPCEMB)
53/* Specific definitions for PowerPC embedded */
54/* BookE have 36 bits physical address space */
3cd7d1dd
JM
55#if defined(CONFIG_USER_ONLY)
56/* It looks like a lot of Linux programs assume page size
57 * is 4kB long. This is evil, but we have to deal with it...
58 */
35cdaad6 59#define TARGET_PAGE_BITS 12
3cd7d1dd
JM
60#else /* defined(CONFIG_USER_ONLY) */
61/* Pages can be 1 kB small */
62#define TARGET_PAGE_BITS 10
63#endif /* defined(CONFIG_USER_ONLY) */
64#else /* defined(TARGET_PPCEMB) */
65/* "standard" PowerPC 32 definitions */
66#define TARGET_PAGE_BITS 12
67#endif /* defined(TARGET_PPCEMB) */
68
8b242eba 69#define TARGET_PHYS_ADDR_SPACE_BITS 36
52705890
RH
70#define TARGET_VIRT_ADDR_SPACE_BITS 32
71
3cd7d1dd 72#endif /* defined (TARGET_PPC64) */
3cf1e035 73
9349b4f9 74#define CPUArchState struct CPUPPCState
c2764719 75
022c62cb 76#include "exec/cpu-defs.h"
79aceca5 77
6b4c305c 78#include "fpu/softfloat.h"
4ecc3190 79
1fddef4b
FB
80#define TARGET_HAS_ICE 1
81
7f70c937 82#if defined (TARGET_PPC64)
76a66253
JM
83#define ELF_MACHINE EM_PPC64
84#else
85#define ELF_MACHINE EM_PPC
86#endif
9042c0e2 87
3fc6c082 88/*****************************************************************************/
a750fc0b 89/* MMU model */
c227f099
AL
90typedef enum powerpc_mmu_t powerpc_mmu_t;
91enum powerpc_mmu_t {
add78955 92 POWERPC_MMU_UNKNOWN = 0x00000000,
a750fc0b 93 /* Standard 32 bits PowerPC MMU */
add78955 94 POWERPC_MMU_32B = 0x00000001,
a750fc0b 95 /* PowerPC 6xx MMU with software TLB */
add78955 96 POWERPC_MMU_SOFT_6xx = 0x00000002,
a750fc0b 97 /* PowerPC 74xx MMU with software TLB */
add78955 98 POWERPC_MMU_SOFT_74xx = 0x00000003,
a750fc0b 99 /* PowerPC 4xx MMU with software TLB */
add78955 100 POWERPC_MMU_SOFT_4xx = 0x00000004,
a750fc0b 101 /* PowerPC 4xx MMU with software TLB and zones protections */
add78955 102 POWERPC_MMU_SOFT_4xx_Z = 0x00000005,
b4095fed 103 /* PowerPC MMU in real mode only */
add78955 104 POWERPC_MMU_REAL = 0x00000006,
b4095fed 105 /* Freescale MPC8xx MMU model */
add78955 106 POWERPC_MMU_MPC8xx = 0x00000007,
a750fc0b 107 /* BookE MMU model */
add78955 108 POWERPC_MMU_BOOKE = 0x00000008,
01662f3e
AG
109 /* BookE 2.06 MMU model */
110 POWERPC_MMU_BOOKE206 = 0x00000009,
faadf50e 111 /* PowerPC 601 MMU model (specific BATs format) */
add78955 112 POWERPC_MMU_601 = 0x0000000A,
00af685f 113#if defined(TARGET_PPC64)
add78955 114#define POWERPC_MMU_64 0x00010000
cdaee006 115#define POWERPC_MMU_1TSEG 0x00020000
f80872e2 116#define POWERPC_MMU_AMR 0x00040000
12de9a39 117 /* 64 bits PowerPC MMU */
add78955 118 POWERPC_MMU_64B = POWERPC_MMU_64 | 0x00000001,
9d52e907 119 /* Architecture 2.06 variant */
f80872e2
DG
120 POWERPC_MMU_2_06 = POWERPC_MMU_64 | POWERPC_MMU_1TSEG
121 | POWERPC_MMU_AMR | 0x00000003,
126a7930
AG
122 /* Architecture 2.06 "degraded" (no 1T segments) */
123 POWERPC_MMU_2_06a = POWERPC_MMU_64 | POWERPC_MMU_AMR
124 | 0x00000003,
f80872e2 125 /* Architecture 2.06 "degraded" (no 1T segments or AMR) */
4656e1f0 126 POWERPC_MMU_2_06d = POWERPC_MMU_64 | 0x00000003,
00af685f 127#endif /* defined(TARGET_PPC64) */
3fc6c082
FB
128};
129
130/*****************************************************************************/
a750fc0b 131/* Exception model */
c227f099
AL
132typedef enum powerpc_excp_t powerpc_excp_t;
133enum powerpc_excp_t {
a750fc0b 134 POWERPC_EXCP_UNKNOWN = 0,
3fc6c082 135 /* Standard PowerPC exception model */
a750fc0b 136 POWERPC_EXCP_STD,
2662a059 137 /* PowerPC 40x exception model */
a750fc0b 138 POWERPC_EXCP_40x,
2662a059 139 /* PowerPC 601 exception model */
a750fc0b 140 POWERPC_EXCP_601,
2662a059 141 /* PowerPC 602 exception model */
a750fc0b 142 POWERPC_EXCP_602,
2662a059 143 /* PowerPC 603 exception model */
a750fc0b
JM
144 POWERPC_EXCP_603,
145 /* PowerPC 603e exception model */
146 POWERPC_EXCP_603E,
147 /* PowerPC G2 exception model */
148 POWERPC_EXCP_G2,
2662a059 149 /* PowerPC 604 exception model */
a750fc0b 150 POWERPC_EXCP_604,
2662a059 151 /* PowerPC 7x0 exception model */
a750fc0b 152 POWERPC_EXCP_7x0,
2662a059 153 /* PowerPC 7x5 exception model */
a750fc0b 154 POWERPC_EXCP_7x5,
2662a059 155 /* PowerPC 74xx exception model */
a750fc0b 156 POWERPC_EXCP_74xx,
2662a059 157 /* BookE exception model */
a750fc0b 158 POWERPC_EXCP_BOOKE,
00af685f
JM
159#if defined(TARGET_PPC64)
160 /* PowerPC 970 exception model */
161 POWERPC_EXCP_970,
9d52e907
DG
162 /* POWER7 exception model */
163 POWERPC_EXCP_POWER7,
00af685f 164#endif /* defined(TARGET_PPC64) */
a750fc0b
JM
165};
166
e1833e1f
JM
167/*****************************************************************************/
168/* Exception vectors definitions */
169enum {
170 POWERPC_EXCP_NONE = -1,
171 /* The 64 first entries are used by the PowerPC embedded specification */
172 POWERPC_EXCP_CRITICAL = 0, /* Critical input */
173 POWERPC_EXCP_MCHECK = 1, /* Machine check exception */
174 POWERPC_EXCP_DSI = 2, /* Data storage exception */
175 POWERPC_EXCP_ISI = 3, /* Instruction storage exception */
176 POWERPC_EXCP_EXTERNAL = 4, /* External input */
177 POWERPC_EXCP_ALIGN = 5, /* Alignment exception */
178 POWERPC_EXCP_PROGRAM = 6, /* Program exception */
179 POWERPC_EXCP_FPU = 7, /* Floating-point unavailable exception */
180 POWERPC_EXCP_SYSCALL = 8, /* System call exception */
181 POWERPC_EXCP_APU = 9, /* Auxiliary processor unavailable */
182 POWERPC_EXCP_DECR = 10, /* Decrementer exception */
183 POWERPC_EXCP_FIT = 11, /* Fixed-interval timer interrupt */
184 POWERPC_EXCP_WDT = 12, /* Watchdog timer interrupt */
b4095fed
JM
185 POWERPC_EXCP_DTLB = 13, /* Data TLB miss */
186 POWERPC_EXCP_ITLB = 14, /* Instruction TLB miss */
e1833e1f
JM
187 POWERPC_EXCP_DEBUG = 15, /* Debug interrupt */
188 /* Vectors 16 to 31 are reserved */
e1833e1f
JM
189 POWERPC_EXCP_SPEU = 32, /* SPE/embedded floating-point unavailable */
190 POWERPC_EXCP_EFPDI = 33, /* Embedded floating-point data interrupt */
191 POWERPC_EXCP_EFPRI = 34, /* Embedded floating-point round interrupt */
192 POWERPC_EXCP_EPERFM = 35, /* Embedded performance monitor interrupt */
193 POWERPC_EXCP_DOORI = 36, /* Embedded doorbell interrupt */
194 POWERPC_EXCP_DOORCI = 37, /* Embedded doorbell critical interrupt */
0ef654e3
AG
195 POWERPC_EXCP_GDOORI = 38, /* Embedded guest doorbell interrupt */
196 POWERPC_EXCP_GDOORCI = 39, /* Embedded guest doorbell critical interrupt*/
197 POWERPC_EXCP_HYPPRIV = 41, /* Embedded hypervisor priv instruction */
198 /* Vectors 42 to 63 are reserved */
e1833e1f
JM
199 /* Exceptions defined in the PowerPC server specification */
200 POWERPC_EXCP_RESET = 64, /* System reset exception */
e1833e1f
JM
201 POWERPC_EXCP_DSEG = 65, /* Data segment exception */
202 POWERPC_EXCP_ISEG = 66, /* Instruction segment exception */
e1833e1f 203 POWERPC_EXCP_HDECR = 67, /* Hypervisor decrementer exception */
e1833e1f 204 POWERPC_EXCP_TRACE = 68, /* Trace exception */
e1833e1f
JM
205 POWERPC_EXCP_HDSI = 69, /* Hypervisor data storage exception */
206 POWERPC_EXCP_HISI = 70, /* Hypervisor instruction storage exception */
207 POWERPC_EXCP_HDSEG = 71, /* Hypervisor data segment exception */
208 POWERPC_EXCP_HISEG = 72, /* Hypervisor instruction segment exception */
e1833e1f
JM
209 POWERPC_EXCP_VPU = 73, /* Vector unavailable exception */
210 /* 40x specific exceptions */
211 POWERPC_EXCP_PIT = 74, /* Programmable interval timer interrupt */
212 /* 601 specific exceptions */
213 POWERPC_EXCP_IO = 75, /* IO error exception */
214 POWERPC_EXCP_RUNM = 76, /* Run mode exception */
215 /* 602 specific exceptions */
216 POWERPC_EXCP_EMUL = 77, /* Emulation trap exception */
217 /* 602/603 specific exceptions */
b4095fed 218 POWERPC_EXCP_IFTLB = 78, /* Instruction fetch TLB miss */
e1833e1f
JM
219 POWERPC_EXCP_DLTLB = 79, /* Data load TLB miss */
220 POWERPC_EXCP_DSTLB = 80, /* Data store TLB miss */
221 /* Exceptions available on most PowerPC */
222 POWERPC_EXCP_FPA = 81, /* Floating-point assist exception */
b4095fed
JM
223 POWERPC_EXCP_DABR = 82, /* Data address breakpoint */
224 POWERPC_EXCP_IABR = 83, /* Instruction address breakpoint */
225 POWERPC_EXCP_SMI = 84, /* System management interrupt */
226 POWERPC_EXCP_PERFM = 85, /* Embedded performance monitor interrupt */
e1833e1f 227 /* 7xx/74xx specific exceptions */
b4095fed 228 POWERPC_EXCP_THERM = 86, /* Thermal interrupt */
e1833e1f 229 /* 74xx specific exceptions */
b4095fed 230 POWERPC_EXCP_VPUA = 87, /* Vector assist exception */
e1833e1f 231 /* 970FX specific exceptions */
b4095fed
JM
232 POWERPC_EXCP_SOFTP = 88, /* Soft patch exception */
233 POWERPC_EXCP_MAINT = 89, /* Maintenance exception */
5b46d07d 234 /* Freescale embedded cores specific exceptions */
b4095fed
JM
235 POWERPC_EXCP_MEXTBR = 90, /* Maskable external breakpoint */
236 POWERPC_EXCP_NMEXTBR = 91, /* Non maskable external breakpoint */
237 POWERPC_EXCP_ITLBE = 92, /* Instruction TLB error */
238 POWERPC_EXCP_DTLBE = 93, /* Data TLB error */
e1833e1f
JM
239 /* EOL */
240 POWERPC_EXCP_NB = 96,
5cbdb3a3 241 /* QEMU exceptions: used internally during code translation */
e1833e1f
JM
242 POWERPC_EXCP_STOP = 0x200, /* stop translation */
243 POWERPC_EXCP_BRANCH = 0x201, /* branch instruction */
5cbdb3a3 244 /* QEMU exceptions: special cases we want to stop translation */
e1833e1f
JM
245 POWERPC_EXCP_SYNC = 0x202, /* context synchronizing instruction */
246 POWERPC_EXCP_SYSCALL_USER = 0x203, /* System call in user mode only */
4425265b 247 POWERPC_EXCP_STCX = 0x204 /* Conditional stores in user mode */
e1833e1f
JM
248};
249
e1833e1f
JM
250/* Exceptions error codes */
251enum {
252 /* Exception subtypes for POWERPC_EXCP_ALIGN */
253 POWERPC_EXCP_ALIGN_FP = 0x01, /* FP alignment exception */
254 POWERPC_EXCP_ALIGN_LST = 0x02, /* Unaligned mult/extern load/store */
255 POWERPC_EXCP_ALIGN_LE = 0x03, /* Multiple little-endian access */
256 POWERPC_EXCP_ALIGN_PROT = 0x04, /* Access cross protection boundary */
257 POWERPC_EXCP_ALIGN_BAT = 0x05, /* Access cross a BAT/seg boundary */
258 POWERPC_EXCP_ALIGN_CACHE = 0x06, /* Impossible dcbz access */
259 /* Exception subtypes for POWERPC_EXCP_PROGRAM */
260 /* FP exceptions */
261 POWERPC_EXCP_FP = 0x10,
262 POWERPC_EXCP_FP_OX = 0x01, /* FP overflow */
263 POWERPC_EXCP_FP_UX = 0x02, /* FP underflow */
264 POWERPC_EXCP_FP_ZX = 0x03, /* FP divide by zero */
265 POWERPC_EXCP_FP_XX = 0x04, /* FP inexact */
7c58044c 266 POWERPC_EXCP_FP_VXSNAN = 0x05, /* FP invalid SNaN op */
e1833e1f
JM
267 POWERPC_EXCP_FP_VXISI = 0x06, /* FP invalid infinite subtraction */
268 POWERPC_EXCP_FP_VXIDI = 0x07, /* FP invalid infinite divide */
269 POWERPC_EXCP_FP_VXZDZ = 0x08, /* FP invalid zero divide */
270 POWERPC_EXCP_FP_VXIMZ = 0x09, /* FP invalid infinite * zero */
271 POWERPC_EXCP_FP_VXVC = 0x0A, /* FP invalid compare */
272 POWERPC_EXCP_FP_VXSOFT = 0x0B, /* FP invalid operation */
273 POWERPC_EXCP_FP_VXSQRT = 0x0C, /* FP invalid square root */
274 POWERPC_EXCP_FP_VXCVI = 0x0D, /* FP invalid integer conversion */
275 /* Invalid instruction */
276 POWERPC_EXCP_INVAL = 0x20,
277 POWERPC_EXCP_INVAL_INVAL = 0x01, /* Invalid instruction */
278 POWERPC_EXCP_INVAL_LSWX = 0x02, /* Invalid lswx instruction */
279 POWERPC_EXCP_INVAL_SPR = 0x03, /* Invalid SPR access */
280 POWERPC_EXCP_INVAL_FP = 0x04, /* Unimplemented mandatory fp instr */
281 /* Privileged instruction */
282 POWERPC_EXCP_PRIV = 0x30,
283 POWERPC_EXCP_PRIV_OPC = 0x01, /* Privileged operation exception */
284 POWERPC_EXCP_PRIV_REG = 0x02, /* Privileged register exception */
285 /* Trap */
286 POWERPC_EXCP_TRAP = 0x40,
287};
288
a750fc0b
JM
289/*****************************************************************************/
290/* Input pins model */
c227f099
AL
291typedef enum powerpc_input_t powerpc_input_t;
292enum powerpc_input_t {
a750fc0b 293 PPC_FLAGS_INPUT_UNKNOWN = 0,
2662a059 294 /* PowerPC 6xx bus */
a750fc0b 295 PPC_FLAGS_INPUT_6xx,
2662a059 296 /* BookE bus */
a750fc0b
JM
297 PPC_FLAGS_INPUT_BookE,
298 /* PowerPC 405 bus */
299 PPC_FLAGS_INPUT_405,
2662a059 300 /* PowerPC 970 bus */
a750fc0b 301 PPC_FLAGS_INPUT_970,
9d52e907
DG
302 /* PowerPC POWER7 bus */
303 PPC_FLAGS_INPUT_POWER7,
a750fc0b
JM
304 /* PowerPC 401 bus */
305 PPC_FLAGS_INPUT_401,
b4095fed
JM
306 /* Freescale RCPU bus */
307 PPC_FLAGS_INPUT_RCPU,
3fc6c082
FB
308};
309
a750fc0b 310#define PPC_INPUT(env) (env->bus_model)
3fc6c082 311
be147d08 312/*****************************************************************************/
c227f099 313typedef struct opc_handler_t opc_handler_t;
79aceca5 314
3fc6c082
FB
315/*****************************************************************************/
316/* Types used to describe some PowerPC registers */
317typedef struct CPUPPCState CPUPPCState;
c227f099
AL
318typedef struct ppc_tb_t ppc_tb_t;
319typedef struct ppc_spr_t ppc_spr_t;
320typedef struct ppc_dcr_t ppc_dcr_t;
321typedef union ppc_avr_t ppc_avr_t;
322typedef union ppc_tlb_t ppc_tlb_t;
76a66253 323
3fc6c082 324/* SPR access micro-ops generations callbacks */
c227f099 325struct ppc_spr_t {
45d827d2
AJ
326 void (*uea_read)(void *opaque, int gpr_num, int spr_num);
327 void (*uea_write)(void *opaque, int spr_num, int gpr_num);
76a66253 328#if !defined(CONFIG_USER_ONLY)
45d827d2
AJ
329 void (*oea_read)(void *opaque, int gpr_num, int spr_num);
330 void (*oea_write)(void *opaque, int spr_num, int gpr_num);
331 void (*hea_read)(void *opaque, int gpr_num, int spr_num);
332 void (*hea_write)(void *opaque, int spr_num, int gpr_num);
76a66253 333#endif
b55266b5 334 const char *name;
d67d40ea
DG
335#ifdef CONFIG_KVM
336 /* We (ab)use the fact that all the SPRs will have ids for the
337 * ONE_REG interface will have KVM_REG_PPC to use 0 as meaning,
338 * don't sync this */
339 uint64_t one_reg_id;
340#endif
3fc6c082
FB
341};
342
343/* Altivec registers (128 bits) */
c227f099 344union ppc_avr_t {
0f6fbcbc 345 float32 f[4];
a9d9eb8f
JM
346 uint8_t u8[16];
347 uint16_t u16[8];
348 uint32_t u32[4];
ab5f265d
AJ
349 int8_t s8[16];
350 int16_t s16[8];
351 int32_t s32[4];
a9d9eb8f 352 uint64_t u64[2];
3fc6c082 353};
9fddaa0c 354
3c7b48b7 355#if !defined(CONFIG_USER_ONLY)
3fc6c082 356/* Software TLB cache */
c227f099
AL
357typedef struct ppc6xx_tlb_t ppc6xx_tlb_t;
358struct ppc6xx_tlb_t {
76a66253
JM
359 target_ulong pte0;
360 target_ulong pte1;
361 target_ulong EPN;
1d0a48fb
JM
362};
363
c227f099
AL
364typedef struct ppcemb_tlb_t ppcemb_tlb_t;
365struct ppcemb_tlb_t {
b162d02e 366 uint64_t RPN;
1d0a48fb 367 target_ulong EPN;
76a66253 368 target_ulong PID;
c55e9aef
JM
369 target_ulong size;
370 uint32_t prot;
371 uint32_t attr; /* Storage attributes */
1d0a48fb
JM
372};
373
d1e256fe
AG
374typedef struct ppcmas_tlb_t {
375 uint32_t mas8;
376 uint32_t mas1;
377 uint64_t mas2;
378 uint64_t mas7_3;
379} ppcmas_tlb_t;
380
c227f099 381union ppc_tlb_t {
1c53accc
AG
382 ppc6xx_tlb_t *tlb6;
383 ppcemb_tlb_t *tlbe;
384 ppcmas_tlb_t *tlbm;
3fc6c082 385};
1c53accc
AG
386
387/* possible TLB variants */
388#define TLB_NONE 0
389#define TLB_6XX 1
390#define TLB_EMB 2
391#define TLB_MAS 3
3c7b48b7 392#endif
3fc6c082 393
bb593904
DG
394#define SDR_32_HTABORG 0xFFFF0000UL
395#define SDR_32_HTABMASK 0x000001FFUL
396
397#if defined(TARGET_PPC64)
398#define SDR_64_HTABORG 0xFFFFFFFFFFFC0000ULL
399#define SDR_64_HTABSIZE 0x000000000000001FULL
400#endif /* defined(TARGET_PPC64 */
401
c227f099
AL
402typedef struct ppc_slb_t ppc_slb_t;
403struct ppc_slb_t {
81762d6d
DG
404 uint64_t esid;
405 uint64_t vsid;
8eee0af9
BS
406};
407
81762d6d
DG
408#define SEGMENT_SHIFT_256M 28
409#define SEGMENT_MASK_256M (~((1ULL << SEGMENT_SHIFT_256M) - 1))
410
cdaee006
DG
411#define SEGMENT_SHIFT_1T 40
412#define SEGMENT_MASK_1T (~((1ULL << SEGMENT_SHIFT_1T) - 1))
413
414
3fc6c082
FB
415/*****************************************************************************/
416/* Machine state register bits definition */
76a66253 417#define MSR_SF 63 /* Sixty-four-bit mode hflags */
bd928eba 418#define MSR_TAG 62 /* Tag-active mode (POWERx ?) */
3fc6c082 419#define MSR_ISF 61 /* Sixty-four-bit interrupt mode on 630 */
a4f30719 420#define MSR_SHV 60 /* hypervisor state hflags */
363be49c
JM
421#define MSR_CM 31 /* Computation mode for BookE hflags */
422#define MSR_ICM 30 /* Interrupt computation mode for BookE */
a4f30719 423#define MSR_THV 29 /* hypervisor state for 32 bits PowerPC hflags */
71afeb61 424#define MSR_GS 28 /* guest state for BookE */
363be49c 425#define MSR_UCLE 26 /* User-mode cache lock enable for BookE */
d26bfc9a
JM
426#define MSR_VR 25 /* altivec available x hflags */
427#define MSR_SPE 25 /* SPE enable for BookE x hflags */
76a66253
JM
428#define MSR_AP 23 /* Access privilege state on 602 hflags */
429#define MSR_SA 22 /* Supervisor access mode on 602 hflags */
3fc6c082 430#define MSR_KEY 19 /* key bit on 603e */
25ba3a68 431#define MSR_POW 18 /* Power management */
d26bfc9a
JM
432#define MSR_TGPR 17 /* TGPR usage on 602/603 x */
433#define MSR_CE 17 /* Critical interrupt enable on embedded PowerPC x */
3fc6c082
FB
434#define MSR_ILE 16 /* Interrupt little-endian mode */
435#define MSR_EE 15 /* External interrupt enable */
76a66253
JM
436#define MSR_PR 14 /* Problem state hflags */
437#define MSR_FP 13 /* Floating point available hflags */
3fc6c082 438#define MSR_ME 12 /* Machine check interrupt enable */
76a66253 439#define MSR_FE0 11 /* Floating point exception mode 0 hflags */
d26bfc9a
JM
440#define MSR_SE 10 /* Single-step trace enable x hflags */
441#define MSR_DWE 10 /* Debug wait enable on 405 x */
442#define MSR_UBLE 10 /* User BTB lock enable on e500 x */
443#define MSR_BE 9 /* Branch trace enable x hflags */
444#define MSR_DE 9 /* Debug interrupts enable on embedded PowerPC x */
76a66253 445#define MSR_FE1 8 /* Floating point exception mode 1 hflags */
3fc6c082 446#define MSR_AL 7 /* AL bit on POWER */
0411a972 447#define MSR_EP 6 /* Exception prefix on 601 */
3fc6c082 448#define MSR_IR 5 /* Instruction relocate */
3fc6c082 449#define MSR_DR 4 /* Data relocate */
25ba3a68 450#define MSR_PE 3 /* Protection enable on 403 */
d26bfc9a
JM
451#define MSR_PX 2 /* Protection exclusive on 403 x */
452#define MSR_PMM 2 /* Performance monitor mark on POWER x */
453#define MSR_RI 1 /* Recoverable interrupt 1 */
454#define MSR_LE 0 /* Little-endian mode 1 hflags */
0411a972
JM
455
456#define msr_sf ((env->msr >> MSR_SF) & 1)
457#define msr_isf ((env->msr >> MSR_ISF) & 1)
a4f30719 458#define msr_shv ((env->msr >> MSR_SHV) & 1)
0411a972
JM
459#define msr_cm ((env->msr >> MSR_CM) & 1)
460#define msr_icm ((env->msr >> MSR_ICM) & 1)
a4f30719 461#define msr_thv ((env->msr >> MSR_THV) & 1)
71afeb61 462#define msr_gs ((env->msr >> MSR_GS) & 1)
0411a972
JM
463#define msr_ucle ((env->msr >> MSR_UCLE) & 1)
464#define msr_vr ((env->msr >> MSR_VR) & 1)
f9320410 465#define msr_spe ((env->msr >> MSR_SPE) & 1)
0411a972
JM
466#define msr_ap ((env->msr >> MSR_AP) & 1)
467#define msr_sa ((env->msr >> MSR_SA) & 1)
468#define msr_key ((env->msr >> MSR_KEY) & 1)
469#define msr_pow ((env->msr >> MSR_POW) & 1)
470#define msr_tgpr ((env->msr >> MSR_TGPR) & 1)
471#define msr_ce ((env->msr >> MSR_CE) & 1)
472#define msr_ile ((env->msr >> MSR_ILE) & 1)
473#define msr_ee ((env->msr >> MSR_EE) & 1)
474#define msr_pr ((env->msr >> MSR_PR) & 1)
475#define msr_fp ((env->msr >> MSR_FP) & 1)
476#define msr_me ((env->msr >> MSR_ME) & 1)
477#define msr_fe0 ((env->msr >> MSR_FE0) & 1)
478#define msr_se ((env->msr >> MSR_SE) & 1)
479#define msr_dwe ((env->msr >> MSR_DWE) & 1)
480#define msr_uble ((env->msr >> MSR_UBLE) & 1)
481#define msr_be ((env->msr >> MSR_BE) & 1)
482#define msr_de ((env->msr >> MSR_DE) & 1)
483#define msr_fe1 ((env->msr >> MSR_FE1) & 1)
484#define msr_al ((env->msr >> MSR_AL) & 1)
485#define msr_ep ((env->msr >> MSR_EP) & 1)
486#define msr_ir ((env->msr >> MSR_IR) & 1)
487#define msr_dr ((env->msr >> MSR_DR) & 1)
488#define msr_pe ((env->msr >> MSR_PE) & 1)
489#define msr_px ((env->msr >> MSR_PX) & 1)
490#define msr_pmm ((env->msr >> MSR_PMM) & 1)
491#define msr_ri ((env->msr >> MSR_RI) & 1)
492#define msr_le ((env->msr >> MSR_LE) & 1)
a4f30719
JM
493/* Hypervisor bit is more specific */
494#if defined(TARGET_PPC64)
495#define MSR_HVB (1ULL << MSR_SHV)
496#define msr_hv msr_shv
497#else
498#if defined(PPC_EMULATE_32BITS_HYPV)
499#define MSR_HVB (1ULL << MSR_THV)
500#define msr_hv msr_thv
a4f30719
JM
501#else
502#define MSR_HVB (0ULL)
503#define msr_hv (0)
504#endif
505#endif
79aceca5 506
a586e548 507/* Exception state register bits definition */
542df9bf
AG
508#define ESR_PIL (1 << (63 - 36)) /* Illegal Instruction */
509#define ESR_PPR (1 << (63 - 37)) /* Privileged Instruction */
510#define ESR_PTR (1 << (63 - 38)) /* Trap */
511#define ESR_FP (1 << (63 - 39)) /* Floating-Point Operation */
512#define ESR_ST (1 << (63 - 40)) /* Store Operation */
513#define ESR_AP (1 << (63 - 44)) /* Auxiliary Processor Operation */
514#define ESR_PUO (1 << (63 - 45)) /* Unimplemented Operation */
515#define ESR_BO (1 << (63 - 46)) /* Byte Ordering */
516#define ESR_PIE (1 << (63 - 47)) /* Imprecise exception */
517#define ESR_DATA (1 << (63 - 53)) /* Data Access (Embedded page table) */
518#define ESR_TLBI (1 << (63 - 54)) /* TLB Ineligible (Embedded page table) */
519#define ESR_PT (1 << (63 - 55)) /* Page Table (Embedded page table) */
520#define ESR_SPV (1 << (63 - 56)) /* SPE/VMX operation */
521#define ESR_EPID (1 << (63 - 57)) /* External Process ID operation */
522#define ESR_VLEMI (1 << (63 - 58)) /* VLE operation */
523#define ESR_MIF (1 << (63 - 62)) /* Misaligned instruction (VLE) */
a586e548 524
d26bfc9a 525enum {
4018bae9 526 POWERPC_FLAG_NONE = 0x00000000,
d26bfc9a 527 /* Flag for MSR bit 25 signification (VRE/SPE) */
4018bae9
JM
528 POWERPC_FLAG_SPE = 0x00000001,
529 POWERPC_FLAG_VRE = 0x00000002,
d26bfc9a 530 /* Flag for MSR bit 17 signification (TGPR/CE) */
4018bae9
JM
531 POWERPC_FLAG_TGPR = 0x00000004,
532 POWERPC_FLAG_CE = 0x00000008,
d26bfc9a 533 /* Flag for MSR bit 10 signification (SE/DWE/UBLE) */
4018bae9
JM
534 POWERPC_FLAG_SE = 0x00000010,
535 POWERPC_FLAG_DWE = 0x00000020,
536 POWERPC_FLAG_UBLE = 0x00000040,
d26bfc9a 537 /* Flag for MSR bit 9 signification (BE/DE) */
4018bae9
JM
538 POWERPC_FLAG_BE = 0x00000080,
539 POWERPC_FLAG_DE = 0x00000100,
a4f30719 540 /* Flag for MSR bit 2 signification (PX/PMM) */
4018bae9
JM
541 POWERPC_FLAG_PX = 0x00000200,
542 POWERPC_FLAG_PMM = 0x00000400,
543 /* Flag for special features */
544 /* Decrementer clock: RTC clock (POWER, 601) or bus clock */
545 POWERPC_FLAG_RTC_CLK = 0x00010000,
546 POWERPC_FLAG_BUS_CLK = 0x00020000,
697ab892
DG
547 /* Has CFAR */
548 POWERPC_FLAG_CFAR = 0x00040000,
d26bfc9a
JM
549};
550
7c58044c
JM
551/*****************************************************************************/
552/* Floating point status and control register */
553#define FPSCR_FX 31 /* Floating-point exception summary */
554#define FPSCR_FEX 30 /* Floating-point enabled exception summary */
555#define FPSCR_VX 29 /* Floating-point invalid operation exception summ. */
556#define FPSCR_OX 28 /* Floating-point overflow exception */
557#define FPSCR_UX 27 /* Floating-point underflow exception */
558#define FPSCR_ZX 26 /* Floating-point zero divide exception */
559#define FPSCR_XX 25 /* Floating-point inexact exception */
560#define FPSCR_VXSNAN 24 /* Floating-point invalid operation exception (sNan) */
561#define FPSCR_VXISI 23 /* Floating-point invalid operation exception (inf) */
562#define FPSCR_VXIDI 22 /* Floating-point invalid operation exception (inf) */
563#define FPSCR_VXZDZ 21 /* Floating-point invalid operation exception (zero) */
564#define FPSCR_VXIMZ 20 /* Floating-point invalid operation exception (inf) */
565#define FPSCR_VXVC 19 /* Floating-point invalid operation exception (comp) */
566#define FPSCR_FR 18 /* Floating-point fraction rounded */
567#define FPSCR_FI 17 /* Floating-point fraction inexact */
568#define FPSCR_C 16 /* Floating-point result class descriptor */
569#define FPSCR_FL 15 /* Floating-point less than or negative */
570#define FPSCR_FG 14 /* Floating-point greater than or negative */
571#define FPSCR_FE 13 /* Floating-point equal or zero */
572#define FPSCR_FU 12 /* Floating-point unordered or NaN */
573#define FPSCR_FPCC 12 /* Floating-point condition code */
574#define FPSCR_FPRF 12 /* Floating-point result flags */
575#define FPSCR_VXSOFT 10 /* Floating-point invalid operation exception (soft) */
576#define FPSCR_VXSQRT 9 /* Floating-point invalid operation exception (sqrt) */
577#define FPSCR_VXCVI 8 /* Floating-point invalid operation exception (int) */
578#define FPSCR_VE 7 /* Floating-point invalid operation exception enable */
579#define FPSCR_OE 6 /* Floating-point overflow exception enable */
580#define FPSCR_UE 5 /* Floating-point undeflow exception enable */
581#define FPSCR_ZE 4 /* Floating-point zero divide exception enable */
582#define FPSCR_XE 3 /* Floating-point inexact exception enable */
583#define FPSCR_NI 2 /* Floating-point non-IEEE mode */
584#define FPSCR_RN1 1
585#define FPSCR_RN 0 /* Floating-point rounding control */
586#define fpscr_fex (((env->fpscr) >> FPSCR_FEX) & 0x1)
587#define fpscr_vx (((env->fpscr) >> FPSCR_VX) & 0x1)
588#define fpscr_ox (((env->fpscr) >> FPSCR_OX) & 0x1)
589#define fpscr_ux (((env->fpscr) >> FPSCR_UX) & 0x1)
590#define fpscr_zx (((env->fpscr) >> FPSCR_ZX) & 0x1)
591#define fpscr_xx (((env->fpscr) >> FPSCR_XX) & 0x1)
592#define fpscr_vxsnan (((env->fpscr) >> FPSCR_VXSNAN) & 0x1)
593#define fpscr_vxisi (((env->fpscr) >> FPSCR_VXISI) & 0x1)
594#define fpscr_vxidi (((env->fpscr) >> FPSCR_VXIDI) & 0x1)
595#define fpscr_vxzdz (((env->fpscr) >> FPSCR_VXZDZ) & 0x1)
596#define fpscr_vximz (((env->fpscr) >> FPSCR_VXIMZ) & 0x1)
597#define fpscr_vxvc (((env->fpscr) >> FPSCR_VXVC) & 0x1)
598#define fpscr_fpcc (((env->fpscr) >> FPSCR_FPCC) & 0xF)
599#define fpscr_vxsoft (((env->fpscr) >> FPSCR_VXSOFT) & 0x1)
600#define fpscr_vxsqrt (((env->fpscr) >> FPSCR_VXSQRT) & 0x1)
601#define fpscr_vxcvi (((env->fpscr) >> FPSCR_VXCVI) & 0x1)
602#define fpscr_ve (((env->fpscr) >> FPSCR_VE) & 0x1)
603#define fpscr_oe (((env->fpscr) >> FPSCR_OE) & 0x1)
604#define fpscr_ue (((env->fpscr) >> FPSCR_UE) & 0x1)
605#define fpscr_ze (((env->fpscr) >> FPSCR_ZE) & 0x1)
606#define fpscr_xe (((env->fpscr) >> FPSCR_XE) & 0x1)
607#define fpscr_ni (((env->fpscr) >> FPSCR_NI) & 0x1)
608#define fpscr_rn (((env->fpscr) >> FPSCR_RN) & 0x3)
609/* Invalid operation exception summary */
610#define fpscr_ix ((env->fpscr) & ((1 << FPSCR_VXSNAN) | (1 << FPSCR_VXISI) | \
611 (1 << FPSCR_VXIDI) | (1 << FPSCR_VXZDZ) | \
612 (1 << FPSCR_VXIMZ) | (1 << FPSCR_VXVC) | \
613 (1 << FPSCR_VXSOFT) | (1 << FPSCR_VXSQRT) | \
614 (1 << FPSCR_VXCVI)))
615/* exception summary */
616#define fpscr_ex (((env->fpscr) >> FPSCR_XX) & 0x1F)
617/* enabled exception summary */
618#define fpscr_eex (((env->fpscr) >> FPSCR_XX) & ((env->fpscr) >> FPSCR_XE) & \
619 0x1F)
620
621/*****************************************************************************/
6fa724a3
AJ
622/* Vector status and control register */
623#define VSCR_NJ 16 /* Vector non-java */
624#define VSCR_SAT 0 /* Vector saturation */
625#define vscr_nj (((env->vscr) >> VSCR_NJ) & 0x1)
626#define vscr_sat (((env->vscr) >> VSCR_SAT) & 0x1)
627
01662f3e
AG
628/*****************************************************************************/
629/* BookE e500 MMU registers */
630
631#define MAS0_NV_SHIFT 0
632#define MAS0_NV_MASK (0xfff << MAS0_NV_SHIFT)
633
634#define MAS0_WQ_SHIFT 12
635#define MAS0_WQ_MASK (3 << MAS0_WQ_SHIFT)
636/* Write TLB entry regardless of reservation */
637#define MAS0_WQ_ALWAYS (0 << MAS0_WQ_SHIFT)
638/* Write TLB entry only already in use */
639#define MAS0_WQ_COND (1 << MAS0_WQ_SHIFT)
640/* Clear TLB entry */
641#define MAS0_WQ_CLR_RSRV (2 << MAS0_WQ_SHIFT)
642
643#define MAS0_HES_SHIFT 14
644#define MAS0_HES (1 << MAS0_HES_SHIFT)
645
646#define MAS0_ESEL_SHIFT 16
647#define MAS0_ESEL_MASK (0xfff << MAS0_ESEL_SHIFT)
648
649#define MAS0_TLBSEL_SHIFT 28
650#define MAS0_TLBSEL_MASK (3 << MAS0_TLBSEL_SHIFT)
651#define MAS0_TLBSEL_TLB0 (0 << MAS0_TLBSEL_SHIFT)
652#define MAS0_TLBSEL_TLB1 (1 << MAS0_TLBSEL_SHIFT)
653#define MAS0_TLBSEL_TLB2 (2 << MAS0_TLBSEL_SHIFT)
654#define MAS0_TLBSEL_TLB3 (3 << MAS0_TLBSEL_SHIFT)
655
656#define MAS0_ATSEL_SHIFT 31
657#define MAS0_ATSEL (1 << MAS0_ATSEL_SHIFT)
658#define MAS0_ATSEL_TLB 0
659#define MAS0_ATSEL_LRAT MAS0_ATSEL
660
2bd9543c
SW
661#define MAS1_TSIZE_SHIFT 7
662#define MAS1_TSIZE_MASK (0x1f << MAS1_TSIZE_SHIFT)
01662f3e
AG
663
664#define MAS1_TS_SHIFT 12
665#define MAS1_TS (1 << MAS1_TS_SHIFT)
666
667#define MAS1_IND_SHIFT 13
668#define MAS1_IND (1 << MAS1_IND_SHIFT)
669
670#define MAS1_TID_SHIFT 16
671#define MAS1_TID_MASK (0x3fff << MAS1_TID_SHIFT)
672
673#define MAS1_IPROT_SHIFT 30
674#define MAS1_IPROT (1 << MAS1_IPROT_SHIFT)
675
676#define MAS1_VALID_SHIFT 31
677#define MAS1_VALID 0x80000000
678
679#define MAS2_EPN_SHIFT 12
96091698 680#define MAS2_EPN_MASK (~0ULL << MAS2_EPN_SHIFT)
01662f3e
AG
681
682#define MAS2_ACM_SHIFT 6
683#define MAS2_ACM (1 << MAS2_ACM_SHIFT)
684
685#define MAS2_VLE_SHIFT 5
686#define MAS2_VLE (1 << MAS2_VLE_SHIFT)
687
688#define MAS2_W_SHIFT 4
689#define MAS2_W (1 << MAS2_W_SHIFT)
690
691#define MAS2_I_SHIFT 3
692#define MAS2_I (1 << MAS2_I_SHIFT)
693
694#define MAS2_M_SHIFT 2
695#define MAS2_M (1 << MAS2_M_SHIFT)
696
697#define MAS2_G_SHIFT 1
698#define MAS2_G (1 << MAS2_G_SHIFT)
699
700#define MAS2_E_SHIFT 0
701#define MAS2_E (1 << MAS2_E_SHIFT)
702
703#define MAS3_RPN_SHIFT 12
704#define MAS3_RPN_MASK (0xfffff << MAS3_RPN_SHIFT)
705
706#define MAS3_U0 0x00000200
707#define MAS3_U1 0x00000100
708#define MAS3_U2 0x00000080
709#define MAS3_U3 0x00000040
710#define MAS3_UX 0x00000020
711#define MAS3_SX 0x00000010
712#define MAS3_UW 0x00000008
713#define MAS3_SW 0x00000004
714#define MAS3_UR 0x00000002
715#define MAS3_SR 0x00000001
716#define MAS3_SPSIZE_SHIFT 1
717#define MAS3_SPSIZE_MASK (0x3e << MAS3_SPSIZE_SHIFT)
718
719#define MAS4_TLBSELD_SHIFT MAS0_TLBSEL_SHIFT
720#define MAS4_TLBSELD_MASK MAS0_TLBSEL_MASK
721#define MAS4_TIDSELD_MASK 0x00030000
722#define MAS4_TIDSELD_PID0 0x00000000
723#define MAS4_TIDSELD_PID1 0x00010000
724#define MAS4_TIDSELD_PID2 0x00020000
725#define MAS4_TIDSELD_PIDZ 0x00030000
726#define MAS4_INDD 0x00008000 /* Default IND */
727#define MAS4_TSIZED_SHIFT MAS1_TSIZE_SHIFT
728#define MAS4_TSIZED_MASK MAS1_TSIZE_MASK
729#define MAS4_ACMD 0x00000040
730#define MAS4_VLED 0x00000020
731#define MAS4_WD 0x00000010
732#define MAS4_ID 0x00000008
733#define MAS4_MD 0x00000004
734#define MAS4_GD 0x00000002
735#define MAS4_ED 0x00000001
736#define MAS4_WIMGED_MASK 0x0000001f /* Default WIMGE */
737#define MAS4_WIMGED_SHIFT 0
738
739#define MAS5_SGS 0x80000000
740#define MAS5_SLPID_MASK 0x00000fff
741
742#define MAS6_SPID0 0x3fff0000
743#define MAS6_SPID1 0x00007ffe
744#define MAS6_ISIZE(x) MAS1_TSIZE(x)
745#define MAS6_SAS 0x00000001
746#define MAS6_SPID MAS6_SPID0
747#define MAS6_SIND 0x00000002 /* Indirect page */
748#define MAS6_SIND_SHIFT 1
749#define MAS6_SPID_MASK 0x3fff0000
750#define MAS6_SPID_SHIFT 16
751#define MAS6_ISIZE_MASK 0x00000f80
752#define MAS6_ISIZE_SHIFT 7
753
754#define MAS7_RPN 0xffffffff
755
756#define MAS8_TGS 0x80000000
757#define MAS8_VF 0x40000000
758#define MAS8_TLBPID 0x00000fff
759
760/* Bit definitions for MMUCFG */
761#define MMUCFG_MAVN 0x00000003 /* MMU Architecture Version Number */
762#define MMUCFG_MAVN_V1 0x00000000 /* v1.0 */
763#define MMUCFG_MAVN_V2 0x00000001 /* v2.0 */
764#define MMUCFG_NTLBS 0x0000000c /* Number of TLBs */
765#define MMUCFG_PIDSIZE 0x000007c0 /* PID Reg Size */
766#define MMUCFG_TWC 0x00008000 /* TLB Write Conditional (v2.0) */
767#define MMUCFG_LRAT 0x00010000 /* LRAT Supported (v2.0) */
768#define MMUCFG_RASIZE 0x00fe0000 /* Real Addr Size */
769#define MMUCFG_LPIDSIZE 0x0f000000 /* LPID Reg Size */
770
771/* Bit definitions for MMUCSR0 */
772#define MMUCSR0_TLB1FI 0x00000002 /* TLB1 Flash invalidate */
773#define MMUCSR0_TLB0FI 0x00000004 /* TLB0 Flash invalidate */
774#define MMUCSR0_TLB2FI 0x00000040 /* TLB2 Flash invalidate */
775#define MMUCSR0_TLB3FI 0x00000020 /* TLB3 Flash invalidate */
776#define MMUCSR0_TLBFI (MMUCSR0_TLB0FI | MMUCSR0_TLB1FI | \
777 MMUCSR0_TLB2FI | MMUCSR0_TLB3FI)
778#define MMUCSR0_TLB0PS 0x00000780 /* TLB0 Page Size */
779#define MMUCSR0_TLB1PS 0x00007800 /* TLB1 Page Size */
780#define MMUCSR0_TLB2PS 0x00078000 /* TLB2 Page Size */
781#define MMUCSR0_TLB3PS 0x00780000 /* TLB3 Page Size */
782
783/* TLBnCFG encoding */
784#define TLBnCFG_N_ENTRY 0x00000fff /* number of entries */
785#define TLBnCFG_HES 0x00002000 /* HW select supported */
786#define TLBnCFG_AVAIL 0x00004000 /* variable page size */
787#define TLBnCFG_IPROT 0x00008000 /* IPROT supported */
788#define TLBnCFG_GTWE 0x00010000 /* Guest can write */
789#define TLBnCFG_IND 0x00020000 /* IND entries supported */
790#define TLBnCFG_PT 0x00040000 /* Can load from page table */
791#define TLBnCFG_MINSIZE 0x00f00000 /* Minimum Page Size (v1.0) */
792#define TLBnCFG_MINSIZE_SHIFT 20
793#define TLBnCFG_MAXSIZE 0x000f0000 /* Maximum Page Size (v1.0) */
794#define TLBnCFG_MAXSIZE_SHIFT 16
795#define TLBnCFG_ASSOC 0xff000000 /* Associativity */
796#define TLBnCFG_ASSOC_SHIFT 24
797
798/* TLBnPS encoding */
799#define TLBnPS_4K 0x00000004
800#define TLBnPS_8K 0x00000008
801#define TLBnPS_16K 0x00000010
802#define TLBnPS_32K 0x00000020
803#define TLBnPS_64K 0x00000040
804#define TLBnPS_128K 0x00000080
805#define TLBnPS_256K 0x00000100
806#define TLBnPS_512K 0x00000200
807#define TLBnPS_1M 0x00000400
808#define TLBnPS_2M 0x00000800
809#define TLBnPS_4M 0x00001000
810#define TLBnPS_8M 0x00002000
811#define TLBnPS_16M 0x00004000
812#define TLBnPS_32M 0x00008000
813#define TLBnPS_64M 0x00010000
814#define TLBnPS_128M 0x00020000
815#define TLBnPS_256M 0x00040000
816#define TLBnPS_512M 0x00080000
817#define TLBnPS_1G 0x00100000
818#define TLBnPS_2G 0x00200000
819#define TLBnPS_4G 0x00400000
820#define TLBnPS_8G 0x00800000
821#define TLBnPS_16G 0x01000000
822#define TLBnPS_32G 0x02000000
823#define TLBnPS_64G 0x04000000
824#define TLBnPS_128G 0x08000000
825#define TLBnPS_256G 0x10000000
826
827/* tlbilx action encoding */
828#define TLBILX_T_ALL 0
829#define TLBILX_T_TID 1
830#define TLBILX_T_FULLMATCH 3
831#define TLBILX_T_CLASS0 4
832#define TLBILX_T_CLASS1 5
833#define TLBILX_T_CLASS2 6
834#define TLBILX_T_CLASS3 7
835
836/* BookE 2.06 helper defines */
837
838#define BOOKE206_FLUSH_TLB0 (1 << 0)
839#define BOOKE206_FLUSH_TLB1 (1 << 1)
840#define BOOKE206_FLUSH_TLB2 (1 << 2)
841#define BOOKE206_FLUSH_TLB3 (1 << 3)
842
843/* number of possible TLBs */
844#define BOOKE206_MAX_TLBN 4
845
58e00a24
AG
846/*****************************************************************************/
847/* Embedded.Processor Control */
848
849#define DBELL_TYPE_SHIFT 27
850#define DBELL_TYPE_MASK (0x1f << DBELL_TYPE_SHIFT)
851#define DBELL_TYPE_DBELL (0x00 << DBELL_TYPE_SHIFT)
852#define DBELL_TYPE_DBELL_CRIT (0x01 << DBELL_TYPE_SHIFT)
853#define DBELL_TYPE_G_DBELL (0x02 << DBELL_TYPE_SHIFT)
854#define DBELL_TYPE_G_DBELL_CRIT (0x03 << DBELL_TYPE_SHIFT)
855#define DBELL_TYPE_G_DBELL_MC (0x04 << DBELL_TYPE_SHIFT)
856
857#define DBELL_BRDCAST (1 << 26)
858#define DBELL_LPIDTAG_SHIFT 14
859#define DBELL_LPIDTAG_MASK (0xfff << DBELL_LPIDTAG_SHIFT)
860#define DBELL_PIRTAG_MASK 0x3fff
861
4656e1f0
BH
862/*****************************************************************************/
863/* Segment page size information, used by recent hash MMUs
864 * The format of this structure mirrors kvm_ppc_smmu_info
865 */
866
867#define PPC_PAGE_SIZES_MAX_SZ 8
868
869struct ppc_one_page_size {
870 uint32_t page_shift; /* Page shift (or 0) */
871 uint32_t pte_enc; /* Encoding in the HPTE (>>12) */
872};
873
874struct ppc_one_seg_page_size {
875 uint32_t page_shift; /* Base page shift of segment (or 0) */
876 uint32_t slb_enc; /* SLB encoding for BookS */
877 struct ppc_one_page_size enc[PPC_PAGE_SIZES_MAX_SZ];
878};
879
880struct ppc_segment_page_sizes {
881 struct ppc_one_seg_page_size sps[PPC_PAGE_SIZES_MAX_SZ];
882};
883
884
6fa724a3 885/*****************************************************************************/
7c58044c 886/* The whole PowerPC CPU context */
6ebbf390 887#define NB_MMU_MODES 3
6ebbf390 888
b048960f
AF
889#define PPC_CPU_OPCODES_LEN 0x40
890
3fc6c082
FB
891struct CPUPPCState {
892 /* First are the most commonly used resources
893 * during translated code execution
894 */
79aceca5 895 /* general purpose registers */
bd7d9a6d 896 target_ulong gpr[32];
65d6c0f3 897#if !defined(TARGET_PPC64)
3cd7d1dd 898 /* Storage for GPR MSB, used by the SPE extension */
bd7d9a6d 899 target_ulong gprh[32];
3cd7d1dd 900#endif
3fc6c082
FB
901 /* LR */
902 target_ulong lr;
903 /* CTR */
904 target_ulong ctr;
905 /* condition register */
47e4661c 906 uint32_t crf[8];
697ab892
DG
907#if defined(TARGET_PPC64)
908 /* CFAR */
909 target_ulong cfar;
910#endif
da91a00f 911 /* XER (with SO, OV, CA split out) */
3d7b417e 912 target_ulong xer;
da91a00f
RH
913 target_ulong so;
914 target_ulong ov;
915 target_ulong ca;
79aceca5 916 /* Reservation address */
18b21a2f
NF
917 target_ulong reserve_addr;
918 /* Reservation value */
919 target_ulong reserve_val;
4425265b
NF
920 /* Reservation store address */
921 target_ulong reserve_ea;
922 /* Reserved store source register and size */
923 target_ulong reserve_info;
3fc6c082
FB
924
925 /* Those ones are used in supervisor mode only */
79aceca5 926 /* machine state register */
0411a972 927 target_ulong msr;
3fc6c082 928 /* temporary general purpose registers */
bd7d9a6d 929 target_ulong tgpr[4]; /* Used to speed-up TLB assist handlers */
3fc6c082
FB
930
931 /* Floating point execution context */
4ecc3190 932 float_status fp_status;
3fc6c082
FB
933 /* floating point registers */
934 float64 fpr[32];
935 /* floating point status and control register */
30304420 936 target_ulong fpscr;
4ecc3190 937
cb2dbfc3
AJ
938 /* Next instruction pointer */
939 target_ulong nip;
a316d335 940
ac9eb073
FB
941 int access_type; /* when a memory exception occurs, the access
942 type is stored here */
a541f297 943
cb2dbfc3
AJ
944 CPU_COMMON
945
f2e63a42
JM
946 /* MMU context - only relevant for full system emulation */
947#if !defined(CONFIG_USER_ONLY)
948#if defined(TARGET_PPC64)
f2e63a42 949 /* PowerPC 64 SLB area */
c227f099 950 ppc_slb_t slb[64];
f2e63a42
JM
951 int slb_nr;
952#endif
3fc6c082 953 /* segment registers */
a8170e5e
AK
954 hwaddr htab_base;
955 hwaddr htab_mask;
74d37793 956 target_ulong sr[32];
f43e3525
DG
957 /* externally stored hash table */
958 uint8_t *external_htab;
3fc6c082
FB
959 /* BATs */
960 int nb_BATs;
961 target_ulong DBAT[2][8];
962 target_ulong IBAT[2][8];
01662f3e 963 /* PowerPC TLB registers (for 4xx, e500 and 60x software driven TLBs) */
f2e63a42
JM
964 int nb_tlb; /* Total number of TLB */
965 int tlb_per_way; /* Speed-up helper: used to avoid divisions at run time */
966 int nb_ways; /* Number of ways in the TLB set */
967 int last_way; /* Last used way used to allocate TLB in a LRU way */
968 int id_tlbs; /* If 1, MMU has separated TLBs for instructions & data */
969 int nb_pids; /* Number of available PID registers */
1c53accc
AG
970 int tlb_type; /* Type of TLB we're dealing with */
971 ppc_tlb_t tlb; /* TLB is optional. Allocate them only if needed */
f2e63a42
JM
972 /* 403 dedicated access protection registers */
973 target_ulong pb[4];
93dd5e85
SW
974 bool tlb_dirty; /* Set to non-zero when modifying TLB */
975 bool kvm_sw_tlb; /* non-zero if KVM SW TLB API is active */
f2e63a42 976#endif
9fddaa0c 977
3fc6c082
FB
978 /* Other registers */
979 /* Special purpose registers */
980 target_ulong spr[1024];
c227f099 981 ppc_spr_t spr_cb[1024];
3fc6c082 982 /* Altivec registers */
c227f099 983 ppc_avr_t avr[32];
3fc6c082 984 uint32_t vscr;
30304420
DG
985 /* VSX registers */
986 uint64_t vsr[32];
d9bce9d9 987 /* SPE registers */
2231ef10 988 uint64_t spe_acc;
d9bce9d9 989 uint32_t spe_fscr;
fbd265b6
AJ
990 /* SPE and Altivec can share a status since they will never be used
991 * simultaneously */
992 float_status vec_status;
3fc6c082
FB
993
994 /* Internal devices resources */
9fddaa0c 995 /* Time base and decrementer */
c227f099 996 ppc_tb_t *tb_env;
3fc6c082 997 /* Device control registers */
c227f099 998 ppc_dcr_t *dcr_env;
3fc6c082 999
d63001d1
JM
1000 int dcache_line_size;
1001 int icache_line_size;
1002
3fc6c082
FB
1003 /* Those resources are used during exception processing */
1004 /* CPU model definition */
a750fc0b 1005 target_ulong msr_mask;
c227f099
AL
1006 powerpc_mmu_t mmu_model;
1007 powerpc_excp_t excp_model;
1008 powerpc_input_t bus_model;
237c0af0 1009 int bfd_mach;
3fc6c082 1010 uint32_t flags;
c29b735c 1011 uint64_t insns_flags;
a5858d7a 1012 uint64_t insns_flags2;
4656e1f0
BH
1013#if defined(TARGET_PPC64)
1014 struct ppc_segment_page_sizes sps;
1015#endif
3fc6c082 1016
ed120055 1017#if defined(TARGET_PPC64) && !defined(CONFIG_USER_ONLY)
ac7d12ba
DG
1018 uint64_t vpa_addr;
1019 uint64_t slb_shadow_addr, slb_shadow_size;
1020 uint64_t dtl_addr, dtl_size;
ed120055
DG
1021#endif /* TARGET_PPC64 */
1022
3fc6c082 1023 int error_code;
47103572 1024 uint32_t pending_interrupts;
e9df014c 1025#if !defined(CONFIG_USER_ONLY)
4abf79a4 1026 /* This is the IRQ controller, which is implementation dependent
e9df014c
JM
1027 * and only relevant when emulating a complete machine.
1028 */
1029 uint32_t irq_input_state;
1030 void **irq_inputs;
e1833e1f
JM
1031 /* Exception vectors */
1032 target_ulong excp_vectors[POWERPC_EXCP_NB];
1033 target_ulong excp_prefix;
1034 target_ulong ivor_mask;
1035 target_ulong ivpr_mask;
d63001d1 1036 target_ulong hreset_vector;
68c2dd70
AG
1037 hwaddr mpic_iack;
1038 /* true when the external proxy facility mode is enabled */
1039 bool mpic_proxy;
e9df014c 1040#endif
3fc6c082
FB
1041
1042 /* Those resources are used only during code translation */
3fc6c082 1043 /* opcode handlers */
b048960f 1044 opc_handler_t *opcodes[PPC_CPU_OPCODES_LEN];
3fc6c082 1045
5cbdb3a3 1046 /* Those resources are used only in QEMU core */
056401ea 1047 target_ulong hflags; /* hflags is a MSR & HFLAGS_MASK */
4abf79a4 1048 target_ulong hflags_nmsr; /* specific hflags, not coming from MSR */
6ebbf390 1049 int mmu_idx; /* precomputed MMU index to speed up mem accesses */
3fc6c082 1050
9fddaa0c 1051 /* Power management */
cd346349 1052 int (*check_pow)(CPUPPCState *env);
a541f297 1053
2c50e26e
EI
1054#if !defined(CONFIG_USER_ONLY)
1055 void *load_info; /* Holds boot loading state. */
1056#endif
ddd1055b
FC
1057
1058 /* booke timers */
1059
1060 /* Specifies bit locations of the Time Base used to signal a fixed timer
1061 * exception on a transition from 0 to 1. (watchdog or fixed-interval timer)
1062 *
1063 * 0 selects the least significant bit.
1064 * 63 selects the most significant bit.
1065 */
1066 uint8_t fit_period[4];
1067 uint8_t wdt_period[4];
3fc6c082 1068};
79aceca5 1069
ddd1055b
FC
1070#define SET_FIT_PERIOD(a_, b_, c_, d_) \
1071do { \
1072 env->fit_period[0] = (a_); \
1073 env->fit_period[1] = (b_); \
1074 env->fit_period[2] = (c_); \
1075 env->fit_period[3] = (d_); \
1076 } while (0)
1077
1078#define SET_WDT_PERIOD(a_, b_, c_, d_) \
1079do { \
1080 env->wdt_period[0] = (a_); \
1081 env->wdt_period[1] = (b_); \
1082 env->wdt_period[2] = (c_); \
1083 env->wdt_period[3] = (d_); \
1084 } while (0)
1085
1d0cb67d
AF
1086#include "cpu-qom.h"
1087
3fc6c082 1088/*****************************************************************************/
397b457d 1089PowerPCCPU *cpu_ppc_init(const char *cpu_model);
2e70f6ef 1090void ppc_translate_init(void);
36081602 1091int cpu_ppc_exec (CPUPPCState *s);
79aceca5
FB
1092/* you can call this signal handler from your SIGBUS and SIGSEGV
1093 signal handlers to inform the virtual CPU of exceptions. non zero
1094 is returned if the signal was handled by the virtual CPU. */
36081602
JM
1095int cpu_ppc_signal_handler (int host_signum, void *pinfo,
1096 void *puc);
e9df014c 1097void ppc_hw_interrupt (CPUPPCState *env);
cc8eae8a
DG
1098#if defined(CONFIG_USER_ONLY)
1099int cpu_handle_mmu_fault(CPUPPCState *env, target_ulong address, int rw,
1100 int mmu_idx);
1101#endif
a541f297 1102
76a66253 1103#if !defined(CONFIG_USER_ONLY)
45d827d2 1104void ppc_store_sdr1 (CPUPPCState *env, target_ulong value);
12de9a39 1105#endif /* !defined(CONFIG_USER_ONLY) */
0411a972 1106void ppc_store_msr (CPUPPCState *env, target_ulong value);
3fc6c082 1107
9a78eead 1108void ppc_cpu_list (FILE *f, fprintf_function cpu_fprintf);
aaed909a 1109
9fddaa0c
FB
1110/* Time-base and decrementer management */
1111#ifndef NO_CPU_IO_DEFS
e3ea6529 1112uint64_t cpu_ppc_load_tbl (CPUPPCState *env);
9fddaa0c
FB
1113uint32_t cpu_ppc_load_tbu (CPUPPCState *env);
1114void cpu_ppc_store_tbu (CPUPPCState *env, uint32_t value);
1115void cpu_ppc_store_tbl (CPUPPCState *env, uint32_t value);
b711de95 1116uint64_t cpu_ppc_load_atbl (CPUPPCState *env);
a062e36c
JM
1117uint32_t cpu_ppc_load_atbu (CPUPPCState *env);
1118void cpu_ppc_store_atbl (CPUPPCState *env, uint32_t value);
1119void cpu_ppc_store_atbu (CPUPPCState *env, uint32_t value);
9fddaa0c
FB
1120uint32_t cpu_ppc_load_decr (CPUPPCState *env);
1121void cpu_ppc_store_decr (CPUPPCState *env, uint32_t value);
58a7d328
JM
1122uint32_t cpu_ppc_load_hdecr (CPUPPCState *env);
1123void cpu_ppc_store_hdecr (CPUPPCState *env, uint32_t value);
1124uint64_t cpu_ppc_load_purr (CPUPPCState *env);
d9bce9d9
JM
1125uint32_t cpu_ppc601_load_rtcl (CPUPPCState *env);
1126uint32_t cpu_ppc601_load_rtcu (CPUPPCState *env);
1127#if !defined(CONFIG_USER_ONLY)
1128void cpu_ppc601_store_rtcl (CPUPPCState *env, uint32_t value);
1129void cpu_ppc601_store_rtcu (CPUPPCState *env, uint32_t value);
1130target_ulong load_40x_pit (CPUPPCState *env);
1131void store_40x_pit (CPUPPCState *env, target_ulong val);
8ecc7913 1132void store_40x_dbcr0 (CPUPPCState *env, uint32_t val);
c294fc58 1133void store_40x_sler (CPUPPCState *env, uint32_t val);
d9bce9d9
JM
1134void store_booke_tcr (CPUPPCState *env, target_ulong val);
1135void store_booke_tsr (CPUPPCState *env, target_ulong val);
0a032cbe 1136void ppc_tlb_invalidate_all (CPUPPCState *env);
daf4f96e 1137void ppc_tlb_invalidate_one (CPUPPCState *env, target_ulong addr);
d9bce9d9 1138#endif
9fddaa0c 1139#endif
79aceca5 1140
d6478bc7
FC
1141void store_fpscr(CPUPPCState *env, uint64_t arg, uint32_t mask);
1142
636aa200 1143static inline uint64_t ppc_dump_gpr(CPUPPCState *env, int gprn)
6b542af7
JM
1144{
1145 uint64_t gprv;
1146
1147 gprv = env->gpr[gprn];
1148#if !defined(TARGET_PPC64)
1149 if (env->flags & POWERPC_FLAG_SPE) {
1150 /* If the CPU implements the SPE extension, we have to get the
1151 * high bits of the GPR from the gprh storage area
1152 */
1153 gprv &= 0xFFFFFFFFULL;
1154 gprv |= (uint64_t)env->gprh[gprn] << 32;
1155 }
1156#endif
1157
1158 return gprv;
1159}
1160
2e719ba3 1161/* Device control registers */
73b01960
AG
1162int ppc_dcr_read (ppc_dcr_t *dcr_env, int dcrn, uint32_t *valp);
1163int ppc_dcr_write (ppc_dcr_t *dcr_env, int dcrn, uint32_t val);
2e719ba3 1164
397b457d
AF
1165static inline CPUPPCState *cpu_init(const char *cpu_model)
1166{
1167 PowerPCCPU *cpu = cpu_ppc_init(cpu_model);
1168 if (cpu == NULL) {
1169 return NULL;
1170 }
1171 return &cpu->env;
1172}
1173
9467d44c
TS
1174#define cpu_exec cpu_ppc_exec
1175#define cpu_gen_code cpu_ppc_gen_code
1176#define cpu_signal_handler cpu_ppc_signal_handler
c732abe2 1177#define cpu_list ppc_cpu_list
9467d44c 1178
fc1c67bc 1179#define CPU_SAVE_VERSION 4
b3c7724c 1180
6ebbf390
JM
1181/* MMU modes definitions */
1182#define MMU_MODE0_SUFFIX _user
1183#define MMU_MODE1_SUFFIX _kernel
6ebbf390 1184#define MMU_MODE2_SUFFIX _hypv
6ebbf390 1185#define MMU_USER_IDX 0
1328c2bf 1186static inline int cpu_mmu_index (CPUPPCState *env)
6ebbf390
JM
1187{
1188 return env->mmu_idx;
1189}
1190
022c62cb 1191#include "exec/cpu-all.h"
79aceca5 1192
3fc6c082 1193/*****************************************************************************/
e1571908 1194/* CRF definitions */
57951c27
AJ
1195#define CRF_LT 3
1196#define CRF_GT 2
1197#define CRF_EQ 1
1198#define CRF_SO 0
e6bba2ef
NF
1199#define CRF_CH (1 << CRF_LT)
1200#define CRF_CL (1 << CRF_GT)
1201#define CRF_CH_OR_CL (1 << CRF_EQ)
1202#define CRF_CH_AND_CL (1 << CRF_SO)
e1571908
AJ
1203
1204/* XER definitions */
3d7b417e
AJ
1205#define XER_SO 31
1206#define XER_OV 30
1207#define XER_CA 29
1208#define XER_CMP 8
1209#define XER_BC 0
da91a00f
RH
1210#define xer_so (env->so)
1211#define xer_ov (env->ov)
1212#define xer_ca (env->ca)
3d7b417e
AJ
1213#define xer_cmp ((env->xer >> XER_CMP) & 0xFF)
1214#define xer_bc ((env->xer >> XER_BC) & 0x7F)
79aceca5 1215
3fc6c082 1216/* SPR definitions */
80d11f44
JM
1217#define SPR_MQ (0x000)
1218#define SPR_XER (0x001)
1219#define SPR_601_VRTCU (0x004)
1220#define SPR_601_VRTCL (0x005)
1221#define SPR_601_UDECR (0x006)
1222#define SPR_LR (0x008)
1223#define SPR_CTR (0x009)
f80872e2 1224#define SPR_UAMR (0x00C)
697ab892 1225#define SPR_DSCR (0x011)
80d11f44
JM
1226#define SPR_DSISR (0x012)
1227#define SPR_DAR (0x013) /* DAE for PowerPC 601 */
1228#define SPR_601_RTCU (0x014)
1229#define SPR_601_RTCL (0x015)
1230#define SPR_DECR (0x016)
1231#define SPR_SDR1 (0x019)
1232#define SPR_SRR0 (0x01A)
1233#define SPR_SRR1 (0x01B)
697ab892 1234#define SPR_CFAR (0x01C)
80d11f44
JM
1235#define SPR_AMR (0x01D)
1236#define SPR_BOOKE_PID (0x030)
1237#define SPR_BOOKE_DECAR (0x036)
1238#define SPR_BOOKE_CSRR0 (0x03A)
1239#define SPR_BOOKE_CSRR1 (0x03B)
1240#define SPR_BOOKE_DEAR (0x03D)
1241#define SPR_BOOKE_ESR (0x03E)
1242#define SPR_BOOKE_IVPR (0x03F)
1243#define SPR_MPC_EIE (0x050)
1244#define SPR_MPC_EID (0x051)
1245#define SPR_MPC_NRI (0x052)
1246#define SPR_CTRL (0x088)
1247#define SPR_MPC_CMPA (0x090)
1248#define SPR_MPC_CMPB (0x091)
1249#define SPR_MPC_CMPC (0x092)
1250#define SPR_MPC_CMPD (0x093)
1251#define SPR_MPC_ECR (0x094)
1252#define SPR_MPC_DER (0x095)
1253#define SPR_MPC_COUNTA (0x096)
1254#define SPR_MPC_COUNTB (0x097)
1255#define SPR_UCTRL (0x098)
1256#define SPR_MPC_CMPE (0x098)
1257#define SPR_MPC_CMPF (0x099)
1258#define SPR_MPC_CMPG (0x09A)
1259#define SPR_MPC_CMPH (0x09B)
1260#define SPR_MPC_LCTRL1 (0x09C)
1261#define SPR_MPC_LCTRL2 (0x09D)
f80872e2 1262#define SPR_UAMOR (0x09D)
80d11f44
JM
1263#define SPR_MPC_ICTRL (0x09E)
1264#define SPR_MPC_BAR (0x09F)
1265#define SPR_VRSAVE (0x100)
1266#define SPR_USPRG0 (0x100)
1267#define SPR_USPRG1 (0x101)
1268#define SPR_USPRG2 (0x102)
1269#define SPR_USPRG3 (0x103)
1270#define SPR_USPRG4 (0x104)
1271#define SPR_USPRG5 (0x105)
1272#define SPR_USPRG6 (0x106)
1273#define SPR_USPRG7 (0x107)
1274#define SPR_VTBL (0x10C)
1275#define SPR_VTBU (0x10D)
1276#define SPR_SPRG0 (0x110)
1277#define SPR_SPRG1 (0x111)
1278#define SPR_SPRG2 (0x112)
1279#define SPR_SPRG3 (0x113)
1280#define SPR_SPRG4 (0x114)
1281#define SPR_SCOMC (0x114)
1282#define SPR_SPRG5 (0x115)
1283#define SPR_SCOMD (0x115)
1284#define SPR_SPRG6 (0x116)
1285#define SPR_SPRG7 (0x117)
1286#define SPR_ASR (0x118)
1287#define SPR_EAR (0x11A)
1288#define SPR_TBL (0x11C)
1289#define SPR_TBU (0x11D)
1290#define SPR_TBU40 (0x11E)
1291#define SPR_SVR (0x11E)
1292#define SPR_BOOKE_PIR (0x11E)
1293#define SPR_PVR (0x11F)
1294#define SPR_HSPRG0 (0x130)
1295#define SPR_BOOKE_DBSR (0x130)
1296#define SPR_HSPRG1 (0x131)
1297#define SPR_HDSISR (0x132)
1298#define SPR_HDAR (0x133)
90dc8812 1299#define SPR_BOOKE_EPCR (0x133)
9d52e907 1300#define SPR_SPURR (0x134)
80d11f44
JM
1301#define SPR_BOOKE_DBCR0 (0x134)
1302#define SPR_IBCR (0x135)
1303#define SPR_PURR (0x135)
1304#define SPR_BOOKE_DBCR1 (0x135)
1305#define SPR_DBCR (0x136)
1306#define SPR_HDEC (0x136)
1307#define SPR_BOOKE_DBCR2 (0x136)
1308#define SPR_HIOR (0x137)
1309#define SPR_MBAR (0x137)
1310#define SPR_RMOR (0x138)
1311#define SPR_BOOKE_IAC1 (0x138)
1312#define SPR_HRMOR (0x139)
1313#define SPR_BOOKE_IAC2 (0x139)
1314#define SPR_HSRR0 (0x13A)
1315#define SPR_BOOKE_IAC3 (0x13A)
1316#define SPR_HSRR1 (0x13B)
1317#define SPR_BOOKE_IAC4 (0x13B)
1318#define SPR_LPCR (0x13C)
1319#define SPR_BOOKE_DAC1 (0x13C)
1320#define SPR_LPIDR (0x13D)
1321#define SPR_DABR2 (0x13D)
1322#define SPR_BOOKE_DAC2 (0x13D)
1323#define SPR_BOOKE_DVC1 (0x13E)
1324#define SPR_BOOKE_DVC2 (0x13F)
1325#define SPR_BOOKE_TSR (0x150)
1326#define SPR_BOOKE_TCR (0x154)
a1ef618a
AG
1327#define SPR_BOOKE_TLB0PS (0x158)
1328#define SPR_BOOKE_TLB1PS (0x159)
1329#define SPR_BOOKE_TLB2PS (0x15A)
1330#define SPR_BOOKE_TLB3PS (0x15B)
84755ed5 1331#define SPR_BOOKE_MAS7_MAS3 (0x174)
80d11f44
JM
1332#define SPR_BOOKE_IVOR0 (0x190)
1333#define SPR_BOOKE_IVOR1 (0x191)
1334#define SPR_BOOKE_IVOR2 (0x192)
1335#define SPR_BOOKE_IVOR3 (0x193)
1336#define SPR_BOOKE_IVOR4 (0x194)
1337#define SPR_BOOKE_IVOR5 (0x195)
1338#define SPR_BOOKE_IVOR6 (0x196)
1339#define SPR_BOOKE_IVOR7 (0x197)
1340#define SPR_BOOKE_IVOR8 (0x198)
1341#define SPR_BOOKE_IVOR9 (0x199)
1342#define SPR_BOOKE_IVOR10 (0x19A)
1343#define SPR_BOOKE_IVOR11 (0x19B)
1344#define SPR_BOOKE_IVOR12 (0x19C)
1345#define SPR_BOOKE_IVOR13 (0x19D)
1346#define SPR_BOOKE_IVOR14 (0x19E)
1347#define SPR_BOOKE_IVOR15 (0x19F)
e9205258
AG
1348#define SPR_BOOKE_IVOR38 (0x1B0)
1349#define SPR_BOOKE_IVOR39 (0x1B1)
1350#define SPR_BOOKE_IVOR40 (0x1B2)
1351#define SPR_BOOKE_IVOR41 (0x1B3)
1352#define SPR_BOOKE_IVOR42 (0x1B4)
80d11f44
JM
1353#define SPR_BOOKE_SPEFSCR (0x200)
1354#define SPR_Exxx_BBEAR (0x201)
1355#define SPR_Exxx_BBTAR (0x202)
1356#define SPR_Exxx_L1CFG0 (0x203)
1357#define SPR_Exxx_NPIDR (0x205)
1358#define SPR_ATBL (0x20E)
1359#define SPR_ATBU (0x20F)
1360#define SPR_IBAT0U (0x210)
1361#define SPR_BOOKE_IVOR32 (0x210)
1362#define SPR_RCPU_MI_GRA (0x210)
1363#define SPR_IBAT0L (0x211)
1364#define SPR_BOOKE_IVOR33 (0x211)
1365#define SPR_IBAT1U (0x212)
1366#define SPR_BOOKE_IVOR34 (0x212)
1367#define SPR_IBAT1L (0x213)
1368#define SPR_BOOKE_IVOR35 (0x213)
1369#define SPR_IBAT2U (0x214)
1370#define SPR_BOOKE_IVOR36 (0x214)
1371#define SPR_IBAT2L (0x215)
1372#define SPR_BOOKE_IVOR37 (0x215)
1373#define SPR_IBAT3U (0x216)
1374#define SPR_IBAT3L (0x217)
1375#define SPR_DBAT0U (0x218)
1376#define SPR_RCPU_L2U_GRA (0x218)
1377#define SPR_DBAT0L (0x219)
1378#define SPR_DBAT1U (0x21A)
1379#define SPR_DBAT1L (0x21B)
1380#define SPR_DBAT2U (0x21C)
1381#define SPR_DBAT2L (0x21D)
1382#define SPR_DBAT3U (0x21E)
1383#define SPR_DBAT3L (0x21F)
1384#define SPR_IBAT4U (0x230)
1385#define SPR_RPCU_BBCMCR (0x230)
1386#define SPR_MPC_IC_CST (0x230)
1387#define SPR_Exxx_CTXCR (0x230)
1388#define SPR_IBAT4L (0x231)
1389#define SPR_MPC_IC_ADR (0x231)
1390#define SPR_Exxx_DBCR3 (0x231)
1391#define SPR_IBAT5U (0x232)
1392#define SPR_MPC_IC_DAT (0x232)
1393#define SPR_Exxx_DBCNT (0x232)
1394#define SPR_IBAT5L (0x233)
1395#define SPR_IBAT6U (0x234)
1396#define SPR_IBAT6L (0x235)
1397#define SPR_IBAT7U (0x236)
1398#define SPR_IBAT7L (0x237)
1399#define SPR_DBAT4U (0x238)
1400#define SPR_RCPU_L2U_MCR (0x238)
1401#define SPR_MPC_DC_CST (0x238)
1402#define SPR_Exxx_ALTCTXCR (0x238)
1403#define SPR_DBAT4L (0x239)
1404#define SPR_MPC_DC_ADR (0x239)
1405#define SPR_DBAT5U (0x23A)
1406#define SPR_BOOKE_MCSRR0 (0x23A)
1407#define SPR_MPC_DC_DAT (0x23A)
1408#define SPR_DBAT5L (0x23B)
1409#define SPR_BOOKE_MCSRR1 (0x23B)
1410#define SPR_DBAT6U (0x23C)
1411#define SPR_BOOKE_MCSR (0x23C)
1412#define SPR_DBAT6L (0x23D)
1413#define SPR_Exxx_MCAR (0x23D)
1414#define SPR_DBAT7U (0x23E)
1415#define SPR_BOOKE_DSRR0 (0x23E)
1416#define SPR_DBAT7L (0x23F)
1417#define SPR_BOOKE_DSRR1 (0x23F)
1418#define SPR_BOOKE_SPRG8 (0x25C)
1419#define SPR_BOOKE_SPRG9 (0x25D)
1420#define SPR_BOOKE_MAS0 (0x270)
1421#define SPR_BOOKE_MAS1 (0x271)
1422#define SPR_BOOKE_MAS2 (0x272)
1423#define SPR_BOOKE_MAS3 (0x273)
1424#define SPR_BOOKE_MAS4 (0x274)
1425#define SPR_BOOKE_MAS5 (0x275)
1426#define SPR_BOOKE_MAS6 (0x276)
1427#define SPR_BOOKE_PID1 (0x279)
1428#define SPR_BOOKE_PID2 (0x27A)
1429#define SPR_MPC_DPDR (0x280)
1430#define SPR_MPC_IMMR (0x288)
1431#define SPR_BOOKE_TLB0CFG (0x2B0)
1432#define SPR_BOOKE_TLB1CFG (0x2B1)
1433#define SPR_BOOKE_TLB2CFG (0x2B2)
1434#define SPR_BOOKE_TLB3CFG (0x2B3)
1435#define SPR_BOOKE_EPR (0x2BE)
1436#define SPR_PERF0 (0x300)
1437#define SPR_RCPU_MI_RBA0 (0x300)
1438#define SPR_MPC_MI_CTR (0x300)
1439#define SPR_PERF1 (0x301)
1440#define SPR_RCPU_MI_RBA1 (0x301)
1441#define SPR_PERF2 (0x302)
1442#define SPR_RCPU_MI_RBA2 (0x302)
1443#define SPR_MPC_MI_AP (0x302)
702763fa 1444#define SPR_MMCRA (0x302)
80d11f44
JM
1445#define SPR_PERF3 (0x303)
1446#define SPR_RCPU_MI_RBA3 (0x303)
1447#define SPR_MPC_MI_EPN (0x303)
1448#define SPR_PERF4 (0x304)
1449#define SPR_PERF5 (0x305)
1450#define SPR_MPC_MI_TWC (0x305)
1451#define SPR_PERF6 (0x306)
1452#define SPR_MPC_MI_RPN (0x306)
1453#define SPR_PERF7 (0x307)
1454#define SPR_PERF8 (0x308)
1455#define SPR_RCPU_L2U_RBA0 (0x308)
1456#define SPR_MPC_MD_CTR (0x308)
1457#define SPR_PERF9 (0x309)
1458#define SPR_RCPU_L2U_RBA1 (0x309)
1459#define SPR_MPC_MD_CASID (0x309)
1460#define SPR_PERFA (0x30A)
1461#define SPR_RCPU_L2U_RBA2 (0x30A)
1462#define SPR_MPC_MD_AP (0x30A)
1463#define SPR_PERFB (0x30B)
1464#define SPR_RCPU_L2U_RBA3 (0x30B)
1465#define SPR_MPC_MD_EPN (0x30B)
1466#define SPR_PERFC (0x30C)
1467#define SPR_MPC_MD_TWB (0x30C)
1468#define SPR_PERFD (0x30D)
1469#define SPR_MPC_MD_TWC (0x30D)
1470#define SPR_PERFE (0x30E)
1471#define SPR_MPC_MD_RPN (0x30E)
1472#define SPR_PERFF (0x30F)
1473#define SPR_MPC_MD_TW (0x30F)
1474#define SPR_UPERF0 (0x310)
1475#define SPR_UPERF1 (0x311)
1476#define SPR_UPERF2 (0x312)
1477#define SPR_UPERF3 (0x313)
1478#define SPR_UPERF4 (0x314)
1479#define SPR_UPERF5 (0x315)
1480#define SPR_UPERF6 (0x316)
1481#define SPR_UPERF7 (0x317)
1482#define SPR_UPERF8 (0x318)
1483#define SPR_UPERF9 (0x319)
1484#define SPR_UPERFA (0x31A)
1485#define SPR_UPERFB (0x31B)
1486#define SPR_UPERFC (0x31C)
1487#define SPR_UPERFD (0x31D)
1488#define SPR_UPERFE (0x31E)
1489#define SPR_UPERFF (0x31F)
1490#define SPR_RCPU_MI_RA0 (0x320)
1491#define SPR_MPC_MI_DBCAM (0x320)
1492#define SPR_RCPU_MI_RA1 (0x321)
1493#define SPR_MPC_MI_DBRAM0 (0x321)
1494#define SPR_RCPU_MI_RA2 (0x322)
1495#define SPR_MPC_MI_DBRAM1 (0x322)
1496#define SPR_RCPU_MI_RA3 (0x323)
1497#define SPR_RCPU_L2U_RA0 (0x328)
1498#define SPR_MPC_MD_DBCAM (0x328)
1499#define SPR_RCPU_L2U_RA1 (0x329)
1500#define SPR_MPC_MD_DBRAM0 (0x329)
1501#define SPR_RCPU_L2U_RA2 (0x32A)
1502#define SPR_MPC_MD_DBRAM1 (0x32A)
1503#define SPR_RCPU_L2U_RA3 (0x32B)
1504#define SPR_440_INV0 (0x370)
1505#define SPR_440_INV1 (0x371)
1506#define SPR_440_INV2 (0x372)
1507#define SPR_440_INV3 (0x373)
1508#define SPR_440_ITV0 (0x374)
1509#define SPR_440_ITV1 (0x375)
1510#define SPR_440_ITV2 (0x376)
1511#define SPR_440_ITV3 (0x377)
1512#define SPR_440_CCR1 (0x378)
1513#define SPR_DCRIPR (0x37B)
1514#define SPR_PPR (0x380)
bd928eba 1515#define SPR_750_GQR0 (0x390)
80d11f44 1516#define SPR_440_DNV0 (0x390)
bd928eba 1517#define SPR_750_GQR1 (0x391)
80d11f44 1518#define SPR_440_DNV1 (0x391)
bd928eba 1519#define SPR_750_GQR2 (0x392)
80d11f44 1520#define SPR_440_DNV2 (0x392)
bd928eba 1521#define SPR_750_GQR3 (0x393)
80d11f44 1522#define SPR_440_DNV3 (0x393)
bd928eba 1523#define SPR_750_GQR4 (0x394)
80d11f44 1524#define SPR_440_DTV0 (0x394)
bd928eba 1525#define SPR_750_GQR5 (0x395)
80d11f44 1526#define SPR_440_DTV1 (0x395)
bd928eba 1527#define SPR_750_GQR6 (0x396)
80d11f44 1528#define SPR_440_DTV2 (0x396)
bd928eba 1529#define SPR_750_GQR7 (0x397)
80d11f44 1530#define SPR_440_DTV3 (0x397)
bd928eba
JM
1531#define SPR_750_THRM4 (0x398)
1532#define SPR_750CL_HID2 (0x398)
80d11f44 1533#define SPR_440_DVLIM (0x398)
bd928eba 1534#define SPR_750_WPAR (0x399)
80d11f44 1535#define SPR_440_IVLIM (0x399)
bd928eba
JM
1536#define SPR_750_DMAU (0x39A)
1537#define SPR_750_DMAL (0x39B)
80d11f44
JM
1538#define SPR_440_RSTCFG (0x39B)
1539#define SPR_BOOKE_DCDBTRL (0x39C)
1540#define SPR_BOOKE_DCDBTRH (0x39D)
1541#define SPR_BOOKE_ICDBTRL (0x39E)
1542#define SPR_BOOKE_ICDBTRH (0x39F)
1543#define SPR_UMMCR2 (0x3A0)
1544#define SPR_UPMC5 (0x3A1)
1545#define SPR_UPMC6 (0x3A2)
1546#define SPR_UBAMR (0x3A7)
1547#define SPR_UMMCR0 (0x3A8)
1548#define SPR_UPMC1 (0x3A9)
1549#define SPR_UPMC2 (0x3AA)
1550#define SPR_USIAR (0x3AB)
1551#define SPR_UMMCR1 (0x3AC)
1552#define SPR_UPMC3 (0x3AD)
1553#define SPR_UPMC4 (0x3AE)
1554#define SPR_USDA (0x3AF)
1555#define SPR_40x_ZPR (0x3B0)
1556#define SPR_BOOKE_MAS7 (0x3B0)
80d11f44
JM
1557#define SPR_MMCR2 (0x3B0)
1558#define SPR_PMC5 (0x3B1)
1559#define SPR_40x_PID (0x3B1)
80d11f44
JM
1560#define SPR_PMC6 (0x3B2)
1561#define SPR_440_MMUCR (0x3B2)
80d11f44
JM
1562#define SPR_4xx_CCR0 (0x3B3)
1563#define SPR_BOOKE_EPLC (0x3B3)
80d11f44
JM
1564#define SPR_405_IAC3 (0x3B4)
1565#define SPR_BOOKE_EPSC (0x3B4)
80d11f44 1566#define SPR_405_IAC4 (0x3B5)
80d11f44 1567#define SPR_405_DVC1 (0x3B6)
80d11f44 1568#define SPR_405_DVC2 (0x3B7)
80d11f44
JM
1569#define SPR_BAMR (0x3B7)
1570#define SPR_MMCR0 (0x3B8)
80d11f44
JM
1571#define SPR_PMC1 (0x3B9)
1572#define SPR_40x_SGR (0x3B9)
80d11f44
JM
1573#define SPR_PMC2 (0x3BA)
1574#define SPR_40x_DCWR (0x3BA)
80d11f44
JM
1575#define SPR_SIAR (0x3BB)
1576#define SPR_405_SLER (0x3BB)
80d11f44
JM
1577#define SPR_MMCR1 (0x3BC)
1578#define SPR_405_SU0R (0x3BC)
80d11f44
JM
1579#define SPR_401_SKR (0x3BC)
1580#define SPR_PMC3 (0x3BD)
1581#define SPR_405_DBCR1 (0x3BD)
80d11f44 1582#define SPR_PMC4 (0x3BE)
80d11f44 1583#define SPR_SDA (0x3BF)
80d11f44
JM
1584#define SPR_403_VTBL (0x3CC)
1585#define SPR_403_VTBU (0x3CD)
1586#define SPR_DMISS (0x3D0)
1587#define SPR_DCMP (0x3D1)
1588#define SPR_HASH1 (0x3D2)
1589#define SPR_HASH2 (0x3D3)
1590#define SPR_BOOKE_ICDBDR (0x3D3)
1591#define SPR_TLBMISS (0x3D4)
1592#define SPR_IMISS (0x3D4)
1593#define SPR_40x_ESR (0x3D4)
1594#define SPR_PTEHI (0x3D5)
1595#define SPR_ICMP (0x3D5)
1596#define SPR_40x_DEAR (0x3D5)
1597#define SPR_PTELO (0x3D6)
1598#define SPR_RPA (0x3D6)
1599#define SPR_40x_EVPR (0x3D6)
1600#define SPR_L3PM (0x3D7)
1601#define SPR_403_CDBCR (0x3D7)
4e777442 1602#define SPR_L3ITCR0 (0x3D8)
80d11f44
JM
1603#define SPR_TCR (0x3D8)
1604#define SPR_40x_TSR (0x3D8)
1605#define SPR_IBR (0x3DA)
1606#define SPR_40x_TCR (0x3DA)
1607#define SPR_ESASRR (0x3DB)
1608#define SPR_40x_PIT (0x3DB)
1609#define SPR_403_TBL (0x3DC)
1610#define SPR_403_TBU (0x3DD)
1611#define SPR_SEBR (0x3DE)
1612#define SPR_40x_SRR2 (0x3DE)
1613#define SPR_SER (0x3DF)
1614#define SPR_40x_SRR3 (0x3DF)
4e777442 1615#define SPR_L3OHCR (0x3E8)
80d11f44
JM
1616#define SPR_L3ITCR1 (0x3E9)
1617#define SPR_L3ITCR2 (0x3EA)
1618#define SPR_L3ITCR3 (0x3EB)
1619#define SPR_HID0 (0x3F0)
1620#define SPR_40x_DBSR (0x3F0)
1621#define SPR_HID1 (0x3F1)
1622#define SPR_IABR (0x3F2)
1623#define SPR_40x_DBCR0 (0x3F2)
1624#define SPR_601_HID2 (0x3F2)
1625#define SPR_Exxx_L1CSR0 (0x3F2)
1626#define SPR_ICTRL (0x3F3)
1627#define SPR_HID2 (0x3F3)
bd928eba 1628#define SPR_750CL_HID4 (0x3F3)
80d11f44
JM
1629#define SPR_Exxx_L1CSR1 (0x3F3)
1630#define SPR_440_DBDR (0x3F3)
1631#define SPR_LDSTDB (0x3F4)
bd928eba 1632#define SPR_750_TDCL (0x3F4)
80d11f44
JM
1633#define SPR_40x_IAC1 (0x3F4)
1634#define SPR_MMUCSR0 (0x3F4)
1635#define SPR_DABR (0x3F5)
3fc6c082 1636#define DABR_MASK (~(target_ulong)0x7)
80d11f44
JM
1637#define SPR_Exxx_BUCSR (0x3F5)
1638#define SPR_40x_IAC2 (0x3F5)
1639#define SPR_601_HID5 (0x3F5)
1640#define SPR_40x_DAC1 (0x3F6)
1641#define SPR_MSSCR0 (0x3F6)
1642#define SPR_970_HID5 (0x3F6)
1643#define SPR_MSSSR0 (0x3F7)
4e777442 1644#define SPR_MSSCR1 (0x3F7)
80d11f44
JM
1645#define SPR_DABRX (0x3F7)
1646#define SPR_40x_DAC2 (0x3F7)
1647#define SPR_MMUCFG (0x3F7)
1648#define SPR_LDSTCR (0x3F8)
1649#define SPR_L2PMCR (0x3F8)
bd928eba 1650#define SPR_750FX_HID2 (0x3F8)
80d11f44
JM
1651#define SPR_Exxx_L1FINV0 (0x3F8)
1652#define SPR_L2CR (0x3F9)
80d11f44 1653#define SPR_L3CR (0x3FA)
bd928eba 1654#define SPR_750_TDCH (0x3FA)
80d11f44
JM
1655#define SPR_IABR2 (0x3FA)
1656#define SPR_40x_DCCR (0x3FA)
1657#define SPR_ICTC (0x3FB)
1658#define SPR_40x_ICCR (0x3FB)
1659#define SPR_THRM1 (0x3FC)
1660#define SPR_403_PBL1 (0x3FC)
1661#define SPR_SP (0x3FD)
1662#define SPR_THRM2 (0x3FD)
1663#define SPR_403_PBU1 (0x3FD)
1664#define SPR_604_HID13 (0x3FD)
1665#define SPR_LT (0x3FE)
1666#define SPR_THRM3 (0x3FE)
1667#define SPR_RCPU_FPECR (0x3FE)
1668#define SPR_403_PBL2 (0x3FE)
1669#define SPR_PIR (0x3FF)
1670#define SPR_403_PBU2 (0x3FF)
1671#define SPR_601_HID15 (0x3FF)
1672#define SPR_604_HID15 (0x3FF)
1673#define SPR_E500_SVR (0x3FF)
79aceca5 1674
84755ed5
AG
1675/* Disable MAS Interrupt Updates for Hypervisor */
1676#define EPCR_DMIUH (1 << 22)
1677/* Disable Guest TLB Management Instructions */
1678#define EPCR_DGTMI (1 << 23)
1679/* Guest Interrupt Computation Mode */
1680#define EPCR_GICM (1 << 24)
1681/* Interrupt Computation Mode */
1682#define EPCR_ICM (1 << 25)
1683/* Disable Embedded Hypervisor Debug */
1684#define EPCR_DUVD (1 << 26)
1685/* Instruction Storage Interrupt Directed to Guest State */
1686#define EPCR_ISIGS (1 << 27)
1687/* Data Storage Interrupt Directed to Guest State */
1688#define EPCR_DSIGS (1 << 28)
1689/* Instruction TLB Error Interrupt Directed to Guest State */
1690#define EPCR_ITLBGS (1 << 29)
1691/* Data TLB Error Interrupt Directed to Guest State */
1692#define EPCR_DTLBGS (1 << 30)
1693/* External Input Interrupt Directed to Guest State */
1694#define EPCR_EXTGS (1 << 31)
1695
c29b735c
NF
1696/*****************************************************************************/
1697/* PowerPC Instructions types definitions */
1698enum {
1699 PPC_NONE = 0x0000000000000000ULL,
1700 /* PowerPC base instructions set */
1701 PPC_INSNS_BASE = 0x0000000000000001ULL,
1702 /* integer operations instructions */
1703#define PPC_INTEGER PPC_INSNS_BASE
1704 /* flow control instructions */
1705#define PPC_FLOW PPC_INSNS_BASE
1706 /* virtual memory instructions */
1707#define PPC_MEM PPC_INSNS_BASE
1708 /* ld/st with reservation instructions */
1709#define PPC_RES PPC_INSNS_BASE
1710 /* spr/msr access instructions */
1711#define PPC_MISC PPC_INSNS_BASE
1712 /* Deprecated instruction sets */
1713 /* Original POWER instruction set */
1714 PPC_POWER = 0x0000000000000002ULL,
1715 /* POWER2 instruction set extension */
1716 PPC_POWER2 = 0x0000000000000004ULL,
1717 /* Power RTC support */
1718 PPC_POWER_RTC = 0x0000000000000008ULL,
1719 /* Power-to-PowerPC bridge (601) */
1720 PPC_POWER_BR = 0x0000000000000010ULL,
1721 /* 64 bits PowerPC instruction set */
1722 PPC_64B = 0x0000000000000020ULL,
1723 /* New 64 bits extensions (PowerPC 2.0x) */
1724 PPC_64BX = 0x0000000000000040ULL,
1725 /* 64 bits hypervisor extensions */
1726 PPC_64H = 0x0000000000000080ULL,
1727 /* New wait instruction (PowerPC 2.0x) */
1728 PPC_WAIT = 0x0000000000000100ULL,
1729 /* Time base mftb instruction */
1730 PPC_MFTB = 0x0000000000000200ULL,
1731
1732 /* Fixed-point unit extensions */
1733 /* PowerPC 602 specific */
1734 PPC_602_SPEC = 0x0000000000000400ULL,
1735 /* isel instruction */
1736 PPC_ISEL = 0x0000000000000800ULL,
1737 /* popcntb instruction */
1738 PPC_POPCNTB = 0x0000000000001000ULL,
1739 /* string load / store */
1740 PPC_STRING = 0x0000000000002000ULL,
1741
1742 /* Floating-point unit extensions */
1743 /* Optional floating point instructions */
1744 PPC_FLOAT = 0x0000000000010000ULL,
1745 /* New floating-point extensions (PowerPC 2.0x) */
1746 PPC_FLOAT_EXT = 0x0000000000020000ULL,
1747 PPC_FLOAT_FSQRT = 0x0000000000040000ULL,
1748 PPC_FLOAT_FRES = 0x0000000000080000ULL,
1749 PPC_FLOAT_FRSQRTE = 0x0000000000100000ULL,
1750 PPC_FLOAT_FRSQRTES = 0x0000000000200000ULL,
1751 PPC_FLOAT_FSEL = 0x0000000000400000ULL,
1752 PPC_FLOAT_STFIWX = 0x0000000000800000ULL,
1753
1754 /* Vector/SIMD extensions */
1755 /* Altivec support */
1756 PPC_ALTIVEC = 0x0000000001000000ULL,
1757 /* PowerPC 2.03 SPE extension */
1758 PPC_SPE = 0x0000000002000000ULL,
1759 /* PowerPC 2.03 SPE single-precision floating-point extension */
1760 PPC_SPE_SINGLE = 0x0000000004000000ULL,
1761 /* PowerPC 2.03 SPE double-precision floating-point extension */
1762 PPC_SPE_DOUBLE = 0x0000000008000000ULL,
1763
1764 /* Optional memory control instructions */
1765 PPC_MEM_TLBIA = 0x0000000010000000ULL,
1766 PPC_MEM_TLBIE = 0x0000000020000000ULL,
1767 PPC_MEM_TLBSYNC = 0x0000000040000000ULL,
1768 /* sync instruction */
1769 PPC_MEM_SYNC = 0x0000000080000000ULL,
1770 /* eieio instruction */
1771 PPC_MEM_EIEIO = 0x0000000100000000ULL,
1772
1773 /* Cache control instructions */
1774 PPC_CACHE = 0x0000000200000000ULL,
1775 /* icbi instruction */
1776 PPC_CACHE_ICBI = 0x0000000400000000ULL,
8e33944f 1777 /* dcbz instruction */
c29b735c 1778 PPC_CACHE_DCBZ = 0x0000000800000000ULL,
c29b735c
NF
1779 /* dcba instruction */
1780 PPC_CACHE_DCBA = 0x0000002000000000ULL,
1781 /* Freescale cache locking instructions */
1782 PPC_CACHE_LOCK = 0x0000004000000000ULL,
1783
1784 /* MMU related extensions */
1785 /* external control instructions */
1786 PPC_EXTERN = 0x0000010000000000ULL,
1787 /* segment register access instructions */
1788 PPC_SEGMENT = 0x0000020000000000ULL,
1789 /* PowerPC 6xx TLB management instructions */
1790 PPC_6xx_TLB = 0x0000040000000000ULL,
1791 /* PowerPC 74xx TLB management instructions */
1792 PPC_74xx_TLB = 0x0000080000000000ULL,
1793 /* PowerPC 40x TLB management instructions */
1794 PPC_40x_TLB = 0x0000100000000000ULL,
1795 /* segment register access instructions for PowerPC 64 "bridge" */
1796 PPC_SEGMENT_64B = 0x0000200000000000ULL,
1797 /* SLB management */
1798 PPC_SLBI = 0x0000400000000000ULL,
1799
1800 /* Embedded PowerPC dedicated instructions */
1801 PPC_WRTEE = 0x0001000000000000ULL,
1802 /* PowerPC 40x exception model */
1803 PPC_40x_EXCP = 0x0002000000000000ULL,
1804 /* PowerPC 405 Mac instructions */
1805 PPC_405_MAC = 0x0004000000000000ULL,
1806 /* PowerPC 440 specific instructions */
1807 PPC_440_SPEC = 0x0008000000000000ULL,
1808 /* BookE (embedded) PowerPC specification */
1809 PPC_BOOKE = 0x0010000000000000ULL,
1810 /* mfapidi instruction */
1811 PPC_MFAPIDI = 0x0020000000000000ULL,
1812 /* tlbiva instruction */
1813 PPC_TLBIVA = 0x0040000000000000ULL,
1814 /* tlbivax instruction */
1815 PPC_TLBIVAX = 0x0080000000000000ULL,
1816 /* PowerPC 4xx dedicated instructions */
1817 PPC_4xx_COMMON = 0x0100000000000000ULL,
1818 /* PowerPC 40x ibct instructions */
1819 PPC_40x_ICBT = 0x0200000000000000ULL,
1820 /* rfmci is not implemented in all BookE PowerPC */
1821 PPC_RFMCI = 0x0400000000000000ULL,
1822 /* rfdi instruction */
1823 PPC_RFDI = 0x0800000000000000ULL,
1824 /* DCR accesses */
1825 PPC_DCR = 0x1000000000000000ULL,
1826 /* DCR extended accesse */
1827 PPC_DCRX = 0x2000000000000000ULL,
1828 /* user-mode DCR access, implemented in PowerPC 460 */
1829 PPC_DCRUX = 0x4000000000000000ULL,
eaabeef2
DG
1830 /* popcntw and popcntd instructions */
1831 PPC_POPCNTWD = 0x8000000000000000ULL,
01662f3e 1832
02d4eae4
DG
1833#define PPC_TCG_INSNS (PPC_INSNS_BASE | PPC_POWER | PPC_POWER2 \
1834 | PPC_POWER_RTC | PPC_POWER_BR | PPC_64B \
1835 | PPC_64BX | PPC_64H | PPC_WAIT | PPC_MFTB \
1836 | PPC_602_SPEC | PPC_ISEL | PPC_POPCNTB \
1837 | PPC_STRING | PPC_FLOAT | PPC_FLOAT_EXT \
1838 | PPC_FLOAT_FSQRT | PPC_FLOAT_FRES \
1839 | PPC_FLOAT_FRSQRTE | PPC_FLOAT_FRSQRTES \
1840 | PPC_FLOAT_FSEL | PPC_FLOAT_STFIWX \
1841 | PPC_ALTIVEC | PPC_SPE | PPC_SPE_SINGLE \
1842 | PPC_SPE_DOUBLE | PPC_MEM_TLBIA \
1843 | PPC_MEM_TLBIE | PPC_MEM_TLBSYNC \
1844 | PPC_MEM_SYNC | PPC_MEM_EIEIO \
1845 | PPC_CACHE | PPC_CACHE_ICBI \
8e33944f 1846 | PPC_CACHE_DCBZ \
02d4eae4
DG
1847 | PPC_CACHE_DCBA | PPC_CACHE_LOCK \
1848 | PPC_EXTERN | PPC_SEGMENT | PPC_6xx_TLB \
1849 | PPC_74xx_TLB | PPC_40x_TLB | PPC_SEGMENT_64B \
1850 | PPC_SLBI | PPC_WRTEE | PPC_40x_EXCP \
1851 | PPC_405_MAC | PPC_440_SPEC | PPC_BOOKE \
1852 | PPC_MFAPIDI | PPC_TLBIVA | PPC_TLBIVAX \
1853 | PPC_4xx_COMMON | PPC_40x_ICBT | PPC_RFMCI \
1854 | PPC_RFDI | PPC_DCR | PPC_DCRX | PPC_DCRUX \
1855 | PPC_POPCNTWD)
1856
01662f3e
AG
1857 /* extended type values */
1858
1859 /* BookE 2.06 PowerPC specification */
1860 PPC2_BOOKE206 = 0x0000000000000001ULL,
a7342588
DG
1861 /* VSX (extensions to Altivec / VMX) */
1862 PPC2_VSX = 0x0000000000000002ULL,
1863 /* Decimal Floating Point (DFP) */
1864 PPC2_DFP = 0x0000000000000004ULL,
3f9f6a50
AG
1865 /* Embedded.Processor Control */
1866 PPC2_PRCNTL = 0x0000000000000008ULL,
cd6e9320
TH
1867 /* Byte-reversed, indexed, double-word load and store */
1868 PPC2_DBRX = 0x0000000000000010ULL,
9c2627b0
AJ
1869 /* Book I 2.05 PowerPC specification */
1870 PPC2_ISA205 = 0x0000000000000020ULL,
02d4eae4 1871
9c2627b0 1872#define PPC_TCG_INSNS2 (PPC2_BOOKE206 | PPC2_PRCNTL | PPC2_DBRX | PPC2_ISA205)
c29b735c
NF
1873};
1874
76a66253 1875/*****************************************************************************/
9a64fbe4
FB
1876/* Memory access type :
1877 * may be needed for precise access rights control and precise exceptions.
1878 */
79aceca5 1879enum {
9a64fbe4
FB
1880 /* 1 bit to define user level / supervisor access */
1881 ACCESS_USER = 0x00,
1882 ACCESS_SUPER = 0x01,
1883 /* Type of instruction that generated the access */
1884 ACCESS_CODE = 0x10, /* Code fetch access */
1885 ACCESS_INT = 0x20, /* Integer load/store access */
1886 ACCESS_FLOAT = 0x30, /* floating point load/store access */
1887 ACCESS_RES = 0x40, /* load/store with reservation */
1888 ACCESS_EXT = 0x50, /* external access */
1889 ACCESS_CACHE = 0x60, /* Cache manipulation */
1890};
1891
47103572
JM
1892/* Hardware interruption sources:
1893 * all those exception can be raised simulteaneously
1894 */
e9df014c
JM
1895/* Input pins definitions */
1896enum {
1897 /* 6xx bus input pins */
24be5ae3
JM
1898 PPC6xx_INPUT_HRESET = 0,
1899 PPC6xx_INPUT_SRESET = 1,
1900 PPC6xx_INPUT_CKSTP_IN = 2,
1901 PPC6xx_INPUT_MCP = 3,
1902 PPC6xx_INPUT_SMI = 4,
1903 PPC6xx_INPUT_INT = 5,
d68f1306
JM
1904 PPC6xx_INPUT_TBEN = 6,
1905 PPC6xx_INPUT_WAKEUP = 7,
1906 PPC6xx_INPUT_NB,
24be5ae3
JM
1907};
1908
1909enum {
e9df014c 1910 /* Embedded PowerPC input pins */
24be5ae3
JM
1911 PPCBookE_INPUT_HRESET = 0,
1912 PPCBookE_INPUT_SRESET = 1,
1913 PPCBookE_INPUT_CKSTP_IN = 2,
1914 PPCBookE_INPUT_MCP = 3,
1915 PPCBookE_INPUT_SMI = 4,
1916 PPCBookE_INPUT_INT = 5,
1917 PPCBookE_INPUT_CINT = 6,
d68f1306 1918 PPCBookE_INPUT_NB,
24be5ae3
JM
1919};
1920
9fdc60bf
AJ
1921enum {
1922 /* PowerPC E500 input pins */
1923 PPCE500_INPUT_RESET_CORE = 0,
1924 PPCE500_INPUT_MCK = 1,
1925 PPCE500_INPUT_CINT = 3,
1926 PPCE500_INPUT_INT = 4,
1927 PPCE500_INPUT_DEBUG = 6,
1928 PPCE500_INPUT_NB,
1929};
1930
a750fc0b 1931enum {
4e290a0b
JM
1932 /* PowerPC 40x input pins */
1933 PPC40x_INPUT_RESET_CORE = 0,
1934 PPC40x_INPUT_RESET_CHIP = 1,
1935 PPC40x_INPUT_RESET_SYS = 2,
1936 PPC40x_INPUT_CINT = 3,
1937 PPC40x_INPUT_INT = 4,
1938 PPC40x_INPUT_HALT = 5,
1939 PPC40x_INPUT_DEBUG = 6,
1940 PPC40x_INPUT_NB,
e9df014c
JM
1941};
1942
b4095fed
JM
1943enum {
1944 /* RCPU input pins */
1945 PPCRCPU_INPUT_PORESET = 0,
1946 PPCRCPU_INPUT_HRESET = 1,
1947 PPCRCPU_INPUT_SRESET = 2,
1948 PPCRCPU_INPUT_IRQ0 = 3,
1949 PPCRCPU_INPUT_IRQ1 = 4,
1950 PPCRCPU_INPUT_IRQ2 = 5,
1951 PPCRCPU_INPUT_IRQ3 = 6,
1952 PPCRCPU_INPUT_IRQ4 = 7,
1953 PPCRCPU_INPUT_IRQ5 = 8,
1954 PPCRCPU_INPUT_IRQ6 = 9,
1955 PPCRCPU_INPUT_IRQ7 = 10,
1956 PPCRCPU_INPUT_NB,
1957};
1958
00af685f 1959#if defined(TARGET_PPC64)
d0dfae6e
JM
1960enum {
1961 /* PowerPC 970 input pins */
1962 PPC970_INPUT_HRESET = 0,
1963 PPC970_INPUT_SRESET = 1,
1964 PPC970_INPUT_CKSTP = 2,
1965 PPC970_INPUT_TBEN = 3,
1966 PPC970_INPUT_MCP = 4,
1967 PPC970_INPUT_INT = 5,
1968 PPC970_INPUT_THINT = 6,
7b62a955 1969 PPC970_INPUT_NB,
9d52e907
DG
1970};
1971
1972enum {
1973 /* POWER7 input pins */
1974 POWER7_INPUT_INT = 0,
1975 /* POWER7 probably has other inputs, but we don't care about them
1976 * for any existing machine. We can wire these up when we need
1977 * them */
1978 POWER7_INPUT_NB,
d0dfae6e 1979};
00af685f 1980#endif
d0dfae6e 1981
e9df014c 1982/* Hardware exceptions definitions */
47103572 1983enum {
e9df014c 1984 /* External hardware exception sources */
e1833e1f 1985 PPC_INTERRUPT_RESET = 0, /* Reset exception */
d68f1306
JM
1986 PPC_INTERRUPT_WAKEUP, /* Wakeup exception */
1987 PPC_INTERRUPT_MCK, /* Machine check exception */
1988 PPC_INTERRUPT_EXT, /* External interrupt */
1989 PPC_INTERRUPT_SMI, /* System management interrupt */
1990 PPC_INTERRUPT_CEXT, /* Critical external interrupt */
1991 PPC_INTERRUPT_DEBUG, /* External debug exception */
1992 PPC_INTERRUPT_THERM, /* Thermal exception */
e9df014c 1993 /* Internal hardware exception sources */
d68f1306
JM
1994 PPC_INTERRUPT_DECR, /* Decrementer exception */
1995 PPC_INTERRUPT_HDECR, /* Hypervisor decrementer exception */
1996 PPC_INTERRUPT_PIT, /* Programmable inteval timer interrupt */
1997 PPC_INTERRUPT_FIT, /* Fixed interval timer interrupt */
1998 PPC_INTERRUPT_WDT, /* Watchdog timer interrupt */
1999 PPC_INTERRUPT_CDOORBELL, /* Critical doorbell interrupt */
2000 PPC_INTERRUPT_DOORBELL, /* Doorbell interrupt */
2001 PPC_INTERRUPT_PERFM, /* Performance monitor interrupt */
47103572
JM
2002};
2003
fc0b2c0f
AG
2004/* CPU should be reset next, restart from scratch afterwards */
2005#define CPU_INTERRUPT_RESET CPU_INTERRUPT_TGT_INT_0
2006
9a64fbe4
FB
2007/*****************************************************************************/
2008
da91a00f
RH
2009static inline target_ulong cpu_read_xer(CPUPPCState *env)
2010{
2011 return env->xer | (env->so << XER_SO) | (env->ov << XER_OV) | (env->ca << XER_CA);
2012}
2013
2014static inline void cpu_write_xer(CPUPPCState *env, target_ulong xer)
2015{
2016 env->so = (xer >> XER_SO) & 1;
2017 env->ov = (xer >> XER_OV) & 1;
2018 env->ca = (xer >> XER_CA) & 1;
2019 env->xer = xer & ~((1u << XER_SO) | (1u << XER_OV) | (1u << XER_CA));
2020}
2021
1328c2bf 2022static inline void cpu_get_tb_cpu_state(CPUPPCState *env, target_ulong *pc,
6b917547
AL
2023 target_ulong *cs_base, int *flags)
2024{
2025 *pc = env->nip;
2026 *cs_base = 0;
2027 *flags = env->hflags;
2028}
2029
01662f3e 2030#if !defined(CONFIG_USER_ONLY)
1328c2bf 2031static inline int booke206_tlbm_id(CPUPPCState *env, ppcmas_tlb_t *tlbm)
01662f3e 2032{
d1e256fe 2033 uintptr_t tlbml = (uintptr_t)tlbm;
1c53accc 2034 uintptr_t tlbl = (uintptr_t)env->tlb.tlbm;
01662f3e 2035
1c53accc 2036 return (tlbml - tlbl) / sizeof(env->tlb.tlbm[0]);
01662f3e
AG
2037}
2038
1328c2bf 2039static inline int booke206_tlb_size(CPUPPCState *env, int tlbn)
01662f3e
AG
2040{
2041 uint32_t tlbncfg = env->spr[SPR_BOOKE_TLB0CFG + tlbn];
2042 int r = tlbncfg & TLBnCFG_N_ENTRY;
2043 return r;
2044}
2045
1328c2bf 2046static inline int booke206_tlb_ways(CPUPPCState *env, int tlbn)
01662f3e
AG
2047{
2048 uint32_t tlbncfg = env->spr[SPR_BOOKE_TLB0CFG + tlbn];
2049 int r = tlbncfg >> TLBnCFG_ASSOC_SHIFT;
2050 return r;
2051}
2052
1328c2bf 2053static inline int booke206_tlbm_to_tlbn(CPUPPCState *env, ppcmas_tlb_t *tlbm)
01662f3e 2054{
d1e256fe 2055 int id = booke206_tlbm_id(env, tlbm);
01662f3e
AG
2056 int end = 0;
2057 int i;
2058
2059 for (i = 0; i < BOOKE206_MAX_TLBN; i++) {
2060 end += booke206_tlb_size(env, i);
2061 if (id < end) {
2062 return i;
2063 }
2064 }
2065
2066 cpu_abort(env, "Unknown TLBe: %d\n", id);
2067 return 0;
2068}
2069
1328c2bf 2070static inline int booke206_tlbm_to_way(CPUPPCState *env, ppcmas_tlb_t *tlb)
01662f3e 2071{
d1e256fe
AG
2072 int tlbn = booke206_tlbm_to_tlbn(env, tlb);
2073 int tlbid = booke206_tlbm_id(env, tlb);
01662f3e
AG
2074 return tlbid & (booke206_tlb_ways(env, tlbn) - 1);
2075}
2076
1328c2bf 2077static inline ppcmas_tlb_t *booke206_get_tlbm(CPUPPCState *env, const int tlbn,
01662f3e
AG
2078 target_ulong ea, int way)
2079{
2080 int r;
2081 uint32_t ways = booke206_tlb_ways(env, tlbn);
2082 int ways_bits = ffs(ways) - 1;
2083 int tlb_bits = ffs(booke206_tlb_size(env, tlbn)) - 1;
2084 int i;
2085
2086 way &= ways - 1;
2087 ea >>= MAS2_EPN_SHIFT;
2088 ea &= (1 << (tlb_bits - ways_bits)) - 1;
2089 r = (ea << ways_bits) | way;
2090
3f162d11
AG
2091 if (r >= booke206_tlb_size(env, tlbn)) {
2092 return NULL;
2093 }
2094
01662f3e
AG
2095 /* bump up to tlbn index */
2096 for (i = 0; i < tlbn; i++) {
2097 r += booke206_tlb_size(env, i);
2098 }
2099
1c53accc 2100 return &env->tlb.tlbm[r];
01662f3e
AG
2101}
2102
a1ef618a 2103/* returns bitmap of supported page sizes for a given TLB */
1328c2bf 2104static inline uint32_t booke206_tlbnps(CPUPPCState *env, const int tlbn)
a1ef618a
AG
2105{
2106 bool mav2 = false;
2107 uint32_t ret = 0;
2108
2109 if (mav2) {
2110 ret = env->spr[SPR_BOOKE_TLB0PS + tlbn];
2111 } else {
2112 uint32_t tlbncfg = env->spr[SPR_BOOKE_TLB0CFG + tlbn];
2113 uint32_t min = (tlbncfg & TLBnCFG_MINSIZE) >> TLBnCFG_MINSIZE_SHIFT;
2114 uint32_t max = (tlbncfg & TLBnCFG_MAXSIZE) >> TLBnCFG_MAXSIZE_SHIFT;
2115 int i;
2116 for (i = min; i <= max; i++) {
2117 ret |= (1 << (i << 1));
2118 }
2119 }
2120
2121 return ret;
2122}
2123
01662f3e
AG
2124#endif
2125
e42a61f1
AG
2126static inline bool msr_is_64bit(CPUPPCState *env, target_ulong msr)
2127{
2128 if (env->mmu_model == POWERPC_MMU_BOOKE206) {
2129 return msr & (1ULL << MSR_CM);
2130 }
2131
2132 return msr & (1ULL << MSR_SF);
2133}
2134
1b14670a 2135extern void (*cpu_ppc_hypercall)(PowerPCCPU *);
d569956e 2136
3993c6bd 2137static inline bool cpu_has_work(CPUState *cpu)
f081c76c 2138{
259186a7
AF
2139 PowerPCCPU *ppc_cpu = POWERPC_CPU(cpu);
2140 CPUPPCState *env = &ppc_cpu->env;
3993c6bd 2141
259186a7 2142 return msr_ee && (cpu->interrupt_request & CPU_INTERRUPT_HARD);
f081c76c
BS
2143}
2144
022c62cb 2145#include "exec/exec-all.h"
f081c76c 2146
1328c2bf 2147void dump_mmu(FILE *f, fprintf_function cpu_fprintf, CPUPPCState *env);
bebabbc7 2148
79aceca5 2149#endif /* !defined (__CPU_PPC_H__) */