]> git.proxmox.com Git - mirror_edk2.git/commit - BaseTools/Source/Python/Common/Expression.py
BaseTools: Expression - change from series of if to elif
authorCarsey, Jaben </o=Intel/ou=Americas01/cn=Workers/cn=Carsey, Jaben>
Tue, 13 Mar 2018 23:11:33 +0000 (07:11 +0800)
committerYonghong Zhu <yonghong.zhu@intel.com>
Mon, 19 Mar 2018 01:25:33 +0000 (09:25 +0800)
commit3e8bab960eca8464ab1d0f2ad3f06a2ccc925f95
treea95f358aeb8d7fb05bc91b885ca094fe1c03be4d
parent1f901a89f053dfa8c64904a582622a33a669b605
BaseTools: Expression - change from series of if to elif

since the first character of the string cannot be found by multiple if
statements, use elif to optomize the behavior.

Cc: Yonghong Zhu <yonghong.zhu@intel.com>
Cc: Liming Gao <liming.gao@intel.com>
Contributed-under: TianoCore Contribution Agreement 1.1
Signed-off-by: Jaben Carsey <jaben.carsey@intel.com>
Reviewed-by: Yonghong Zhu <yonghong.zhu@intel.com>
BaseTools/Source/Python/Common/Expression.py