]> git.proxmox.com Git - mirror_qemu.git/blame - exec.c
s390/ipl: fix ipl with -no-reboot
[mirror_qemu.git] / exec.c
CommitLineData
54936004 1/*
5b6dd868 2 * Virtual page mapping
5fafdf24 3 *
54936004
FB
4 * Copyright (c) 2003 Fabrice Bellard
5 *
6 * This library is free software; you can redistribute it and/or
7 * modify it under the terms of the GNU Lesser General Public
8 * License as published by the Free Software Foundation; either
9 * version 2 of the License, or (at your option) any later version.
10 *
11 * This library is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
14 * Lesser General Public License for more details.
15 *
16 * You should have received a copy of the GNU Lesser General Public
8167ee88 17 * License along with this library; if not, see <http://www.gnu.org/licenses/>.
54936004 18 */
7b31bbc2 19#include "qemu/osdep.h"
da34e65c 20#include "qapi/error.h"
54936004 21
f348b6d1 22#include "qemu/cutils.h"
6180a181 23#include "cpu.h"
63c91552 24#include "exec/exec-all.h"
51180423 25#include "exec/target_page.h"
b67d9a52 26#include "tcg.h"
741da0d3 27#include "hw/qdev-core.h"
c7e002c5 28#include "hw/qdev-properties.h"
4485bd26 29#if !defined(CONFIG_USER_ONLY)
47c8ca53 30#include "hw/boards.h"
33c11879 31#include "hw/xen/xen.h"
4485bd26 32#endif
9c17d615 33#include "sysemu/kvm.h"
2ff3de68 34#include "sysemu/sysemu.h"
1de7afc9
PB
35#include "qemu/timer.h"
36#include "qemu/config-file.h"
75a34036 37#include "qemu/error-report.h"
53a5960a 38#if defined(CONFIG_USER_ONLY)
a9c94277 39#include "qemu.h"
432d268c 40#else /* !CONFIG_USER_ONLY */
741da0d3
PB
41#include "hw/hw.h"
42#include "exec/memory.h"
df43d49c 43#include "exec/ioport.h"
741da0d3 44#include "sysemu/dma.h"
9c607668 45#include "sysemu/numa.h"
79ca7a1b 46#include "sysemu/hw_accel.h"
741da0d3 47#include "exec/address-spaces.h"
9c17d615 48#include "sysemu/xen-mapcache.h"
0ab8ed18 49#include "trace-root.h"
d3a5038c 50
e2fa71f5 51#ifdef CONFIG_FALLOCATE_PUNCH_HOLE
e2fa71f5
DDAG
52#include <linux/falloc.h>
53#endif
54
53a5960a 55#endif
0dc3f44a 56#include "qemu/rcu_queue.h"
4840f10e 57#include "qemu/main-loop.h"
5b6dd868 58#include "translate-all.h"
7615936e 59#include "sysemu/replay.h"
0cac1b66 60
022c62cb 61#include "exec/memory-internal.h"
220c3ebd 62#include "exec/ram_addr.h"
508127e2 63#include "exec/log.h"
67d95c15 64
9dfeca7c
BR
65#include "migration/vmstate.h"
66
b35ba30f 67#include "qemu/range.h"
794e8f30
MT
68#ifndef _WIN32
69#include "qemu/mmap-alloc.h"
70#endif
b35ba30f 71
be9b23c4
PX
72#include "monitor/monitor.h"
73
db7b5426 74//#define DEBUG_SUBPAGE
1196be37 75
e2eef170 76#if !defined(CONFIG_USER_ONLY)
0dc3f44a
MD
77/* ram_list is read under rcu_read_lock()/rcu_read_unlock(). Writes
78 * are protected by the ramlist lock.
79 */
0d53d9fe 80RAMList ram_list = { .blocks = QLIST_HEAD_INITIALIZER(ram_list.blocks) };
62152b8a
AK
81
82static MemoryRegion *system_memory;
309cb471 83static MemoryRegion *system_io;
62152b8a 84
f6790af6
AK
85AddressSpace address_space_io;
86AddressSpace address_space_memory;
2673a5da 87
0844e007 88MemoryRegion io_mem_rom, io_mem_notdirty;
acc9d80b 89static MemoryRegion io_mem_unassigned;
0e0df1e2 90
7bd4f430
PB
91/* RAM is pre-allocated and passed into qemu_ram_alloc_from_ptr */
92#define RAM_PREALLOC (1 << 0)
93
dbcb8981
PB
94/* RAM is mmap-ed with MAP_SHARED */
95#define RAM_SHARED (1 << 1)
96
62be4e3a
MT
97/* Only a portion of RAM (used_length) is actually used, and migrated.
98 * This used_length size can change across reboots.
99 */
100#define RAM_RESIZEABLE (1 << 2)
101
2ce16640
DDAG
102/* UFFDIO_ZEROPAGE is available on this RAMBlock to atomically
103 * zero the page and wake waiting processes.
104 * (Set during postcopy)
105 */
106#define RAM_UF_ZEROPAGE (1 << 3)
b895de50
CLG
107
108/* RAM can be migrated */
109#define RAM_MIGRATABLE (1 << 4)
e2eef170 110#endif
9fa3e853 111
20bccb82
PM
112#ifdef TARGET_PAGE_BITS_VARY
113int target_page_bits;
114bool target_page_bits_decided;
115#endif
116
bdc44640 117struct CPUTailQ cpus = QTAILQ_HEAD_INITIALIZER(cpus);
6a00d601
FB
118/* current CPU in the current thread. It is only valid inside
119 cpu_exec() */
f240eb6f 120__thread CPUState *current_cpu;
2e70f6ef 121/* 0 = Do not count executed instructions.
bf20dc07 122 1 = Precise instruction counting.
2e70f6ef 123 2 = Adaptive rate instruction counting. */
5708fc66 124int use_icount;
6a00d601 125
a0be0c58
YZ
126uintptr_t qemu_host_page_size;
127intptr_t qemu_host_page_mask;
a0be0c58 128
20bccb82
PM
129bool set_preferred_target_page_bits(int bits)
130{
131 /* The target page size is the lowest common denominator for all
132 * the CPUs in the system, so we can only make it smaller, never
133 * larger. And we can't make it smaller once we've committed to
134 * a particular size.
135 */
136#ifdef TARGET_PAGE_BITS_VARY
137 assert(bits >= TARGET_PAGE_BITS_MIN);
138 if (target_page_bits == 0 || target_page_bits > bits) {
139 if (target_page_bits_decided) {
140 return false;
141 }
142 target_page_bits = bits;
143 }
144#endif
145 return true;
146}
147
e2eef170 148#if !defined(CONFIG_USER_ONLY)
4346ae3e 149
20bccb82
PM
150static void finalize_target_page_bits(void)
151{
152#ifdef TARGET_PAGE_BITS_VARY
153 if (target_page_bits == 0) {
154 target_page_bits = TARGET_PAGE_BITS_MIN;
155 }
156 target_page_bits_decided = true;
157#endif
158}
159
1db8abb1
PB
160typedef struct PhysPageEntry PhysPageEntry;
161
162struct PhysPageEntry {
9736e55b 163 /* How many bits skip to next level (in units of L2_SIZE). 0 for a leaf. */
8b795765 164 uint32_t skip : 6;
9736e55b 165 /* index into phys_sections (!skip) or phys_map_nodes (skip) */
8b795765 166 uint32_t ptr : 26;
1db8abb1
PB
167};
168
8b795765
MT
169#define PHYS_MAP_NODE_NIL (((uint32_t)~0) >> 6)
170
03f49957 171/* Size of the L2 (and L3, etc) page tables. */
57271d63 172#define ADDR_SPACE_BITS 64
03f49957 173
026736ce 174#define P_L2_BITS 9
03f49957
PB
175#define P_L2_SIZE (1 << P_L2_BITS)
176
177#define P_L2_LEVELS (((ADDR_SPACE_BITS - TARGET_PAGE_BITS - 1) / P_L2_BITS) + 1)
178
179typedef PhysPageEntry Node[P_L2_SIZE];
0475d94f 180
53cb28cb 181typedef struct PhysPageMap {
79e2b9ae
PB
182 struct rcu_head rcu;
183
53cb28cb
MA
184 unsigned sections_nb;
185 unsigned sections_nb_alloc;
186 unsigned nodes_nb;
187 unsigned nodes_nb_alloc;
188 Node *nodes;
189 MemoryRegionSection *sections;
190} PhysPageMap;
191
1db8abb1 192struct AddressSpaceDispatch {
729633c2 193 MemoryRegionSection *mru_section;
1db8abb1
PB
194 /* This is a multi-level map on the physical address space.
195 * The bottom level has pointers to MemoryRegionSections.
196 */
197 PhysPageEntry phys_map;
53cb28cb 198 PhysPageMap map;
1db8abb1
PB
199};
200
90260c6c
JK
201#define SUBPAGE_IDX(addr) ((addr) & ~TARGET_PAGE_MASK)
202typedef struct subpage_t {
203 MemoryRegion iomem;
16620684 204 FlatView *fv;
90260c6c 205 hwaddr base;
2615fabd 206 uint16_t sub_section[];
90260c6c
JK
207} subpage_t;
208
b41aac4f
LPF
209#define PHYS_SECTION_UNASSIGNED 0
210#define PHYS_SECTION_NOTDIRTY 1
211#define PHYS_SECTION_ROM 2
212#define PHYS_SECTION_WATCH 3
5312bd8b 213
e2eef170 214static void io_mem_init(void);
62152b8a 215static void memory_map_init(void);
09daed84 216static void tcg_commit(MemoryListener *listener);
e2eef170 217
1ec9b909 218static MemoryRegion io_mem_watch;
32857f4d
PM
219
220/**
221 * CPUAddressSpace: all the information a CPU needs about an AddressSpace
222 * @cpu: the CPU whose AddressSpace this is
223 * @as: the AddressSpace itself
224 * @memory_dispatch: its dispatch pointer (cached, RCU protected)
225 * @tcg_as_listener: listener for tracking changes to the AddressSpace
226 */
227struct CPUAddressSpace {
228 CPUState *cpu;
229 AddressSpace *as;
230 struct AddressSpaceDispatch *memory_dispatch;
231 MemoryListener tcg_as_listener;
232};
233
8deaf12c
GH
234struct DirtyBitmapSnapshot {
235 ram_addr_t start;
236 ram_addr_t end;
237 unsigned long dirty[];
238};
239
6658ffb8 240#endif
fd6ce8f6 241
6d9a1304 242#if !defined(CONFIG_USER_ONLY)
d6f2ea22 243
53cb28cb 244static void phys_map_node_reserve(PhysPageMap *map, unsigned nodes)
d6f2ea22 245{
101420b8 246 static unsigned alloc_hint = 16;
53cb28cb 247 if (map->nodes_nb + nodes > map->nodes_nb_alloc) {
101420b8 248 map->nodes_nb_alloc = MAX(map->nodes_nb_alloc, alloc_hint);
53cb28cb
MA
249 map->nodes_nb_alloc = MAX(map->nodes_nb_alloc, map->nodes_nb + nodes);
250 map->nodes = g_renew(Node, map->nodes, map->nodes_nb_alloc);
101420b8 251 alloc_hint = map->nodes_nb_alloc;
d6f2ea22 252 }
f7bf5461
AK
253}
254
db94604b 255static uint32_t phys_map_node_alloc(PhysPageMap *map, bool leaf)
f7bf5461
AK
256{
257 unsigned i;
8b795765 258 uint32_t ret;
db94604b
PB
259 PhysPageEntry e;
260 PhysPageEntry *p;
f7bf5461 261
53cb28cb 262 ret = map->nodes_nb++;
db94604b 263 p = map->nodes[ret];
f7bf5461 264 assert(ret != PHYS_MAP_NODE_NIL);
53cb28cb 265 assert(ret != map->nodes_nb_alloc);
db94604b
PB
266
267 e.skip = leaf ? 0 : 1;
268 e.ptr = leaf ? PHYS_SECTION_UNASSIGNED : PHYS_MAP_NODE_NIL;
03f49957 269 for (i = 0; i < P_L2_SIZE; ++i) {
db94604b 270 memcpy(&p[i], &e, sizeof(e));
d6f2ea22 271 }
f7bf5461 272 return ret;
d6f2ea22
AK
273}
274
53cb28cb
MA
275static void phys_page_set_level(PhysPageMap *map, PhysPageEntry *lp,
276 hwaddr *index, hwaddr *nb, uint16_t leaf,
2999097b 277 int level)
f7bf5461
AK
278{
279 PhysPageEntry *p;
03f49957 280 hwaddr step = (hwaddr)1 << (level * P_L2_BITS);
108c49b8 281
9736e55b 282 if (lp->skip && lp->ptr == PHYS_MAP_NODE_NIL) {
db94604b 283 lp->ptr = phys_map_node_alloc(map, level == 0);
92e873b9 284 }
db94604b 285 p = map->nodes[lp->ptr];
03f49957 286 lp = &p[(*index >> (level * P_L2_BITS)) & (P_L2_SIZE - 1)];
f7bf5461 287
03f49957 288 while (*nb && lp < &p[P_L2_SIZE]) {
07f07b31 289 if ((*index & (step - 1)) == 0 && *nb >= step) {
9736e55b 290 lp->skip = 0;
c19e8800 291 lp->ptr = leaf;
07f07b31
AK
292 *index += step;
293 *nb -= step;
2999097b 294 } else {
53cb28cb 295 phys_page_set_level(map, lp, index, nb, leaf, level - 1);
2999097b
AK
296 }
297 ++lp;
f7bf5461
AK
298 }
299}
300
ac1970fb 301static void phys_page_set(AddressSpaceDispatch *d,
a8170e5e 302 hwaddr index, hwaddr nb,
2999097b 303 uint16_t leaf)
f7bf5461 304{
2999097b 305 /* Wildly overreserve - it doesn't matter much. */
53cb28cb 306 phys_map_node_reserve(&d->map, 3 * P_L2_LEVELS);
5cd2c5b6 307
53cb28cb 308 phys_page_set_level(&d->map, &d->phys_map, &index, &nb, leaf, P_L2_LEVELS - 1);
92e873b9
FB
309}
310
b35ba30f
MT
311/* Compact a non leaf page entry. Simply detect that the entry has a single child,
312 * and update our entry so we can skip it and go directly to the destination.
313 */
efee678d 314static void phys_page_compact(PhysPageEntry *lp, Node *nodes)
b35ba30f
MT
315{
316 unsigned valid_ptr = P_L2_SIZE;
317 int valid = 0;
318 PhysPageEntry *p;
319 int i;
320
321 if (lp->ptr == PHYS_MAP_NODE_NIL) {
322 return;
323 }
324
325 p = nodes[lp->ptr];
326 for (i = 0; i < P_L2_SIZE; i++) {
327 if (p[i].ptr == PHYS_MAP_NODE_NIL) {
328 continue;
329 }
330
331 valid_ptr = i;
332 valid++;
333 if (p[i].skip) {
efee678d 334 phys_page_compact(&p[i], nodes);
b35ba30f
MT
335 }
336 }
337
338 /* We can only compress if there's only one child. */
339 if (valid != 1) {
340 return;
341 }
342
343 assert(valid_ptr < P_L2_SIZE);
344
345 /* Don't compress if it won't fit in the # of bits we have. */
346 if (lp->skip + p[valid_ptr].skip >= (1 << 3)) {
347 return;
348 }
349
350 lp->ptr = p[valid_ptr].ptr;
351 if (!p[valid_ptr].skip) {
352 /* If our only child is a leaf, make this a leaf. */
353 /* By design, we should have made this node a leaf to begin with so we
354 * should never reach here.
355 * But since it's so simple to handle this, let's do it just in case we
356 * change this rule.
357 */
358 lp->skip = 0;
359 } else {
360 lp->skip += p[valid_ptr].skip;
361 }
362}
363
8629d3fc 364void address_space_dispatch_compact(AddressSpaceDispatch *d)
b35ba30f 365{
b35ba30f 366 if (d->phys_map.skip) {
efee678d 367 phys_page_compact(&d->phys_map, d->map.nodes);
b35ba30f
MT
368 }
369}
370
29cb533d
FZ
371static inline bool section_covers_addr(const MemoryRegionSection *section,
372 hwaddr addr)
373{
374 /* Memory topology clips a memory region to [0, 2^64); size.hi > 0 means
375 * the section must cover the entire address space.
376 */
258dfaaa 377 return int128_gethi(section->size) ||
29cb533d 378 range_covers_byte(section->offset_within_address_space,
258dfaaa 379 int128_getlo(section->size), addr);
29cb533d
FZ
380}
381
003a0cf2 382static MemoryRegionSection *phys_page_find(AddressSpaceDispatch *d, hwaddr addr)
92e873b9 383{
003a0cf2
PX
384 PhysPageEntry lp = d->phys_map, *p;
385 Node *nodes = d->map.nodes;
386 MemoryRegionSection *sections = d->map.sections;
97115a8d 387 hwaddr index = addr >> TARGET_PAGE_BITS;
31ab2b4a 388 int i;
f1f6e3b8 389
9736e55b 390 for (i = P_L2_LEVELS; lp.skip && (i -= lp.skip) >= 0;) {
c19e8800 391 if (lp.ptr == PHYS_MAP_NODE_NIL) {
9affd6fc 392 return &sections[PHYS_SECTION_UNASSIGNED];
31ab2b4a 393 }
9affd6fc 394 p = nodes[lp.ptr];
03f49957 395 lp = p[(index >> (i * P_L2_BITS)) & (P_L2_SIZE - 1)];
5312bd8b 396 }
b35ba30f 397
29cb533d 398 if (section_covers_addr(&sections[lp.ptr], addr)) {
b35ba30f
MT
399 return &sections[lp.ptr];
400 } else {
401 return &sections[PHYS_SECTION_UNASSIGNED];
402 }
f3705d53
AK
403}
404
e5548617
BS
405bool memory_region_is_unassigned(MemoryRegion *mr)
406{
2a8e7499 407 return mr != &io_mem_rom && mr != &io_mem_notdirty && !mr->rom_device
5b6dd868 408 && mr != &io_mem_watch;
fd6ce8f6 409}
149f54b5 410
79e2b9ae 411/* Called from RCU critical section */
c7086b4a 412static MemoryRegionSection *address_space_lookup_region(AddressSpaceDispatch *d,
90260c6c
JK
413 hwaddr addr,
414 bool resolve_subpage)
9f029603 415{
729633c2 416 MemoryRegionSection *section = atomic_read(&d->mru_section);
90260c6c
JK
417 subpage_t *subpage;
418
07c114bb
PB
419 if (!section || section == &d->map.sections[PHYS_SECTION_UNASSIGNED] ||
420 !section_covers_addr(section, addr)) {
003a0cf2 421 section = phys_page_find(d, addr);
07c114bb 422 atomic_set(&d->mru_section, section);
729633c2 423 }
90260c6c
JK
424 if (resolve_subpage && section->mr->subpage) {
425 subpage = container_of(section->mr, subpage_t, iomem);
53cb28cb 426 section = &d->map.sections[subpage->sub_section[SUBPAGE_IDX(addr)]];
90260c6c
JK
427 }
428 return section;
9f029603
JK
429}
430
79e2b9ae 431/* Called from RCU critical section */
90260c6c 432static MemoryRegionSection *
c7086b4a 433address_space_translate_internal(AddressSpaceDispatch *d, hwaddr addr, hwaddr *xlat,
90260c6c 434 hwaddr *plen, bool resolve_subpage)
149f54b5
PB
435{
436 MemoryRegionSection *section;
965eb2fc 437 MemoryRegion *mr;
a87f3954 438 Int128 diff;
149f54b5 439
c7086b4a 440 section = address_space_lookup_region(d, addr, resolve_subpage);
149f54b5
PB
441 /* Compute offset within MemoryRegionSection */
442 addr -= section->offset_within_address_space;
443
444 /* Compute offset within MemoryRegion */
445 *xlat = addr + section->offset_within_region;
446
965eb2fc 447 mr = section->mr;
b242e0e0
PB
448
449 /* MMIO registers can be expected to perform full-width accesses based only
450 * on their address, without considering adjacent registers that could
451 * decode to completely different MemoryRegions. When such registers
452 * exist (e.g. I/O ports 0xcf8 and 0xcf9 on most PC chipsets), MMIO
453 * regions overlap wildly. For this reason we cannot clamp the accesses
454 * here.
455 *
456 * If the length is small (as is the case for address_space_ldl/stl),
457 * everything works fine. If the incoming length is large, however,
458 * the caller really has to do the clamping through memory_access_size.
459 */
965eb2fc 460 if (memory_region_is_ram(mr)) {
e4a511f8 461 diff = int128_sub(section->size, int128_make64(addr));
965eb2fc
PB
462 *plen = int128_get64(int128_min(diff, int128_make64(*plen)));
463 }
149f54b5
PB
464 return section;
465}
90260c6c 466
a411c84b
PB
467/**
468 * address_space_translate_iommu - translate an address through an IOMMU
469 * memory region and then through the target address space.
470 *
471 * @iommu_mr: the IOMMU memory region that we start the translation from
472 * @addr: the address to be translated through the MMU
473 * @xlat: the translated address offset within the destination memory region.
474 * It cannot be %NULL.
475 * @plen_out: valid read/write length of the translated address. It
476 * cannot be %NULL.
477 * @page_mask_out: page mask for the translated address. This
478 * should only be meaningful for IOMMU translated
479 * addresses, since there may be huge pages that this bit
480 * would tell. It can be %NULL if we don't care about it.
481 * @is_write: whether the translation operation is for write
482 * @is_mmio: whether this can be MMIO, set true if it can
483 * @target_as: the address space targeted by the IOMMU
2f7b009c 484 * @attrs: transaction attributes
a411c84b
PB
485 *
486 * This function is called from RCU critical section. It is the common
487 * part of flatview_do_translate and address_space_translate_cached.
488 */
489static MemoryRegionSection address_space_translate_iommu(IOMMUMemoryRegion *iommu_mr,
490 hwaddr *xlat,
491 hwaddr *plen_out,
492 hwaddr *page_mask_out,
493 bool is_write,
494 bool is_mmio,
2f7b009c
PM
495 AddressSpace **target_as,
496 MemTxAttrs attrs)
a411c84b
PB
497{
498 MemoryRegionSection *section;
499 hwaddr page_mask = (hwaddr)-1;
500
501 do {
502 hwaddr addr = *xlat;
503 IOMMUMemoryRegionClass *imrc = memory_region_get_iommu_class_nocheck(iommu_mr);
2c91bcf2
PM
504 int iommu_idx = 0;
505 IOMMUTLBEntry iotlb;
506
507 if (imrc->attrs_to_index) {
508 iommu_idx = imrc->attrs_to_index(iommu_mr, attrs);
509 }
510
511 iotlb = imrc->translate(iommu_mr, addr, is_write ?
512 IOMMU_WO : IOMMU_RO, iommu_idx);
a411c84b
PB
513
514 if (!(iotlb.perm & (1 << is_write))) {
515 goto unassigned;
516 }
517
518 addr = ((iotlb.translated_addr & ~iotlb.addr_mask)
519 | (addr & iotlb.addr_mask));
520 page_mask &= iotlb.addr_mask;
521 *plen_out = MIN(*plen_out, (addr | iotlb.addr_mask) - addr + 1);
522 *target_as = iotlb.target_as;
523
524 section = address_space_translate_internal(
525 address_space_to_dispatch(iotlb.target_as), addr, xlat,
526 plen_out, is_mmio);
527
528 iommu_mr = memory_region_get_iommu(section->mr);
529 } while (unlikely(iommu_mr));
530
531 if (page_mask_out) {
532 *page_mask_out = page_mask;
533 }
534 return *section;
535
536unassigned:
537 return (MemoryRegionSection) { .mr = &io_mem_unassigned };
538}
539
d5e5fafd
PX
540/**
541 * flatview_do_translate - translate an address in FlatView
542 *
543 * @fv: the flat view that we want to translate on
544 * @addr: the address to be translated in above address space
545 * @xlat: the translated address offset within memory region. It
546 * cannot be @NULL.
547 * @plen_out: valid read/write length of the translated address. It
548 * can be @NULL when we don't care about it.
549 * @page_mask_out: page mask for the translated address. This
550 * should only be meaningful for IOMMU translated
551 * addresses, since there may be huge pages that this bit
552 * would tell. It can be @NULL if we don't care about it.
553 * @is_write: whether the translation operation is for write
554 * @is_mmio: whether this can be MMIO, set true if it can
ad2804d9 555 * @target_as: the address space targeted by the IOMMU
49e14aa8 556 * @attrs: memory transaction attributes
d5e5fafd
PX
557 *
558 * This function is called from RCU critical section
559 */
16620684
AK
560static MemoryRegionSection flatview_do_translate(FlatView *fv,
561 hwaddr addr,
562 hwaddr *xlat,
d5e5fafd
PX
563 hwaddr *plen_out,
564 hwaddr *page_mask_out,
16620684
AK
565 bool is_write,
566 bool is_mmio,
49e14aa8
PM
567 AddressSpace **target_as,
568 MemTxAttrs attrs)
052c8fa9 569{
052c8fa9 570 MemoryRegionSection *section;
3df9d748 571 IOMMUMemoryRegion *iommu_mr;
d5e5fafd
PX
572 hwaddr plen = (hwaddr)(-1);
573
ad2804d9
PB
574 if (!plen_out) {
575 plen_out = &plen;
d5e5fafd 576 }
052c8fa9 577
a411c84b
PB
578 section = address_space_translate_internal(
579 flatview_to_dispatch(fv), addr, xlat,
580 plen_out, is_mmio);
052c8fa9 581
a411c84b
PB
582 iommu_mr = memory_region_get_iommu(section->mr);
583 if (unlikely(iommu_mr)) {
584 return address_space_translate_iommu(iommu_mr, xlat,
585 plen_out, page_mask_out,
586 is_write, is_mmio,
2f7b009c 587 target_as, attrs);
052c8fa9 588 }
d5e5fafd 589 if (page_mask_out) {
a411c84b
PB
590 /* Not behind an IOMMU, use default page size. */
591 *page_mask_out = ~TARGET_PAGE_MASK;
d5e5fafd
PX
592 }
593
a764040c 594 return *section;
052c8fa9
JW
595}
596
597/* Called from RCU critical section */
a764040c 598IOMMUTLBEntry address_space_get_iotlb_entry(AddressSpace *as, hwaddr addr,
7446eb07 599 bool is_write, MemTxAttrs attrs)
90260c6c 600{
a764040c 601 MemoryRegionSection section;
076a93d7 602 hwaddr xlat, page_mask;
30951157 603
076a93d7
PX
604 /*
605 * This can never be MMIO, and we don't really care about plen,
606 * but page mask.
607 */
608 section = flatview_do_translate(address_space_to_flatview(as), addr, &xlat,
49e14aa8
PM
609 NULL, &page_mask, is_write, false, &as,
610 attrs);
30951157 611
a764040c
PX
612 /* Illegal translation */
613 if (section.mr == &io_mem_unassigned) {
614 goto iotlb_fail;
615 }
30951157 616
a764040c
PX
617 /* Convert memory region offset into address space offset */
618 xlat += section.offset_within_address_space -
619 section.offset_within_region;
620
a764040c 621 return (IOMMUTLBEntry) {
e76bb18f 622 .target_as = as,
076a93d7
PX
623 .iova = addr & ~page_mask,
624 .translated_addr = xlat & ~page_mask,
625 .addr_mask = page_mask,
a764040c
PX
626 /* IOTLBs are for DMAs, and DMA only allows on RAMs. */
627 .perm = IOMMU_RW,
628 };
629
630iotlb_fail:
631 return (IOMMUTLBEntry) {0};
632}
633
634/* Called from RCU critical section */
16620684 635MemoryRegion *flatview_translate(FlatView *fv, hwaddr addr, hwaddr *xlat,
efa99a2f
PM
636 hwaddr *plen, bool is_write,
637 MemTxAttrs attrs)
a764040c
PX
638{
639 MemoryRegion *mr;
640 MemoryRegionSection section;
16620684 641 AddressSpace *as = NULL;
a764040c
PX
642
643 /* This can be MMIO, so setup MMIO bit. */
d5e5fafd 644 section = flatview_do_translate(fv, addr, xlat, plen, NULL,
49e14aa8 645 is_write, true, &as, attrs);
a764040c
PX
646 mr = section.mr;
647
fe680d0d 648 if (xen_enabled() && memory_access_is_direct(mr, is_write)) {
a87f3954 649 hwaddr page = ((addr & TARGET_PAGE_MASK) + TARGET_PAGE_SIZE) - addr;
23820dbf 650 *plen = MIN(page, *plen);
a87f3954
PB
651 }
652
30951157 653 return mr;
90260c6c
JK
654}
655
1f871c5e
PM
656typedef struct TCGIOMMUNotifier {
657 IOMMUNotifier n;
658 MemoryRegion *mr;
659 CPUState *cpu;
660 int iommu_idx;
661 bool active;
662} TCGIOMMUNotifier;
663
664static void tcg_iommu_unmap_notify(IOMMUNotifier *n, IOMMUTLBEntry *iotlb)
665{
666 TCGIOMMUNotifier *notifier = container_of(n, TCGIOMMUNotifier, n);
667
668 if (!notifier->active) {
669 return;
670 }
671 tlb_flush(notifier->cpu);
672 notifier->active = false;
673 /* We leave the notifier struct on the list to avoid reallocating it later.
674 * Generally the number of IOMMUs a CPU deals with will be small.
675 * In any case we can't unregister the iommu notifier from a notify
676 * callback.
677 */
678}
679
680static void tcg_register_iommu_notifier(CPUState *cpu,
681 IOMMUMemoryRegion *iommu_mr,
682 int iommu_idx)
683{
684 /* Make sure this CPU has an IOMMU notifier registered for this
685 * IOMMU/IOMMU index combination, so that we can flush its TLB
686 * when the IOMMU tells us the mappings we've cached have changed.
687 */
688 MemoryRegion *mr = MEMORY_REGION(iommu_mr);
689 TCGIOMMUNotifier *notifier;
690 int i;
691
692 for (i = 0; i < cpu->iommu_notifiers->len; i++) {
693 notifier = &g_array_index(cpu->iommu_notifiers, TCGIOMMUNotifier, i);
694 if (notifier->mr == mr && notifier->iommu_idx == iommu_idx) {
695 break;
696 }
697 }
698 if (i == cpu->iommu_notifiers->len) {
699 /* Not found, add a new entry at the end of the array */
700 cpu->iommu_notifiers = g_array_set_size(cpu->iommu_notifiers, i + 1);
701 notifier = &g_array_index(cpu->iommu_notifiers, TCGIOMMUNotifier, i);
702
703 notifier->mr = mr;
704 notifier->iommu_idx = iommu_idx;
705 notifier->cpu = cpu;
706 /* Rather than trying to register interest in the specific part
707 * of the iommu's address space that we've accessed and then
708 * expand it later as subsequent accesses touch more of it, we
709 * just register interest in the whole thing, on the assumption
710 * that iommu reconfiguration will be rare.
711 */
712 iommu_notifier_init(&notifier->n,
713 tcg_iommu_unmap_notify,
714 IOMMU_NOTIFIER_UNMAP,
715 0,
716 HWADDR_MAX,
717 iommu_idx);
718 memory_region_register_iommu_notifier(notifier->mr, &notifier->n);
719 }
720
721 if (!notifier->active) {
722 notifier->active = true;
723 }
724}
725
726static void tcg_iommu_free_notifier_list(CPUState *cpu)
727{
728 /* Destroy the CPU's notifier list */
729 int i;
730 TCGIOMMUNotifier *notifier;
731
732 for (i = 0; i < cpu->iommu_notifiers->len; i++) {
733 notifier = &g_array_index(cpu->iommu_notifiers, TCGIOMMUNotifier, i);
734 memory_region_unregister_iommu_notifier(notifier->mr, &notifier->n);
735 }
736 g_array_free(cpu->iommu_notifiers, true);
737}
738
79e2b9ae 739/* Called from RCU critical section */
90260c6c 740MemoryRegionSection *
d7898cda 741address_space_translate_for_iotlb(CPUState *cpu, int asidx, hwaddr addr,
1f871c5e
PM
742 hwaddr *xlat, hwaddr *plen,
743 MemTxAttrs attrs, int *prot)
90260c6c 744{
30951157 745 MemoryRegionSection *section;
1f871c5e
PM
746 IOMMUMemoryRegion *iommu_mr;
747 IOMMUMemoryRegionClass *imrc;
748 IOMMUTLBEntry iotlb;
749 int iommu_idx;
f35e44e7 750 AddressSpaceDispatch *d = atomic_rcu_read(&cpu->cpu_ases[asidx].memory_dispatch);
d7898cda 751
1f871c5e
PM
752 for (;;) {
753 section = address_space_translate_internal(d, addr, &addr, plen, false);
754
755 iommu_mr = memory_region_get_iommu(section->mr);
756 if (!iommu_mr) {
757 break;
758 }
759
760 imrc = memory_region_get_iommu_class_nocheck(iommu_mr);
761
762 iommu_idx = imrc->attrs_to_index(iommu_mr, attrs);
763 tcg_register_iommu_notifier(cpu, iommu_mr, iommu_idx);
764 /* We need all the permissions, so pass IOMMU_NONE so the IOMMU
765 * doesn't short-cut its translation table walk.
766 */
767 iotlb = imrc->translate(iommu_mr, addr, IOMMU_NONE, iommu_idx);
768 addr = ((iotlb.translated_addr & ~iotlb.addr_mask)
769 | (addr & iotlb.addr_mask));
770 /* Update the caller's prot bits to remove permissions the IOMMU
771 * is giving us a failure response for. If we get down to no
772 * permissions left at all we can give up now.
773 */
774 if (!(iotlb.perm & IOMMU_RO)) {
775 *prot &= ~(PAGE_READ | PAGE_EXEC);
776 }
777 if (!(iotlb.perm & IOMMU_WO)) {
778 *prot &= ~PAGE_WRITE;
779 }
780
781 if (!*prot) {
782 goto translate_fail;
783 }
784
785 d = flatview_to_dispatch(address_space_to_flatview(iotlb.target_as));
786 }
30951157 787
3df9d748 788 assert(!memory_region_is_iommu(section->mr));
1f871c5e 789 *xlat = addr;
30951157 790 return section;
1f871c5e
PM
791
792translate_fail:
793 return &d->map.sections[PHYS_SECTION_UNASSIGNED];
90260c6c 794}
5b6dd868 795#endif
fd6ce8f6 796
b170fce3 797#if !defined(CONFIG_USER_ONLY)
5b6dd868
BS
798
799static int cpu_common_post_load(void *opaque, int version_id)
fd6ce8f6 800{
259186a7 801 CPUState *cpu = opaque;
a513fe19 802
5b6dd868
BS
803 /* 0x01 was CPU_INTERRUPT_EXIT. This line can be removed when the
804 version_id is increased. */
259186a7 805 cpu->interrupt_request &= ~0x01;
d10eb08f 806 tlb_flush(cpu);
5b6dd868 807
15a356c4
PD
808 /* loadvm has just updated the content of RAM, bypassing the
809 * usual mechanisms that ensure we flush TBs for writes to
810 * memory we've translated code from. So we must flush all TBs,
811 * which will now be stale.
812 */
813 tb_flush(cpu);
814
5b6dd868 815 return 0;
a513fe19 816}
7501267e 817
6c3bff0e
PD
818static int cpu_common_pre_load(void *opaque)
819{
820 CPUState *cpu = opaque;
821
adee6424 822 cpu->exception_index = -1;
6c3bff0e
PD
823
824 return 0;
825}
826
827static bool cpu_common_exception_index_needed(void *opaque)
828{
829 CPUState *cpu = opaque;
830
adee6424 831 return tcg_enabled() && cpu->exception_index != -1;
6c3bff0e
PD
832}
833
834static const VMStateDescription vmstate_cpu_common_exception_index = {
835 .name = "cpu_common/exception_index",
836 .version_id = 1,
837 .minimum_version_id = 1,
5cd8cada 838 .needed = cpu_common_exception_index_needed,
6c3bff0e
PD
839 .fields = (VMStateField[]) {
840 VMSTATE_INT32(exception_index, CPUState),
841 VMSTATE_END_OF_LIST()
842 }
843};
844
bac05aa9
AS
845static bool cpu_common_crash_occurred_needed(void *opaque)
846{
847 CPUState *cpu = opaque;
848
849 return cpu->crash_occurred;
850}
851
852static const VMStateDescription vmstate_cpu_common_crash_occurred = {
853 .name = "cpu_common/crash_occurred",
854 .version_id = 1,
855 .minimum_version_id = 1,
856 .needed = cpu_common_crash_occurred_needed,
857 .fields = (VMStateField[]) {
858 VMSTATE_BOOL(crash_occurred, CPUState),
859 VMSTATE_END_OF_LIST()
860 }
861};
862
1a1562f5 863const VMStateDescription vmstate_cpu_common = {
5b6dd868
BS
864 .name = "cpu_common",
865 .version_id = 1,
866 .minimum_version_id = 1,
6c3bff0e 867 .pre_load = cpu_common_pre_load,
5b6dd868 868 .post_load = cpu_common_post_load,
35d08458 869 .fields = (VMStateField[]) {
259186a7
AF
870 VMSTATE_UINT32(halted, CPUState),
871 VMSTATE_UINT32(interrupt_request, CPUState),
5b6dd868 872 VMSTATE_END_OF_LIST()
6c3bff0e 873 },
5cd8cada
JQ
874 .subsections = (const VMStateDescription*[]) {
875 &vmstate_cpu_common_exception_index,
bac05aa9 876 &vmstate_cpu_common_crash_occurred,
5cd8cada 877 NULL
5b6dd868
BS
878 }
879};
1a1562f5 880
5b6dd868 881#endif
ea041c0e 882
38d8f5c8 883CPUState *qemu_get_cpu(int index)
ea041c0e 884{
bdc44640 885 CPUState *cpu;
ea041c0e 886
bdc44640 887 CPU_FOREACH(cpu) {
55e5c285 888 if (cpu->cpu_index == index) {
bdc44640 889 return cpu;
55e5c285 890 }
ea041c0e 891 }
5b6dd868 892
bdc44640 893 return NULL;
ea041c0e
FB
894}
895
09daed84 896#if !defined(CONFIG_USER_ONLY)
80ceb07a
PX
897void cpu_address_space_init(CPUState *cpu, int asidx,
898 const char *prefix, MemoryRegion *mr)
09daed84 899{
12ebc9a7 900 CPUAddressSpace *newas;
80ceb07a 901 AddressSpace *as = g_new0(AddressSpace, 1);
87a621d8 902 char *as_name;
80ceb07a
PX
903
904 assert(mr);
87a621d8
PX
905 as_name = g_strdup_printf("%s-%d", prefix, cpu->cpu_index);
906 address_space_init(as, mr, as_name);
907 g_free(as_name);
12ebc9a7
PM
908
909 /* Target code should have set num_ases before calling us */
910 assert(asidx < cpu->num_ases);
911
56943e8c
PM
912 if (asidx == 0) {
913 /* address space 0 gets the convenience alias */
914 cpu->as = as;
915 }
916
12ebc9a7
PM
917 /* KVM cannot currently support multiple address spaces. */
918 assert(asidx == 0 || !kvm_enabled());
09daed84 919
12ebc9a7
PM
920 if (!cpu->cpu_ases) {
921 cpu->cpu_ases = g_new0(CPUAddressSpace, cpu->num_ases);
09daed84 922 }
32857f4d 923
12ebc9a7
PM
924 newas = &cpu->cpu_ases[asidx];
925 newas->cpu = cpu;
926 newas->as = as;
56943e8c 927 if (tcg_enabled()) {
12ebc9a7
PM
928 newas->tcg_as_listener.commit = tcg_commit;
929 memory_listener_register(&newas->tcg_as_listener, as);
56943e8c 930 }
09daed84 931}
651a5bc0
PM
932
933AddressSpace *cpu_get_address_space(CPUState *cpu, int asidx)
934{
935 /* Return the AddressSpace corresponding to the specified index */
936 return cpu->cpu_ases[asidx].as;
937}
09daed84
EI
938#endif
939
7bbc124e 940void cpu_exec_unrealizefn(CPUState *cpu)
1c59eb39 941{
9dfeca7c
BR
942 CPUClass *cc = CPU_GET_CLASS(cpu);
943
267f685b 944 cpu_list_remove(cpu);
9dfeca7c
BR
945
946 if (cc->vmsd != NULL) {
947 vmstate_unregister(NULL, cc->vmsd, cpu);
948 }
949 if (qdev_get_vmsd(DEVICE(cpu)) == NULL) {
950 vmstate_unregister(NULL, &vmstate_cpu_common, cpu);
951 }
1f871c5e
PM
952#ifndef CONFIG_USER_ONLY
953 tcg_iommu_free_notifier_list(cpu);
954#endif
1c59eb39
BR
955}
956
c7e002c5
FZ
957Property cpu_common_props[] = {
958#ifndef CONFIG_USER_ONLY
959 /* Create a memory property for softmmu CPU object,
960 * so users can wire up its memory. (This can't go in qom/cpu.c
961 * because that file is compiled only once for both user-mode
962 * and system builds.) The default if no link is set up is to use
963 * the system address space.
964 */
965 DEFINE_PROP_LINK("memory", CPUState, memory, TYPE_MEMORY_REGION,
966 MemoryRegion *),
967#endif
968 DEFINE_PROP_END_OF_LIST(),
969};
970
39e329e3 971void cpu_exec_initfn(CPUState *cpu)
ea041c0e 972{
56943e8c 973 cpu->as = NULL;
12ebc9a7 974 cpu->num_ases = 0;
56943e8c 975
291135b5 976#ifndef CONFIG_USER_ONLY
291135b5 977 cpu->thread_id = qemu_get_thread_id();
6731d864
PC
978 cpu->memory = system_memory;
979 object_ref(OBJECT(cpu->memory));
291135b5 980#endif
39e329e3
LV
981}
982
ce5b1bbf 983void cpu_exec_realizefn(CPUState *cpu, Error **errp)
39e329e3 984{
55c3ceef 985 CPUClass *cc = CPU_GET_CLASS(cpu);
2dda6354 986 static bool tcg_target_initialized;
291135b5 987
267f685b 988 cpu_list_add(cpu);
1bc7e522 989
2dda6354
EC
990 if (tcg_enabled() && !tcg_target_initialized) {
991 tcg_target_initialized = true;
55c3ceef
RH
992 cc->tcg_initialize();
993 }
994
1bc7e522 995#ifndef CONFIG_USER_ONLY
e0d47944 996 if (qdev_get_vmsd(DEVICE(cpu)) == NULL) {
741da0d3 997 vmstate_register(NULL, cpu->cpu_index, &vmstate_cpu_common, cpu);
e0d47944 998 }
b170fce3 999 if (cc->vmsd != NULL) {
741da0d3 1000 vmstate_register(NULL, cpu->cpu_index, cc->vmsd, cpu);
b170fce3 1001 }
1f871c5e
PM
1002
1003 cpu->iommu_notifiers = g_array_new(false, true, sizeof(TCGIOMMUNotifier));
741da0d3 1004#endif
ea041c0e
FB
1005}
1006
2278b939
IM
1007const char *parse_cpu_model(const char *cpu_model)
1008{
1009 ObjectClass *oc;
1010 CPUClass *cc;
1011 gchar **model_pieces;
1012 const char *cpu_type;
1013
1014 model_pieces = g_strsplit(cpu_model, ",", 2);
1015
1016 oc = cpu_class_by_name(CPU_RESOLVING_TYPE, model_pieces[0]);
1017 if (oc == NULL) {
1018 error_report("unable to find CPU model '%s'", model_pieces[0]);
1019 g_strfreev(model_pieces);
1020 exit(EXIT_FAILURE);
1021 }
1022
1023 cpu_type = object_class_get_name(oc);
1024 cc = CPU_CLASS(oc);
1025 cc->parse_features(cpu_type, model_pieces[1], &error_fatal);
1026 g_strfreev(model_pieces);
1027 return cpu_type;
1028}
1029
406bc339 1030#if defined(CONFIG_USER_ONLY)
8bca9a03 1031void tb_invalidate_phys_addr(target_ulong addr)
1e7855a5 1032{
406bc339 1033 mmap_lock();
8bca9a03 1034 tb_invalidate_phys_page_range(addr, addr + 1, 0);
406bc339
PK
1035 mmap_unlock();
1036}
8bca9a03
PB
1037
1038static void breakpoint_invalidate(CPUState *cpu, target_ulong pc)
1039{
1040 tb_invalidate_phys_addr(pc);
1041}
406bc339 1042#else
8bca9a03
PB
1043void tb_invalidate_phys_addr(AddressSpace *as, hwaddr addr, MemTxAttrs attrs)
1044{
1045 ram_addr_t ram_addr;
1046 MemoryRegion *mr;
1047 hwaddr l = 1;
1048
1049 rcu_read_lock();
1050 mr = address_space_translate(as, addr, &addr, &l, false, attrs);
1051 if (!(memory_region_is_ram(mr)
1052 || memory_region_is_romd(mr))) {
1053 rcu_read_unlock();
1054 return;
1055 }
1056 ram_addr = memory_region_get_ram_addr(mr) + addr;
1057 tb_invalidate_phys_page_range(ram_addr, ram_addr + 1, 0);
1058 rcu_read_unlock();
1059}
1060
406bc339
PK
1061static void breakpoint_invalidate(CPUState *cpu, target_ulong pc)
1062{
1063 MemTxAttrs attrs;
1064 hwaddr phys = cpu_get_phys_page_attrs_debug(cpu, pc, &attrs);
1065 int asidx = cpu_asidx_from_attrs(cpu, attrs);
1066 if (phys != -1) {
1067 /* Locks grabbed by tb_invalidate_phys_addr */
1068 tb_invalidate_phys_addr(cpu->cpu_ases[asidx].as,
c874dc4f 1069 phys | (pc & ~TARGET_PAGE_MASK), attrs);
406bc339 1070 }
1e7855a5 1071}
406bc339 1072#endif
d720b93d 1073
c527ee8f 1074#if defined(CONFIG_USER_ONLY)
75a34036 1075void cpu_watchpoint_remove_all(CPUState *cpu, int mask)
c527ee8f
PB
1076
1077{
1078}
1079
3ee887e8
PM
1080int cpu_watchpoint_remove(CPUState *cpu, vaddr addr, vaddr len,
1081 int flags)
1082{
1083 return -ENOSYS;
1084}
1085
1086void cpu_watchpoint_remove_by_ref(CPUState *cpu, CPUWatchpoint *watchpoint)
1087{
1088}
1089
75a34036 1090int cpu_watchpoint_insert(CPUState *cpu, vaddr addr, vaddr len,
c527ee8f
PB
1091 int flags, CPUWatchpoint **watchpoint)
1092{
1093 return -ENOSYS;
1094}
1095#else
6658ffb8 1096/* Add a watchpoint. */
75a34036 1097int cpu_watchpoint_insert(CPUState *cpu, vaddr addr, vaddr len,
a1d1bb31 1098 int flags, CPUWatchpoint **watchpoint)
6658ffb8 1099{
c0ce998e 1100 CPUWatchpoint *wp;
6658ffb8 1101
05068c0d 1102 /* forbid ranges which are empty or run off the end of the address space */
07e2863d 1103 if (len == 0 || (addr + len - 1) < addr) {
75a34036
AF
1104 error_report("tried to set invalid watchpoint at %"
1105 VADDR_PRIx ", len=%" VADDR_PRIu, addr, len);
b4051334
AL
1106 return -EINVAL;
1107 }
7267c094 1108 wp = g_malloc(sizeof(*wp));
a1d1bb31
AL
1109
1110 wp->vaddr = addr;
05068c0d 1111 wp->len = len;
a1d1bb31
AL
1112 wp->flags = flags;
1113
2dc9f411 1114 /* keep all GDB-injected watchpoints in front */
ff4700b0
AF
1115 if (flags & BP_GDB) {
1116 QTAILQ_INSERT_HEAD(&cpu->watchpoints, wp, entry);
1117 } else {
1118 QTAILQ_INSERT_TAIL(&cpu->watchpoints, wp, entry);
1119 }
6658ffb8 1120
31b030d4 1121 tlb_flush_page(cpu, addr);
a1d1bb31
AL
1122
1123 if (watchpoint)
1124 *watchpoint = wp;
1125 return 0;
6658ffb8
PB
1126}
1127
a1d1bb31 1128/* Remove a specific watchpoint. */
75a34036 1129int cpu_watchpoint_remove(CPUState *cpu, vaddr addr, vaddr len,
a1d1bb31 1130 int flags)
6658ffb8 1131{
a1d1bb31 1132 CPUWatchpoint *wp;
6658ffb8 1133
ff4700b0 1134 QTAILQ_FOREACH(wp, &cpu->watchpoints, entry) {
05068c0d 1135 if (addr == wp->vaddr && len == wp->len
6e140f28 1136 && flags == (wp->flags & ~BP_WATCHPOINT_HIT)) {
75a34036 1137 cpu_watchpoint_remove_by_ref(cpu, wp);
6658ffb8
PB
1138 return 0;
1139 }
1140 }
a1d1bb31 1141 return -ENOENT;
6658ffb8
PB
1142}
1143
a1d1bb31 1144/* Remove a specific watchpoint by reference. */
75a34036 1145void cpu_watchpoint_remove_by_ref(CPUState *cpu, CPUWatchpoint *watchpoint)
a1d1bb31 1146{
ff4700b0 1147 QTAILQ_REMOVE(&cpu->watchpoints, watchpoint, entry);
7d03f82f 1148
31b030d4 1149 tlb_flush_page(cpu, watchpoint->vaddr);
a1d1bb31 1150
7267c094 1151 g_free(watchpoint);
a1d1bb31
AL
1152}
1153
1154/* Remove all matching watchpoints. */
75a34036 1155void cpu_watchpoint_remove_all(CPUState *cpu, int mask)
a1d1bb31 1156{
c0ce998e 1157 CPUWatchpoint *wp, *next;
a1d1bb31 1158
ff4700b0 1159 QTAILQ_FOREACH_SAFE(wp, &cpu->watchpoints, entry, next) {
75a34036
AF
1160 if (wp->flags & mask) {
1161 cpu_watchpoint_remove_by_ref(cpu, wp);
1162 }
c0ce998e 1163 }
7d03f82f 1164}
05068c0d
PM
1165
1166/* Return true if this watchpoint address matches the specified
1167 * access (ie the address range covered by the watchpoint overlaps
1168 * partially or completely with the address range covered by the
1169 * access).
1170 */
1171static inline bool cpu_watchpoint_address_matches(CPUWatchpoint *wp,
1172 vaddr addr,
1173 vaddr len)
1174{
1175 /* We know the lengths are non-zero, but a little caution is
1176 * required to avoid errors in the case where the range ends
1177 * exactly at the top of the address space and so addr + len
1178 * wraps round to zero.
1179 */
1180 vaddr wpend = wp->vaddr + wp->len - 1;
1181 vaddr addrend = addr + len - 1;
1182
1183 return !(addr > wpend || wp->vaddr > addrend);
1184}
1185
c527ee8f 1186#endif
7d03f82f 1187
a1d1bb31 1188/* Add a breakpoint. */
b3310ab3 1189int cpu_breakpoint_insert(CPUState *cpu, vaddr pc, int flags,
a1d1bb31 1190 CPUBreakpoint **breakpoint)
4c3a88a2 1191{
c0ce998e 1192 CPUBreakpoint *bp;
3b46e624 1193
7267c094 1194 bp = g_malloc(sizeof(*bp));
4c3a88a2 1195
a1d1bb31
AL
1196 bp->pc = pc;
1197 bp->flags = flags;
1198
2dc9f411 1199 /* keep all GDB-injected breakpoints in front */
00b941e5 1200 if (flags & BP_GDB) {
f0c3c505 1201 QTAILQ_INSERT_HEAD(&cpu->breakpoints, bp, entry);
00b941e5 1202 } else {
f0c3c505 1203 QTAILQ_INSERT_TAIL(&cpu->breakpoints, bp, entry);
00b941e5 1204 }
3b46e624 1205
f0c3c505 1206 breakpoint_invalidate(cpu, pc);
a1d1bb31 1207
00b941e5 1208 if (breakpoint) {
a1d1bb31 1209 *breakpoint = bp;
00b941e5 1210 }
4c3a88a2 1211 return 0;
4c3a88a2
FB
1212}
1213
a1d1bb31 1214/* Remove a specific breakpoint. */
b3310ab3 1215int cpu_breakpoint_remove(CPUState *cpu, vaddr pc, int flags)
a1d1bb31 1216{
a1d1bb31
AL
1217 CPUBreakpoint *bp;
1218
f0c3c505 1219 QTAILQ_FOREACH(bp, &cpu->breakpoints, entry) {
a1d1bb31 1220 if (bp->pc == pc && bp->flags == flags) {
b3310ab3 1221 cpu_breakpoint_remove_by_ref(cpu, bp);
a1d1bb31
AL
1222 return 0;
1223 }
7d03f82f 1224 }
a1d1bb31 1225 return -ENOENT;
7d03f82f
EI
1226}
1227
a1d1bb31 1228/* Remove a specific breakpoint by reference. */
b3310ab3 1229void cpu_breakpoint_remove_by_ref(CPUState *cpu, CPUBreakpoint *breakpoint)
4c3a88a2 1230{
f0c3c505
AF
1231 QTAILQ_REMOVE(&cpu->breakpoints, breakpoint, entry);
1232
1233 breakpoint_invalidate(cpu, breakpoint->pc);
a1d1bb31 1234
7267c094 1235 g_free(breakpoint);
a1d1bb31
AL
1236}
1237
1238/* Remove all matching breakpoints. */
b3310ab3 1239void cpu_breakpoint_remove_all(CPUState *cpu, int mask)
a1d1bb31 1240{
c0ce998e 1241 CPUBreakpoint *bp, *next;
a1d1bb31 1242
f0c3c505 1243 QTAILQ_FOREACH_SAFE(bp, &cpu->breakpoints, entry, next) {
b3310ab3
AF
1244 if (bp->flags & mask) {
1245 cpu_breakpoint_remove_by_ref(cpu, bp);
1246 }
c0ce998e 1247 }
4c3a88a2
FB
1248}
1249
c33a346e
FB
1250/* enable or disable single step mode. EXCP_DEBUG is returned by the
1251 CPU loop after each instruction */
3825b28f 1252void cpu_single_step(CPUState *cpu, int enabled)
c33a346e 1253{
ed2803da
AF
1254 if (cpu->singlestep_enabled != enabled) {
1255 cpu->singlestep_enabled = enabled;
1256 if (kvm_enabled()) {
38e478ec 1257 kvm_update_guest_debug(cpu, 0);
ed2803da 1258 } else {
ccbb4d44 1259 /* must flush all the translated code to avoid inconsistencies */
e22a25c9 1260 /* XXX: only flush what is necessary */
bbd77c18 1261 tb_flush(cpu);
e22a25c9 1262 }
c33a346e 1263 }
c33a346e
FB
1264}
1265
a47dddd7 1266void cpu_abort(CPUState *cpu, const char *fmt, ...)
7501267e
FB
1267{
1268 va_list ap;
493ae1f0 1269 va_list ap2;
7501267e
FB
1270
1271 va_start(ap, fmt);
493ae1f0 1272 va_copy(ap2, ap);
7501267e
FB
1273 fprintf(stderr, "qemu: fatal: ");
1274 vfprintf(stderr, fmt, ap);
1275 fprintf(stderr, "\n");
878096ee 1276 cpu_dump_state(cpu, stderr, fprintf, CPU_DUMP_FPU | CPU_DUMP_CCOP);
013a2942 1277 if (qemu_log_separate()) {
1ee73216 1278 qemu_log_lock();
93fcfe39
AL
1279 qemu_log("qemu: fatal: ");
1280 qemu_log_vprintf(fmt, ap2);
1281 qemu_log("\n");
a0762859 1282 log_cpu_state(cpu, CPU_DUMP_FPU | CPU_DUMP_CCOP);
31b1a7b4 1283 qemu_log_flush();
1ee73216 1284 qemu_log_unlock();
93fcfe39 1285 qemu_log_close();
924edcae 1286 }
493ae1f0 1287 va_end(ap2);
f9373291 1288 va_end(ap);
7615936e 1289 replay_finish();
fd052bf6
RV
1290#if defined(CONFIG_USER_ONLY)
1291 {
1292 struct sigaction act;
1293 sigfillset(&act.sa_mask);
1294 act.sa_handler = SIG_DFL;
8347c185 1295 act.sa_flags = 0;
fd052bf6
RV
1296 sigaction(SIGABRT, &act, NULL);
1297 }
1298#endif
7501267e
FB
1299 abort();
1300}
1301
0124311e 1302#if !defined(CONFIG_USER_ONLY)
0dc3f44a 1303/* Called from RCU critical section */
041603fe
PB
1304static RAMBlock *qemu_get_ram_block(ram_addr_t addr)
1305{
1306 RAMBlock *block;
1307
43771539 1308 block = atomic_rcu_read(&ram_list.mru_block);
9b8424d5 1309 if (block && addr - block->offset < block->max_length) {
68851b98 1310 return block;
041603fe 1311 }
99e15582 1312 RAMBLOCK_FOREACH(block) {
9b8424d5 1313 if (addr - block->offset < block->max_length) {
041603fe
PB
1314 goto found;
1315 }
1316 }
1317
1318 fprintf(stderr, "Bad ram offset %" PRIx64 "\n", (uint64_t)addr);
1319 abort();
1320
1321found:
43771539
PB
1322 /* It is safe to write mru_block outside the iothread lock. This
1323 * is what happens:
1324 *
1325 * mru_block = xxx
1326 * rcu_read_unlock()
1327 * xxx removed from list
1328 * rcu_read_lock()
1329 * read mru_block
1330 * mru_block = NULL;
1331 * call_rcu(reclaim_ramblock, xxx);
1332 * rcu_read_unlock()
1333 *
1334 * atomic_rcu_set is not needed here. The block was already published
1335 * when it was placed into the list. Here we're just making an extra
1336 * copy of the pointer.
1337 */
041603fe
PB
1338 ram_list.mru_block = block;
1339 return block;
1340}
1341
a2f4d5be 1342static void tlb_reset_dirty_range_all(ram_addr_t start, ram_addr_t length)
d24981d3 1343{
9a13565d 1344 CPUState *cpu;
041603fe 1345 ram_addr_t start1;
a2f4d5be
JQ
1346 RAMBlock *block;
1347 ram_addr_t end;
1348
f28d0dfd 1349 assert(tcg_enabled());
a2f4d5be
JQ
1350 end = TARGET_PAGE_ALIGN(start + length);
1351 start &= TARGET_PAGE_MASK;
d24981d3 1352
0dc3f44a 1353 rcu_read_lock();
041603fe
PB
1354 block = qemu_get_ram_block(start);
1355 assert(block == qemu_get_ram_block(end - 1));
1240be24 1356 start1 = (uintptr_t)ramblock_ptr(block, start - block->offset);
9a13565d
PC
1357 CPU_FOREACH(cpu) {
1358 tlb_reset_dirty(cpu, start1, length);
1359 }
0dc3f44a 1360 rcu_read_unlock();
d24981d3
JQ
1361}
1362
5579c7f3 1363/* Note: start and end must be within the same ram block. */
03eebc9e
SH
1364bool cpu_physical_memory_test_and_clear_dirty(ram_addr_t start,
1365 ram_addr_t length,
1366 unsigned client)
1ccde1cb 1367{
5b82b703 1368 DirtyMemoryBlocks *blocks;
03eebc9e 1369 unsigned long end, page;
5b82b703 1370 bool dirty = false;
03eebc9e
SH
1371
1372 if (length == 0) {
1373 return false;
1374 }
f23db169 1375
03eebc9e
SH
1376 end = TARGET_PAGE_ALIGN(start + length) >> TARGET_PAGE_BITS;
1377 page = start >> TARGET_PAGE_BITS;
5b82b703
SH
1378
1379 rcu_read_lock();
1380
1381 blocks = atomic_rcu_read(&ram_list.dirty_memory[client]);
1382
1383 while (page < end) {
1384 unsigned long idx = page / DIRTY_MEMORY_BLOCK_SIZE;
1385 unsigned long offset = page % DIRTY_MEMORY_BLOCK_SIZE;
1386 unsigned long num = MIN(end - page, DIRTY_MEMORY_BLOCK_SIZE - offset);
1387
1388 dirty |= bitmap_test_and_clear_atomic(blocks->blocks[idx],
1389 offset, num);
1390 page += num;
1391 }
1392
1393 rcu_read_unlock();
03eebc9e
SH
1394
1395 if (dirty && tcg_enabled()) {
a2f4d5be 1396 tlb_reset_dirty_range_all(start, length);
5579c7f3 1397 }
03eebc9e
SH
1398
1399 return dirty;
1ccde1cb
FB
1400}
1401
8deaf12c
GH
1402DirtyBitmapSnapshot *cpu_physical_memory_snapshot_and_clear_dirty
1403 (ram_addr_t start, ram_addr_t length, unsigned client)
1404{
1405 DirtyMemoryBlocks *blocks;
1406 unsigned long align = 1UL << (TARGET_PAGE_BITS + BITS_PER_LEVEL);
1407 ram_addr_t first = QEMU_ALIGN_DOWN(start, align);
1408 ram_addr_t last = QEMU_ALIGN_UP(start + length, align);
1409 DirtyBitmapSnapshot *snap;
1410 unsigned long page, end, dest;
1411
1412 snap = g_malloc0(sizeof(*snap) +
1413 ((last - first) >> (TARGET_PAGE_BITS + 3)));
1414 snap->start = first;
1415 snap->end = last;
1416
1417 page = first >> TARGET_PAGE_BITS;
1418 end = last >> TARGET_PAGE_BITS;
1419 dest = 0;
1420
1421 rcu_read_lock();
1422
1423 blocks = atomic_rcu_read(&ram_list.dirty_memory[client]);
1424
1425 while (page < end) {
1426 unsigned long idx = page / DIRTY_MEMORY_BLOCK_SIZE;
1427 unsigned long offset = page % DIRTY_MEMORY_BLOCK_SIZE;
1428 unsigned long num = MIN(end - page, DIRTY_MEMORY_BLOCK_SIZE - offset);
1429
1430 assert(QEMU_IS_ALIGNED(offset, (1 << BITS_PER_LEVEL)));
1431 assert(QEMU_IS_ALIGNED(num, (1 << BITS_PER_LEVEL)));
1432 offset >>= BITS_PER_LEVEL;
1433
1434 bitmap_copy_and_clear_atomic(snap->dirty + dest,
1435 blocks->blocks[idx] + offset,
1436 num);
1437 page += num;
1438 dest += num >> BITS_PER_LEVEL;
1439 }
1440
1441 rcu_read_unlock();
1442
1443 if (tcg_enabled()) {
1444 tlb_reset_dirty_range_all(start, length);
1445 }
1446
1447 return snap;
1448}
1449
1450bool cpu_physical_memory_snapshot_get_dirty(DirtyBitmapSnapshot *snap,
1451 ram_addr_t start,
1452 ram_addr_t length)
1453{
1454 unsigned long page, end;
1455
1456 assert(start >= snap->start);
1457 assert(start + length <= snap->end);
1458
1459 end = TARGET_PAGE_ALIGN(start + length - snap->start) >> TARGET_PAGE_BITS;
1460 page = (start - snap->start) >> TARGET_PAGE_BITS;
1461
1462 while (page < end) {
1463 if (test_bit(page, snap->dirty)) {
1464 return true;
1465 }
1466 page++;
1467 }
1468 return false;
1469}
1470
79e2b9ae 1471/* Called from RCU critical section */
bb0e627a 1472hwaddr memory_region_section_get_iotlb(CPUState *cpu,
149f54b5
PB
1473 MemoryRegionSection *section,
1474 target_ulong vaddr,
1475 hwaddr paddr, hwaddr xlat,
1476 int prot,
1477 target_ulong *address)
e5548617 1478{
a8170e5e 1479 hwaddr iotlb;
e5548617
BS
1480 CPUWatchpoint *wp;
1481
cc5bea60 1482 if (memory_region_is_ram(section->mr)) {
e5548617 1483 /* Normal RAM. */
e4e69794 1484 iotlb = memory_region_get_ram_addr(section->mr) + xlat;
e5548617 1485 if (!section->readonly) {
b41aac4f 1486 iotlb |= PHYS_SECTION_NOTDIRTY;
e5548617 1487 } else {
b41aac4f 1488 iotlb |= PHYS_SECTION_ROM;
e5548617
BS
1489 }
1490 } else {
0b8e2c10
PM
1491 AddressSpaceDispatch *d;
1492
16620684 1493 d = flatview_to_dispatch(section->fv);
0b8e2c10 1494 iotlb = section - d->map.sections;
149f54b5 1495 iotlb += xlat;
e5548617
BS
1496 }
1497
1498 /* Make accesses to pages with watchpoints go via the
1499 watchpoint trap routines. */
ff4700b0 1500 QTAILQ_FOREACH(wp, &cpu->watchpoints, entry) {
05068c0d 1501 if (cpu_watchpoint_address_matches(wp, vaddr, TARGET_PAGE_SIZE)) {
e5548617
BS
1502 /* Avoid trapping reads of pages with a write breakpoint. */
1503 if ((prot & PAGE_WRITE) || (wp->flags & BP_MEM_READ)) {
b41aac4f 1504 iotlb = PHYS_SECTION_WATCH + paddr;
e5548617
BS
1505 *address |= TLB_MMIO;
1506 break;
1507 }
1508 }
1509 }
1510
1511 return iotlb;
1512}
9fa3e853
FB
1513#endif /* defined(CONFIG_USER_ONLY) */
1514
e2eef170 1515#if !defined(CONFIG_USER_ONLY)
8da3ff18 1516
c227f099 1517static int subpage_register (subpage_t *mmio, uint32_t start, uint32_t end,
5312bd8b 1518 uint16_t section);
16620684 1519static subpage_t *subpage_init(FlatView *fv, hwaddr base);
54688b1e 1520
06329cce 1521static void *(*phys_mem_alloc)(size_t size, uint64_t *align, bool shared) =
a2b257d6 1522 qemu_anon_ram_alloc;
91138037
MA
1523
1524/*
1525 * Set a custom physical guest memory alloator.
1526 * Accelerators with unusual needs may need this. Hopefully, we can
1527 * get rid of it eventually.
1528 */
06329cce 1529void phys_mem_set_alloc(void *(*alloc)(size_t, uint64_t *align, bool shared))
91138037
MA
1530{
1531 phys_mem_alloc = alloc;
1532}
1533
53cb28cb
MA
1534static uint16_t phys_section_add(PhysPageMap *map,
1535 MemoryRegionSection *section)
5312bd8b 1536{
68f3f65b
PB
1537 /* The physical section number is ORed with a page-aligned
1538 * pointer to produce the iotlb entries. Thus it should
1539 * never overflow into the page-aligned value.
1540 */
53cb28cb 1541 assert(map->sections_nb < TARGET_PAGE_SIZE);
68f3f65b 1542
53cb28cb
MA
1543 if (map->sections_nb == map->sections_nb_alloc) {
1544 map->sections_nb_alloc = MAX(map->sections_nb_alloc * 2, 16);
1545 map->sections = g_renew(MemoryRegionSection, map->sections,
1546 map->sections_nb_alloc);
5312bd8b 1547 }
53cb28cb 1548 map->sections[map->sections_nb] = *section;
dfde4e6e 1549 memory_region_ref(section->mr);
53cb28cb 1550 return map->sections_nb++;
5312bd8b
AK
1551}
1552
058bc4b5
PB
1553static void phys_section_destroy(MemoryRegion *mr)
1554{
55b4e80b
DS
1555 bool have_sub_page = mr->subpage;
1556
dfde4e6e
PB
1557 memory_region_unref(mr);
1558
55b4e80b 1559 if (have_sub_page) {
058bc4b5 1560 subpage_t *subpage = container_of(mr, subpage_t, iomem);
b4fefef9 1561 object_unref(OBJECT(&subpage->iomem));
058bc4b5
PB
1562 g_free(subpage);
1563 }
1564}
1565
6092666e 1566static void phys_sections_free(PhysPageMap *map)
5312bd8b 1567{
9affd6fc
PB
1568 while (map->sections_nb > 0) {
1569 MemoryRegionSection *section = &map->sections[--map->sections_nb];
058bc4b5
PB
1570 phys_section_destroy(section->mr);
1571 }
9affd6fc
PB
1572 g_free(map->sections);
1573 g_free(map->nodes);
5312bd8b
AK
1574}
1575
9950322a 1576static void register_subpage(FlatView *fv, MemoryRegionSection *section)
0f0cb164 1577{
9950322a 1578 AddressSpaceDispatch *d = flatview_to_dispatch(fv);
0f0cb164 1579 subpage_t *subpage;
a8170e5e 1580 hwaddr base = section->offset_within_address_space
0f0cb164 1581 & TARGET_PAGE_MASK;
003a0cf2 1582 MemoryRegionSection *existing = phys_page_find(d, base);
0f0cb164
AK
1583 MemoryRegionSection subsection = {
1584 .offset_within_address_space = base,
052e87b0 1585 .size = int128_make64(TARGET_PAGE_SIZE),
0f0cb164 1586 };
a8170e5e 1587 hwaddr start, end;
0f0cb164 1588
f3705d53 1589 assert(existing->mr->subpage || existing->mr == &io_mem_unassigned);
0f0cb164 1590
f3705d53 1591 if (!(existing->mr->subpage)) {
16620684
AK
1592 subpage = subpage_init(fv, base);
1593 subsection.fv = fv;
0f0cb164 1594 subsection.mr = &subpage->iomem;
ac1970fb 1595 phys_page_set(d, base >> TARGET_PAGE_BITS, 1,
53cb28cb 1596 phys_section_add(&d->map, &subsection));
0f0cb164 1597 } else {
f3705d53 1598 subpage = container_of(existing->mr, subpage_t, iomem);
0f0cb164
AK
1599 }
1600 start = section->offset_within_address_space & ~TARGET_PAGE_MASK;
052e87b0 1601 end = start + int128_get64(section->size) - 1;
53cb28cb
MA
1602 subpage_register(subpage, start, end,
1603 phys_section_add(&d->map, section));
0f0cb164
AK
1604}
1605
1606
9950322a 1607static void register_multipage(FlatView *fv,
052e87b0 1608 MemoryRegionSection *section)
33417e70 1609{
9950322a 1610 AddressSpaceDispatch *d = flatview_to_dispatch(fv);
a8170e5e 1611 hwaddr start_addr = section->offset_within_address_space;
53cb28cb 1612 uint16_t section_index = phys_section_add(&d->map, section);
052e87b0
PB
1613 uint64_t num_pages = int128_get64(int128_rshift(section->size,
1614 TARGET_PAGE_BITS));
dd81124b 1615
733d5ef5
PB
1616 assert(num_pages);
1617 phys_page_set(d, start_addr >> TARGET_PAGE_BITS, num_pages, section_index);
33417e70
FB
1618}
1619
8629d3fc 1620void flatview_add_to_dispatch(FlatView *fv, MemoryRegionSection *section)
0f0cb164 1621{
99b9cc06 1622 MemoryRegionSection now = *section, remain = *section;
052e87b0 1623 Int128 page_size = int128_make64(TARGET_PAGE_SIZE);
0f0cb164 1624
733d5ef5
PB
1625 if (now.offset_within_address_space & ~TARGET_PAGE_MASK) {
1626 uint64_t left = TARGET_PAGE_ALIGN(now.offset_within_address_space)
1627 - now.offset_within_address_space;
1628
052e87b0 1629 now.size = int128_min(int128_make64(left), now.size);
9950322a 1630 register_subpage(fv, &now);
733d5ef5 1631 } else {
052e87b0 1632 now.size = int128_zero();
733d5ef5 1633 }
052e87b0
PB
1634 while (int128_ne(remain.size, now.size)) {
1635 remain.size = int128_sub(remain.size, now.size);
1636 remain.offset_within_address_space += int128_get64(now.size);
1637 remain.offset_within_region += int128_get64(now.size);
69b67646 1638 now = remain;
052e87b0 1639 if (int128_lt(remain.size, page_size)) {
9950322a 1640 register_subpage(fv, &now);
88266249 1641 } else if (remain.offset_within_address_space & ~TARGET_PAGE_MASK) {
052e87b0 1642 now.size = page_size;
9950322a 1643 register_subpage(fv, &now);
69b67646 1644 } else {
052e87b0 1645 now.size = int128_and(now.size, int128_neg(page_size));
9950322a 1646 register_multipage(fv, &now);
69b67646 1647 }
0f0cb164
AK
1648 }
1649}
1650
62a2744c
SY
1651void qemu_flush_coalesced_mmio_buffer(void)
1652{
1653 if (kvm_enabled())
1654 kvm_flush_coalesced_mmio_buffer();
1655}
1656
b2a8658e
UD
1657void qemu_mutex_lock_ramlist(void)
1658{
1659 qemu_mutex_lock(&ram_list.mutex);
1660}
1661
1662void qemu_mutex_unlock_ramlist(void)
1663{
1664 qemu_mutex_unlock(&ram_list.mutex);
1665}
1666
be9b23c4
PX
1667void ram_block_dump(Monitor *mon)
1668{
1669 RAMBlock *block;
1670 char *psize;
1671
1672 rcu_read_lock();
1673 monitor_printf(mon, "%24s %8s %18s %18s %18s\n",
1674 "Block Name", "PSize", "Offset", "Used", "Total");
1675 RAMBLOCK_FOREACH(block) {
1676 psize = size_to_str(block->page_size);
1677 monitor_printf(mon, "%24s %8s 0x%016" PRIx64 " 0x%016" PRIx64
1678 " 0x%016" PRIx64 "\n", block->idstr, psize,
1679 (uint64_t)block->offset,
1680 (uint64_t)block->used_length,
1681 (uint64_t)block->max_length);
1682 g_free(psize);
1683 }
1684 rcu_read_unlock();
1685}
1686
9c607668
AK
1687#ifdef __linux__
1688/*
1689 * FIXME TOCTTOU: this iterates over memory backends' mem-path, which
1690 * may or may not name the same files / on the same filesystem now as
1691 * when we actually open and map them. Iterate over the file
1692 * descriptors instead, and use qemu_fd_getpagesize().
1693 */
1694static int find_max_supported_pagesize(Object *obj, void *opaque)
1695{
9c607668
AK
1696 long *hpsize_min = opaque;
1697
1698 if (object_dynamic_cast(obj, TYPE_MEMORY_BACKEND)) {
2b108085
DG
1699 long hpsize = host_memory_backend_pagesize(MEMORY_BACKEND(obj));
1700
0de6e2a3
DG
1701 if (hpsize < *hpsize_min) {
1702 *hpsize_min = hpsize;
9c607668
AK
1703 }
1704 }
1705
1706 return 0;
1707}
1708
1709long qemu_getrampagesize(void)
1710{
1711 long hpsize = LONG_MAX;
1712 long mainrampagesize;
1713 Object *memdev_root;
1714
0de6e2a3 1715 mainrampagesize = qemu_mempath_getpagesize(mem_path);
9c607668
AK
1716
1717 /* it's possible we have memory-backend objects with
1718 * hugepage-backed RAM. these may get mapped into system
1719 * address space via -numa parameters or memory hotplug
1720 * hooks. we want to take these into account, but we
1721 * also want to make sure these supported hugepage
1722 * sizes are applicable across the entire range of memory
1723 * we may boot from, so we take the min across all
1724 * backends, and assume normal pages in cases where a
1725 * backend isn't backed by hugepages.
1726 */
1727 memdev_root = object_resolve_path("/objects", NULL);
1728 if (memdev_root) {
1729 object_child_foreach(memdev_root, find_max_supported_pagesize, &hpsize);
1730 }
1731 if (hpsize == LONG_MAX) {
1732 /* No additional memory regions found ==> Report main RAM page size */
1733 return mainrampagesize;
1734 }
1735
1736 /* If NUMA is disabled or the NUMA nodes are not backed with a
1737 * memory-backend, then there is at least one node using "normal" RAM,
1738 * so if its page size is smaller we have got to report that size instead.
1739 */
1740 if (hpsize > mainrampagesize &&
1741 (nb_numa_nodes == 0 || numa_info[0].node_memdev == NULL)) {
1742 static bool warned;
1743 if (!warned) {
1744 error_report("Huge page support disabled (n/a for main memory).");
1745 warned = true;
1746 }
1747 return mainrampagesize;
1748 }
1749
1750 return hpsize;
1751}
1752#else
1753long qemu_getrampagesize(void)
1754{
1755 return getpagesize();
1756}
1757#endif
1758
e1e84ba0 1759#ifdef __linux__
d6af99c9
HZ
1760static int64_t get_file_size(int fd)
1761{
1762 int64_t size = lseek(fd, 0, SEEK_END);
1763 if (size < 0) {
1764 return -errno;
1765 }
1766 return size;
1767}
1768
8d37b030
MAL
1769static int file_ram_open(const char *path,
1770 const char *region_name,
1771 bool *created,
1772 Error **errp)
c902760f
MT
1773{
1774 char *filename;
8ca761f6
PF
1775 char *sanitized_name;
1776 char *c;
5c3ece79 1777 int fd = -1;
c902760f 1778
8d37b030 1779 *created = false;
fd97fd44
MA
1780 for (;;) {
1781 fd = open(path, O_RDWR);
1782 if (fd >= 0) {
1783 /* @path names an existing file, use it */
1784 break;
8d31d6b6 1785 }
fd97fd44
MA
1786 if (errno == ENOENT) {
1787 /* @path names a file that doesn't exist, create it */
1788 fd = open(path, O_RDWR | O_CREAT | O_EXCL, 0644);
1789 if (fd >= 0) {
8d37b030 1790 *created = true;
fd97fd44
MA
1791 break;
1792 }
1793 } else if (errno == EISDIR) {
1794 /* @path names a directory, create a file there */
1795 /* Make name safe to use with mkstemp by replacing '/' with '_'. */
8d37b030 1796 sanitized_name = g_strdup(region_name);
fd97fd44
MA
1797 for (c = sanitized_name; *c != '\0'; c++) {
1798 if (*c == '/') {
1799 *c = '_';
1800 }
1801 }
8ca761f6 1802
fd97fd44
MA
1803 filename = g_strdup_printf("%s/qemu_back_mem.%s.XXXXXX", path,
1804 sanitized_name);
1805 g_free(sanitized_name);
8d31d6b6 1806
fd97fd44
MA
1807 fd = mkstemp(filename);
1808 if (fd >= 0) {
1809 unlink(filename);
1810 g_free(filename);
1811 break;
1812 }
1813 g_free(filename);
8d31d6b6 1814 }
fd97fd44
MA
1815 if (errno != EEXIST && errno != EINTR) {
1816 error_setg_errno(errp, errno,
1817 "can't open backing store %s for guest RAM",
1818 path);
8d37b030 1819 return -1;
fd97fd44
MA
1820 }
1821 /*
1822 * Try again on EINTR and EEXIST. The latter happens when
1823 * something else creates the file between our two open().
1824 */
8d31d6b6 1825 }
c902760f 1826
8d37b030
MAL
1827 return fd;
1828}
1829
1830static void *file_ram_alloc(RAMBlock *block,
1831 ram_addr_t memory,
1832 int fd,
1833 bool truncate,
1834 Error **errp)
1835{
1836 void *area;
1837
863e9621 1838 block->page_size = qemu_fd_getpagesize(fd);
98376843
HZ
1839 if (block->mr->align % block->page_size) {
1840 error_setg(errp, "alignment 0x%" PRIx64
1841 " must be multiples of page size 0x%zx",
1842 block->mr->align, block->page_size);
1843 return NULL;
61362b71
DH
1844 } else if (block->mr->align && !is_power_of_2(block->mr->align)) {
1845 error_setg(errp, "alignment 0x%" PRIx64
1846 " must be a power of two", block->mr->align);
1847 return NULL;
98376843
HZ
1848 }
1849 block->mr->align = MAX(block->page_size, block->mr->align);
8360668e
HZ
1850#if defined(__s390x__)
1851 if (kvm_enabled()) {
1852 block->mr->align = MAX(block->mr->align, QEMU_VMALLOC_ALIGN);
1853 }
1854#endif
fd97fd44 1855
863e9621 1856 if (memory < block->page_size) {
fd97fd44 1857 error_setg(errp, "memory size 0x" RAM_ADDR_FMT " must be equal to "
863e9621
DDAG
1858 "or larger than page size 0x%zx",
1859 memory, block->page_size);
8d37b030 1860 return NULL;
1775f111
HZ
1861 }
1862
863e9621 1863 memory = ROUND_UP(memory, block->page_size);
c902760f
MT
1864
1865 /*
1866 * ftruncate is not supported by hugetlbfs in older
1867 * hosts, so don't bother bailing out on errors.
1868 * If anything goes wrong with it under other filesystems,
1869 * mmap will fail.
d6af99c9
HZ
1870 *
1871 * Do not truncate the non-empty backend file to avoid corrupting
1872 * the existing data in the file. Disabling shrinking is not
1873 * enough. For example, the current vNVDIMM implementation stores
1874 * the guest NVDIMM labels at the end of the backend file. If the
1875 * backend file is later extended, QEMU will not be able to find
1876 * those labels. Therefore, extending the non-empty backend file
1877 * is disabled as well.
c902760f 1878 */
8d37b030 1879 if (truncate && ftruncate(fd, memory)) {
9742bf26 1880 perror("ftruncate");
7f56e740 1881 }
c902760f 1882
d2f39add
DD
1883 area = qemu_ram_mmap(fd, memory, block->mr->align,
1884 block->flags & RAM_SHARED);
c902760f 1885 if (area == MAP_FAILED) {
7f56e740 1886 error_setg_errno(errp, errno,
fd97fd44 1887 "unable to map backing store for guest RAM");
8d37b030 1888 return NULL;
c902760f 1889 }
ef36fa14
MT
1890
1891 if (mem_prealloc) {
1e356fc1 1892 os_mem_prealloc(fd, area, memory, smp_cpus, errp);
056b68af 1893 if (errp && *errp) {
8d37b030
MAL
1894 qemu_ram_munmap(area, memory);
1895 return NULL;
056b68af 1896 }
ef36fa14
MT
1897 }
1898
04b16653 1899 block->fd = fd;
c902760f
MT
1900 return area;
1901}
1902#endif
1903
154cc9ea
DDAG
1904/* Allocate space within the ram_addr_t space that governs the
1905 * dirty bitmaps.
1906 * Called with the ramlist lock held.
1907 */
d17b5288 1908static ram_addr_t find_ram_offset(ram_addr_t size)
04b16653
AW
1909{
1910 RAMBlock *block, *next_block;
3e837b2c 1911 ram_addr_t offset = RAM_ADDR_MAX, mingap = RAM_ADDR_MAX;
04b16653 1912
49cd9ac6
SH
1913 assert(size != 0); /* it would hand out same offset multiple times */
1914
0dc3f44a 1915 if (QLIST_EMPTY_RCU(&ram_list.blocks)) {
04b16653 1916 return 0;
0d53d9fe 1917 }
04b16653 1918
99e15582 1919 RAMBLOCK_FOREACH(block) {
154cc9ea 1920 ram_addr_t candidate, next = RAM_ADDR_MAX;
04b16653 1921
801110ab
DDAG
1922 /* Align blocks to start on a 'long' in the bitmap
1923 * which makes the bitmap sync'ing take the fast path.
1924 */
154cc9ea 1925 candidate = block->offset + block->max_length;
801110ab 1926 candidate = ROUND_UP(candidate, BITS_PER_LONG << TARGET_PAGE_BITS);
04b16653 1927
154cc9ea
DDAG
1928 /* Search for the closest following block
1929 * and find the gap.
1930 */
99e15582 1931 RAMBLOCK_FOREACH(next_block) {
154cc9ea 1932 if (next_block->offset >= candidate) {
04b16653
AW
1933 next = MIN(next, next_block->offset);
1934 }
1935 }
154cc9ea
DDAG
1936
1937 /* If it fits remember our place and remember the size
1938 * of gap, but keep going so that we might find a smaller
1939 * gap to fill so avoiding fragmentation.
1940 */
1941 if (next - candidate >= size && next - candidate < mingap) {
1942 offset = candidate;
1943 mingap = next - candidate;
04b16653 1944 }
154cc9ea
DDAG
1945
1946 trace_find_ram_offset_loop(size, candidate, offset, next, mingap);
04b16653 1947 }
3e837b2c
AW
1948
1949 if (offset == RAM_ADDR_MAX) {
1950 fprintf(stderr, "Failed to find gap of requested size: %" PRIu64 "\n",
1951 (uint64_t)size);
1952 abort();
1953 }
1954
154cc9ea
DDAG
1955 trace_find_ram_offset(size, offset);
1956
04b16653
AW
1957 return offset;
1958}
1959
c136180c 1960static unsigned long last_ram_page(void)
d17b5288
AW
1961{
1962 RAMBlock *block;
1963 ram_addr_t last = 0;
1964
0dc3f44a 1965 rcu_read_lock();
99e15582 1966 RAMBLOCK_FOREACH(block) {
62be4e3a 1967 last = MAX(last, block->offset + block->max_length);
0d53d9fe 1968 }
0dc3f44a 1969 rcu_read_unlock();
b8c48993 1970 return last >> TARGET_PAGE_BITS;
d17b5288
AW
1971}
1972
ddb97f1d
JB
1973static void qemu_ram_setup_dump(void *addr, ram_addr_t size)
1974{
1975 int ret;
ddb97f1d
JB
1976
1977 /* Use MADV_DONTDUMP, if user doesn't want the guest memory in the core */
47c8ca53 1978 if (!machine_dump_guest_core(current_machine)) {
ddb97f1d
JB
1979 ret = qemu_madvise(addr, size, QEMU_MADV_DONTDUMP);
1980 if (ret) {
1981 perror("qemu_madvise");
1982 fprintf(stderr, "madvise doesn't support MADV_DONTDUMP, "
1983 "but dump_guest_core=off specified\n");
1984 }
1985 }
1986}
1987
422148d3
DDAG
1988const char *qemu_ram_get_idstr(RAMBlock *rb)
1989{
1990 return rb->idstr;
1991}
1992
463a4ac2
DDAG
1993bool qemu_ram_is_shared(RAMBlock *rb)
1994{
1995 return rb->flags & RAM_SHARED;
1996}
1997
2ce16640
DDAG
1998/* Note: Only set at the start of postcopy */
1999bool qemu_ram_is_uf_zeroable(RAMBlock *rb)
2000{
2001 return rb->flags & RAM_UF_ZEROPAGE;
2002}
2003
2004void qemu_ram_set_uf_zeroable(RAMBlock *rb)
2005{
2006 rb->flags |= RAM_UF_ZEROPAGE;
2007}
2008
b895de50
CLG
2009bool qemu_ram_is_migratable(RAMBlock *rb)
2010{
2011 return rb->flags & RAM_MIGRATABLE;
2012}
2013
2014void qemu_ram_set_migratable(RAMBlock *rb)
2015{
2016 rb->flags |= RAM_MIGRATABLE;
2017}
2018
2019void qemu_ram_unset_migratable(RAMBlock *rb)
2020{
2021 rb->flags &= ~RAM_MIGRATABLE;
2022}
2023
ae3a7047 2024/* Called with iothread lock held. */
fa53a0e5 2025void qemu_ram_set_idstr(RAMBlock *new_block, const char *name, DeviceState *dev)
20cfe881 2026{
fa53a0e5 2027 RAMBlock *block;
20cfe881 2028
c5705a77
AK
2029 assert(new_block);
2030 assert(!new_block->idstr[0]);
84b89d78 2031
09e5ab63
AL
2032 if (dev) {
2033 char *id = qdev_get_dev_path(dev);
84b89d78
CM
2034 if (id) {
2035 snprintf(new_block->idstr, sizeof(new_block->idstr), "%s/", id);
7267c094 2036 g_free(id);
84b89d78
CM
2037 }
2038 }
2039 pstrcat(new_block->idstr, sizeof(new_block->idstr), name);
2040
ab0a9956 2041 rcu_read_lock();
99e15582 2042 RAMBLOCK_FOREACH(block) {
fa53a0e5
GA
2043 if (block != new_block &&
2044 !strcmp(block->idstr, new_block->idstr)) {
84b89d78
CM
2045 fprintf(stderr, "RAMBlock \"%s\" already registered, abort!\n",
2046 new_block->idstr);
2047 abort();
2048 }
2049 }
0dc3f44a 2050 rcu_read_unlock();
c5705a77
AK
2051}
2052
ae3a7047 2053/* Called with iothread lock held. */
fa53a0e5 2054void qemu_ram_unset_idstr(RAMBlock *block)
20cfe881 2055{
ae3a7047
MD
2056 /* FIXME: arch_init.c assumes that this is not called throughout
2057 * migration. Ignore the problem since hot-unplug during migration
2058 * does not work anyway.
2059 */
20cfe881
HT
2060 if (block) {
2061 memset(block->idstr, 0, sizeof(block->idstr));
2062 }
2063}
2064
863e9621
DDAG
2065size_t qemu_ram_pagesize(RAMBlock *rb)
2066{
2067 return rb->page_size;
2068}
2069
67f11b5c
DDAG
2070/* Returns the largest size of page in use */
2071size_t qemu_ram_pagesize_largest(void)
2072{
2073 RAMBlock *block;
2074 size_t largest = 0;
2075
99e15582 2076 RAMBLOCK_FOREACH(block) {
67f11b5c
DDAG
2077 largest = MAX(largest, qemu_ram_pagesize(block));
2078 }
2079
2080 return largest;
2081}
2082
8490fc78
LC
2083static int memory_try_enable_merging(void *addr, size_t len)
2084{
75cc7f01 2085 if (!machine_mem_merge(current_machine)) {
8490fc78
LC
2086 /* disabled by the user */
2087 return 0;
2088 }
2089
2090 return qemu_madvise(addr, len, QEMU_MADV_MERGEABLE);
2091}
2092
62be4e3a
MT
2093/* Only legal before guest might have detected the memory size: e.g. on
2094 * incoming migration, or right after reset.
2095 *
2096 * As memory core doesn't know how is memory accessed, it is up to
2097 * resize callback to update device state and/or add assertions to detect
2098 * misuse, if necessary.
2099 */
fa53a0e5 2100int qemu_ram_resize(RAMBlock *block, ram_addr_t newsize, Error **errp)
62be4e3a 2101{
62be4e3a
MT
2102 assert(block);
2103
4ed023ce 2104 newsize = HOST_PAGE_ALIGN(newsize);
129ddaf3 2105
62be4e3a
MT
2106 if (block->used_length == newsize) {
2107 return 0;
2108 }
2109
2110 if (!(block->flags & RAM_RESIZEABLE)) {
2111 error_setg_errno(errp, EINVAL,
2112 "Length mismatch: %s: 0x" RAM_ADDR_FMT
2113 " in != 0x" RAM_ADDR_FMT, block->idstr,
2114 newsize, block->used_length);
2115 return -EINVAL;
2116 }
2117
2118 if (block->max_length < newsize) {
2119 error_setg_errno(errp, EINVAL,
2120 "Length too large: %s: 0x" RAM_ADDR_FMT
2121 " > 0x" RAM_ADDR_FMT, block->idstr,
2122 newsize, block->max_length);
2123 return -EINVAL;
2124 }
2125
2126 cpu_physical_memory_clear_dirty_range(block->offset, block->used_length);
2127 block->used_length = newsize;
58d2707e
PB
2128 cpu_physical_memory_set_dirty_range(block->offset, block->used_length,
2129 DIRTY_CLIENTS_ALL);
62be4e3a
MT
2130 memory_region_set_size(block->mr, newsize);
2131 if (block->resized) {
2132 block->resized(block->idstr, newsize, block->host);
2133 }
2134 return 0;
2135}
2136
5b82b703
SH
2137/* Called with ram_list.mutex held */
2138static void dirty_memory_extend(ram_addr_t old_ram_size,
2139 ram_addr_t new_ram_size)
2140{
2141 ram_addr_t old_num_blocks = DIV_ROUND_UP(old_ram_size,
2142 DIRTY_MEMORY_BLOCK_SIZE);
2143 ram_addr_t new_num_blocks = DIV_ROUND_UP(new_ram_size,
2144 DIRTY_MEMORY_BLOCK_SIZE);
2145 int i;
2146
2147 /* Only need to extend if block count increased */
2148 if (new_num_blocks <= old_num_blocks) {
2149 return;
2150 }
2151
2152 for (i = 0; i < DIRTY_MEMORY_NUM; i++) {
2153 DirtyMemoryBlocks *old_blocks;
2154 DirtyMemoryBlocks *new_blocks;
2155 int j;
2156
2157 old_blocks = atomic_rcu_read(&ram_list.dirty_memory[i]);
2158 new_blocks = g_malloc(sizeof(*new_blocks) +
2159 sizeof(new_blocks->blocks[0]) * new_num_blocks);
2160
2161 if (old_num_blocks) {
2162 memcpy(new_blocks->blocks, old_blocks->blocks,
2163 old_num_blocks * sizeof(old_blocks->blocks[0]));
2164 }
2165
2166 for (j = old_num_blocks; j < new_num_blocks; j++) {
2167 new_blocks->blocks[j] = bitmap_new(DIRTY_MEMORY_BLOCK_SIZE);
2168 }
2169
2170 atomic_rcu_set(&ram_list.dirty_memory[i], new_blocks);
2171
2172 if (old_blocks) {
2173 g_free_rcu(old_blocks, rcu);
2174 }
2175 }
2176}
2177
06329cce 2178static void ram_block_add(RAMBlock *new_block, Error **errp, bool shared)
c5705a77 2179{
e1c57ab8 2180 RAMBlock *block;
0d53d9fe 2181 RAMBlock *last_block = NULL;
2152f5ca 2182 ram_addr_t old_ram_size, new_ram_size;
37aa7a0e 2183 Error *err = NULL;
2152f5ca 2184
b8c48993 2185 old_ram_size = last_ram_page();
c5705a77 2186
b2a8658e 2187 qemu_mutex_lock_ramlist();
9b8424d5 2188 new_block->offset = find_ram_offset(new_block->max_length);
e1c57ab8
PB
2189
2190 if (!new_block->host) {
2191 if (xen_enabled()) {
9b8424d5 2192 xen_ram_alloc(new_block->offset, new_block->max_length,
37aa7a0e
MA
2193 new_block->mr, &err);
2194 if (err) {
2195 error_propagate(errp, err);
2196 qemu_mutex_unlock_ramlist();
39c350ee 2197 return;
37aa7a0e 2198 }
e1c57ab8 2199 } else {
9b8424d5 2200 new_block->host = phys_mem_alloc(new_block->max_length,
06329cce 2201 &new_block->mr->align, shared);
39228250 2202 if (!new_block->host) {
ef701d7b
HT
2203 error_setg_errno(errp, errno,
2204 "cannot set up guest memory '%s'",
2205 memory_region_name(new_block->mr));
2206 qemu_mutex_unlock_ramlist();
39c350ee 2207 return;
39228250 2208 }
9b8424d5 2209 memory_try_enable_merging(new_block->host, new_block->max_length);
6977dfe6 2210 }
c902760f 2211 }
94a6b54f 2212
dd631697
LZ
2213 new_ram_size = MAX(old_ram_size,
2214 (new_block->offset + new_block->max_length) >> TARGET_PAGE_BITS);
2215 if (new_ram_size > old_ram_size) {
5b82b703 2216 dirty_memory_extend(old_ram_size, new_ram_size);
dd631697 2217 }
0d53d9fe
MD
2218 /* Keep the list sorted from biggest to smallest block. Unlike QTAILQ,
2219 * QLIST (which has an RCU-friendly variant) does not have insertion at
2220 * tail, so save the last element in last_block.
2221 */
99e15582 2222 RAMBLOCK_FOREACH(block) {
0d53d9fe 2223 last_block = block;
9b8424d5 2224 if (block->max_length < new_block->max_length) {
abb26d63
PB
2225 break;
2226 }
2227 }
2228 if (block) {
0dc3f44a 2229 QLIST_INSERT_BEFORE_RCU(block, new_block, next);
0d53d9fe 2230 } else if (last_block) {
0dc3f44a 2231 QLIST_INSERT_AFTER_RCU(last_block, new_block, next);
0d53d9fe 2232 } else { /* list is empty */
0dc3f44a 2233 QLIST_INSERT_HEAD_RCU(&ram_list.blocks, new_block, next);
abb26d63 2234 }
0d6d3c87 2235 ram_list.mru_block = NULL;
94a6b54f 2236
0dc3f44a
MD
2237 /* Write list before version */
2238 smp_wmb();
f798b07f 2239 ram_list.version++;
b2a8658e 2240 qemu_mutex_unlock_ramlist();
f798b07f 2241
9b8424d5 2242 cpu_physical_memory_set_dirty_range(new_block->offset,
58d2707e
PB
2243 new_block->used_length,
2244 DIRTY_CLIENTS_ALL);
94a6b54f 2245
a904c911
PB
2246 if (new_block->host) {
2247 qemu_ram_setup_dump(new_block->host, new_block->max_length);
2248 qemu_madvise(new_block->host, new_block->max_length, QEMU_MADV_HUGEPAGE);
c2cd627d 2249 /* MADV_DONTFORK is also needed by KVM in absence of synchronous MMU */
a904c911 2250 qemu_madvise(new_block->host, new_block->max_length, QEMU_MADV_DONTFORK);
0987d735 2251 ram_block_notify_add(new_block->host, new_block->max_length);
e1c57ab8 2252 }
94a6b54f 2253}
e9a1ab19 2254
0b183fc8 2255#ifdef __linux__
38b3362d
MAL
2256RAMBlock *qemu_ram_alloc_from_fd(ram_addr_t size, MemoryRegion *mr,
2257 bool share, int fd,
2258 Error **errp)
e1c57ab8
PB
2259{
2260 RAMBlock *new_block;
ef701d7b 2261 Error *local_err = NULL;
8d37b030 2262 int64_t file_size;
e1c57ab8
PB
2263
2264 if (xen_enabled()) {
7f56e740 2265 error_setg(errp, "-mem-path not supported with Xen");
528f46af 2266 return NULL;
e1c57ab8
PB
2267 }
2268
e45e7ae2
MAL
2269 if (kvm_enabled() && !kvm_has_sync_mmu()) {
2270 error_setg(errp,
2271 "host lacks kvm mmu notifiers, -mem-path unsupported");
2272 return NULL;
2273 }
2274
e1c57ab8
PB
2275 if (phys_mem_alloc != qemu_anon_ram_alloc) {
2276 /*
2277 * file_ram_alloc() needs to allocate just like
2278 * phys_mem_alloc, but we haven't bothered to provide
2279 * a hook there.
2280 */
7f56e740
PB
2281 error_setg(errp,
2282 "-mem-path not supported with this accelerator");
528f46af 2283 return NULL;
e1c57ab8
PB
2284 }
2285
4ed023ce 2286 size = HOST_PAGE_ALIGN(size);
8d37b030
MAL
2287 file_size = get_file_size(fd);
2288 if (file_size > 0 && file_size < size) {
2289 error_setg(errp, "backing store %s size 0x%" PRIx64
2290 " does not match 'size' option 0x" RAM_ADDR_FMT,
2291 mem_path, file_size, size);
8d37b030
MAL
2292 return NULL;
2293 }
2294
e1c57ab8
PB
2295 new_block = g_malloc0(sizeof(*new_block));
2296 new_block->mr = mr;
9b8424d5
MT
2297 new_block->used_length = size;
2298 new_block->max_length = size;
dbcb8981 2299 new_block->flags = share ? RAM_SHARED : 0;
8d37b030 2300 new_block->host = file_ram_alloc(new_block, size, fd, !file_size, errp);
7f56e740
PB
2301 if (!new_block->host) {
2302 g_free(new_block);
528f46af 2303 return NULL;
7f56e740
PB
2304 }
2305
06329cce 2306 ram_block_add(new_block, &local_err, share);
ef701d7b
HT
2307 if (local_err) {
2308 g_free(new_block);
2309 error_propagate(errp, local_err);
528f46af 2310 return NULL;
ef701d7b 2311 }
528f46af 2312 return new_block;
38b3362d
MAL
2313
2314}
2315
2316
2317RAMBlock *qemu_ram_alloc_from_file(ram_addr_t size, MemoryRegion *mr,
2318 bool share, const char *mem_path,
2319 Error **errp)
2320{
2321 int fd;
2322 bool created;
2323 RAMBlock *block;
2324
2325 fd = file_ram_open(mem_path, memory_region_name(mr), &created, errp);
2326 if (fd < 0) {
2327 return NULL;
2328 }
2329
2330 block = qemu_ram_alloc_from_fd(size, mr, share, fd, errp);
2331 if (!block) {
2332 if (created) {
2333 unlink(mem_path);
2334 }
2335 close(fd);
2336 return NULL;
2337 }
2338
2339 return block;
e1c57ab8 2340}
0b183fc8 2341#endif
e1c57ab8 2342
62be4e3a 2343static
528f46af
FZ
2344RAMBlock *qemu_ram_alloc_internal(ram_addr_t size, ram_addr_t max_size,
2345 void (*resized)(const char*,
2346 uint64_t length,
2347 void *host),
06329cce 2348 void *host, bool resizeable, bool share,
528f46af 2349 MemoryRegion *mr, Error **errp)
e1c57ab8
PB
2350{
2351 RAMBlock *new_block;
ef701d7b 2352 Error *local_err = NULL;
e1c57ab8 2353
4ed023ce
DDAG
2354 size = HOST_PAGE_ALIGN(size);
2355 max_size = HOST_PAGE_ALIGN(max_size);
e1c57ab8
PB
2356 new_block = g_malloc0(sizeof(*new_block));
2357 new_block->mr = mr;
62be4e3a 2358 new_block->resized = resized;
9b8424d5
MT
2359 new_block->used_length = size;
2360 new_block->max_length = max_size;
62be4e3a 2361 assert(max_size >= size);
e1c57ab8 2362 new_block->fd = -1;
863e9621 2363 new_block->page_size = getpagesize();
e1c57ab8
PB
2364 new_block->host = host;
2365 if (host) {
7bd4f430 2366 new_block->flags |= RAM_PREALLOC;
e1c57ab8 2367 }
62be4e3a
MT
2368 if (resizeable) {
2369 new_block->flags |= RAM_RESIZEABLE;
2370 }
06329cce 2371 ram_block_add(new_block, &local_err, share);
ef701d7b
HT
2372 if (local_err) {
2373 g_free(new_block);
2374 error_propagate(errp, local_err);
528f46af 2375 return NULL;
ef701d7b 2376 }
528f46af 2377 return new_block;
e1c57ab8
PB
2378}
2379
528f46af 2380RAMBlock *qemu_ram_alloc_from_ptr(ram_addr_t size, void *host,
62be4e3a
MT
2381 MemoryRegion *mr, Error **errp)
2382{
06329cce
MA
2383 return qemu_ram_alloc_internal(size, size, NULL, host, false,
2384 false, mr, errp);
62be4e3a
MT
2385}
2386
06329cce
MA
2387RAMBlock *qemu_ram_alloc(ram_addr_t size, bool share,
2388 MemoryRegion *mr, Error **errp)
6977dfe6 2389{
06329cce
MA
2390 return qemu_ram_alloc_internal(size, size, NULL, NULL, false,
2391 share, mr, errp);
62be4e3a
MT
2392}
2393
528f46af 2394RAMBlock *qemu_ram_alloc_resizeable(ram_addr_t size, ram_addr_t maxsz,
62be4e3a
MT
2395 void (*resized)(const char*,
2396 uint64_t length,
2397 void *host),
2398 MemoryRegion *mr, Error **errp)
2399{
06329cce
MA
2400 return qemu_ram_alloc_internal(size, maxsz, resized, NULL, true,
2401 false, mr, errp);
6977dfe6
YT
2402}
2403
43771539
PB
2404static void reclaim_ramblock(RAMBlock *block)
2405{
2406 if (block->flags & RAM_PREALLOC) {
2407 ;
2408 } else if (xen_enabled()) {
2409 xen_invalidate_map_cache_entry(block->host);
2410#ifndef _WIN32
2411 } else if (block->fd >= 0) {
2f3a2bb1 2412 qemu_ram_munmap(block->host, block->max_length);
43771539
PB
2413 close(block->fd);
2414#endif
2415 } else {
2416 qemu_anon_ram_free(block->host, block->max_length);
2417 }
2418 g_free(block);
2419}
2420
f1060c55 2421void qemu_ram_free(RAMBlock *block)
e9a1ab19 2422{
85bc2a15
MAL
2423 if (!block) {
2424 return;
2425 }
2426
0987d735
PB
2427 if (block->host) {
2428 ram_block_notify_remove(block->host, block->max_length);
2429 }
2430
b2a8658e 2431 qemu_mutex_lock_ramlist();
f1060c55
FZ
2432 QLIST_REMOVE_RCU(block, next);
2433 ram_list.mru_block = NULL;
2434 /* Write list before version */
2435 smp_wmb();
2436 ram_list.version++;
2437 call_rcu(block, reclaim_ramblock, rcu);
b2a8658e 2438 qemu_mutex_unlock_ramlist();
e9a1ab19
FB
2439}
2440
cd19cfa2
HY
2441#ifndef _WIN32
2442void qemu_ram_remap(ram_addr_t addr, ram_addr_t length)
2443{
2444 RAMBlock *block;
2445 ram_addr_t offset;
2446 int flags;
2447 void *area, *vaddr;
2448
99e15582 2449 RAMBLOCK_FOREACH(block) {
cd19cfa2 2450 offset = addr - block->offset;
9b8424d5 2451 if (offset < block->max_length) {
1240be24 2452 vaddr = ramblock_ptr(block, offset);
7bd4f430 2453 if (block->flags & RAM_PREALLOC) {
cd19cfa2 2454 ;
dfeaf2ab
MA
2455 } else if (xen_enabled()) {
2456 abort();
cd19cfa2
HY
2457 } else {
2458 flags = MAP_FIXED;
3435f395 2459 if (block->fd >= 0) {
dbcb8981
PB
2460 flags |= (block->flags & RAM_SHARED ?
2461 MAP_SHARED : MAP_PRIVATE);
3435f395
MA
2462 area = mmap(vaddr, length, PROT_READ | PROT_WRITE,
2463 flags, block->fd, offset);
cd19cfa2 2464 } else {
2eb9fbaa
MA
2465 /*
2466 * Remap needs to match alloc. Accelerators that
2467 * set phys_mem_alloc never remap. If they did,
2468 * we'd need a remap hook here.
2469 */
2470 assert(phys_mem_alloc == qemu_anon_ram_alloc);
2471
cd19cfa2
HY
2472 flags |= MAP_PRIVATE | MAP_ANONYMOUS;
2473 area = mmap(vaddr, length, PROT_READ | PROT_WRITE,
2474 flags, -1, 0);
cd19cfa2
HY
2475 }
2476 if (area != vaddr) {
493d89bf
AF
2477 error_report("Could not remap addr: "
2478 RAM_ADDR_FMT "@" RAM_ADDR_FMT "",
2479 length, addr);
cd19cfa2
HY
2480 exit(1);
2481 }
8490fc78 2482 memory_try_enable_merging(vaddr, length);
ddb97f1d 2483 qemu_ram_setup_dump(vaddr, length);
cd19cfa2 2484 }
cd19cfa2
HY
2485 }
2486 }
2487}
2488#endif /* !_WIN32 */
2489
1b5ec234 2490/* Return a host pointer to ram allocated with qemu_ram_alloc.
ae3a7047
MD
2491 * This should not be used for general purpose DMA. Use address_space_map
2492 * or address_space_rw instead. For local memory (e.g. video ram) that the
2493 * device owns, use memory_region_get_ram_ptr.
0dc3f44a 2494 *
49b24afc 2495 * Called within RCU critical section.
1b5ec234 2496 */
0878d0e1 2497void *qemu_map_ram_ptr(RAMBlock *ram_block, ram_addr_t addr)
1b5ec234 2498{
3655cb9c
GA
2499 RAMBlock *block = ram_block;
2500
2501 if (block == NULL) {
2502 block = qemu_get_ram_block(addr);
0878d0e1 2503 addr -= block->offset;
3655cb9c 2504 }
ae3a7047
MD
2505
2506 if (xen_enabled() && block->host == NULL) {
0d6d3c87
PB
2507 /* We need to check if the requested address is in the RAM
2508 * because we don't want to map the entire memory in QEMU.
2509 * In that case just map until the end of the page.
2510 */
2511 if (block->offset == 0) {
1ff7c598 2512 return xen_map_cache(addr, 0, 0, false);
0d6d3c87 2513 }
ae3a7047 2514
1ff7c598 2515 block->host = xen_map_cache(block->offset, block->max_length, 1, false);
0d6d3c87 2516 }
0878d0e1 2517 return ramblock_ptr(block, addr);
dc828ca1
PB
2518}
2519
0878d0e1 2520/* Return a host pointer to guest's ram. Similar to qemu_map_ram_ptr
ae3a7047 2521 * but takes a size argument.
0dc3f44a 2522 *
e81bcda5 2523 * Called within RCU critical section.
ae3a7047 2524 */
3655cb9c 2525static void *qemu_ram_ptr_length(RAMBlock *ram_block, ram_addr_t addr,
f5aa69bd 2526 hwaddr *size, bool lock)
38bee5dc 2527{
3655cb9c 2528 RAMBlock *block = ram_block;
8ab934f9
SS
2529 if (*size == 0) {
2530 return NULL;
2531 }
e81bcda5 2532
3655cb9c
GA
2533 if (block == NULL) {
2534 block = qemu_get_ram_block(addr);
0878d0e1 2535 addr -= block->offset;
3655cb9c 2536 }
0878d0e1 2537 *size = MIN(*size, block->max_length - addr);
e81bcda5
PB
2538
2539 if (xen_enabled() && block->host == NULL) {
2540 /* We need to check if the requested address is in the RAM
2541 * because we don't want to map the entire memory in QEMU.
2542 * In that case just map the requested area.
2543 */
2544 if (block->offset == 0) {
f5aa69bd 2545 return xen_map_cache(addr, *size, lock, lock);
38bee5dc
SS
2546 }
2547
f5aa69bd 2548 block->host = xen_map_cache(block->offset, block->max_length, 1, lock);
38bee5dc 2549 }
e81bcda5 2550
0878d0e1 2551 return ramblock_ptr(block, addr);
38bee5dc
SS
2552}
2553
f90bb71b
DDAG
2554/* Return the offset of a hostpointer within a ramblock */
2555ram_addr_t qemu_ram_block_host_offset(RAMBlock *rb, void *host)
2556{
2557 ram_addr_t res = (uint8_t *)host - (uint8_t *)rb->host;
2558 assert((uintptr_t)host >= (uintptr_t)rb->host);
2559 assert(res < rb->max_length);
2560
2561 return res;
2562}
2563
422148d3
DDAG
2564/*
2565 * Translates a host ptr back to a RAMBlock, a ram_addr and an offset
2566 * in that RAMBlock.
2567 *
2568 * ptr: Host pointer to look up
2569 * round_offset: If true round the result offset down to a page boundary
2570 * *ram_addr: set to result ram_addr
2571 * *offset: set to result offset within the RAMBlock
2572 *
2573 * Returns: RAMBlock (or NULL if not found)
ae3a7047
MD
2574 *
2575 * By the time this function returns, the returned pointer is not protected
2576 * by RCU anymore. If the caller is not within an RCU critical section and
2577 * does not hold the iothread lock, it must have other means of protecting the
2578 * pointer, such as a reference to the region that includes the incoming
2579 * ram_addr_t.
2580 */
422148d3 2581RAMBlock *qemu_ram_block_from_host(void *ptr, bool round_offset,
422148d3 2582 ram_addr_t *offset)
5579c7f3 2583{
94a6b54f
PB
2584 RAMBlock *block;
2585 uint8_t *host = ptr;
2586
868bb33f 2587 if (xen_enabled()) {
f615f396 2588 ram_addr_t ram_addr;
0dc3f44a 2589 rcu_read_lock();
f615f396
PB
2590 ram_addr = xen_ram_addr_from_mapcache(ptr);
2591 block = qemu_get_ram_block(ram_addr);
422148d3 2592 if (block) {
d6b6aec4 2593 *offset = ram_addr - block->offset;
422148d3 2594 }
0dc3f44a 2595 rcu_read_unlock();
422148d3 2596 return block;
712c2b41
SS
2597 }
2598
0dc3f44a
MD
2599 rcu_read_lock();
2600 block = atomic_rcu_read(&ram_list.mru_block);
9b8424d5 2601 if (block && block->host && host - block->host < block->max_length) {
23887b79
PB
2602 goto found;
2603 }
2604
99e15582 2605 RAMBLOCK_FOREACH(block) {
432d268c
JN
2606 /* This case append when the block is not mapped. */
2607 if (block->host == NULL) {
2608 continue;
2609 }
9b8424d5 2610 if (host - block->host < block->max_length) {
23887b79 2611 goto found;
f471a17e 2612 }
94a6b54f 2613 }
432d268c 2614
0dc3f44a 2615 rcu_read_unlock();
1b5ec234 2616 return NULL;
23887b79
PB
2617
2618found:
422148d3
DDAG
2619 *offset = (host - block->host);
2620 if (round_offset) {
2621 *offset &= TARGET_PAGE_MASK;
2622 }
0dc3f44a 2623 rcu_read_unlock();
422148d3
DDAG
2624 return block;
2625}
2626
e3dd7493
DDAG
2627/*
2628 * Finds the named RAMBlock
2629 *
2630 * name: The name of RAMBlock to find
2631 *
2632 * Returns: RAMBlock (or NULL if not found)
2633 */
2634RAMBlock *qemu_ram_block_by_name(const char *name)
2635{
2636 RAMBlock *block;
2637
99e15582 2638 RAMBLOCK_FOREACH(block) {
e3dd7493
DDAG
2639 if (!strcmp(name, block->idstr)) {
2640 return block;
2641 }
2642 }
2643
2644 return NULL;
2645}
2646
422148d3
DDAG
2647/* Some of the softmmu routines need to translate from a host pointer
2648 (typically a TLB entry) back to a ram offset. */
07bdaa41 2649ram_addr_t qemu_ram_addr_from_host(void *ptr)
422148d3
DDAG
2650{
2651 RAMBlock *block;
f615f396 2652 ram_addr_t offset;
422148d3 2653
f615f396 2654 block = qemu_ram_block_from_host(ptr, false, &offset);
422148d3 2655 if (!block) {
07bdaa41 2656 return RAM_ADDR_INVALID;
422148d3
DDAG
2657 }
2658
07bdaa41 2659 return block->offset + offset;
e890261f 2660}
f471a17e 2661
27266271
PM
2662/* Called within RCU critical section. */
2663void memory_notdirty_write_prepare(NotDirtyInfo *ndi,
2664 CPUState *cpu,
2665 vaddr mem_vaddr,
2666 ram_addr_t ram_addr,
2667 unsigned size)
2668{
2669 ndi->cpu = cpu;
2670 ndi->ram_addr = ram_addr;
2671 ndi->mem_vaddr = mem_vaddr;
2672 ndi->size = size;
0ac20318 2673 ndi->pages = NULL;
ba051fb5 2674
5aa1ef71 2675 assert(tcg_enabled());
52159192 2676 if (!cpu_physical_memory_get_dirty_flag(ram_addr, DIRTY_MEMORY_CODE)) {
0ac20318
EC
2677 ndi->pages = page_collection_lock(ram_addr, ram_addr + size);
2678 tb_invalidate_phys_page_fast(ndi->pages, ram_addr, size);
3a7d929e 2679 }
27266271
PM
2680}
2681
2682/* Called within RCU critical section. */
2683void memory_notdirty_write_complete(NotDirtyInfo *ndi)
2684{
0ac20318 2685 if (ndi->pages) {
f28d0dfd 2686 assert(tcg_enabled());
0ac20318
EC
2687 page_collection_unlock(ndi->pages);
2688 ndi->pages = NULL;
27266271
PM
2689 }
2690
2691 /* Set both VGA and migration bits for simplicity and to remove
2692 * the notdirty callback faster.
2693 */
2694 cpu_physical_memory_set_dirty_range(ndi->ram_addr, ndi->size,
2695 DIRTY_CLIENTS_NOCODE);
2696 /* we remove the notdirty callback only if the code has been
2697 flushed */
2698 if (!cpu_physical_memory_is_clean(ndi->ram_addr)) {
2699 tlb_set_dirty(ndi->cpu, ndi->mem_vaddr);
2700 }
2701}
2702
2703/* Called within RCU critical section. */
2704static void notdirty_mem_write(void *opaque, hwaddr ram_addr,
2705 uint64_t val, unsigned size)
2706{
2707 NotDirtyInfo ndi;
2708
2709 memory_notdirty_write_prepare(&ndi, current_cpu, current_cpu->mem_io_vaddr,
2710 ram_addr, size);
2711
6d3ede54 2712 stn_p(qemu_map_ram_ptr(NULL, ram_addr), size, val);
27266271 2713 memory_notdirty_write_complete(&ndi);
9fa3e853
FB
2714}
2715
b018ddf6 2716static bool notdirty_mem_accepts(void *opaque, hwaddr addr,
8372d383
PM
2717 unsigned size, bool is_write,
2718 MemTxAttrs attrs)
b018ddf6
PB
2719{
2720 return is_write;
2721}
2722
0e0df1e2 2723static const MemoryRegionOps notdirty_mem_ops = {
0e0df1e2 2724 .write = notdirty_mem_write,
b018ddf6 2725 .valid.accepts = notdirty_mem_accepts,
0e0df1e2 2726 .endianness = DEVICE_NATIVE_ENDIAN,
ad52878f
AB
2727 .valid = {
2728 .min_access_size = 1,
2729 .max_access_size = 8,
2730 .unaligned = false,
2731 },
2732 .impl = {
2733 .min_access_size = 1,
2734 .max_access_size = 8,
2735 .unaligned = false,
2736 },
1ccde1cb
FB
2737};
2738
0f459d16 2739/* Generate a debug exception if a watchpoint has been hit. */
66b9b43c 2740static void check_watchpoint(int offset, int len, MemTxAttrs attrs, int flags)
0f459d16 2741{
93afeade 2742 CPUState *cpu = current_cpu;
568496c0 2743 CPUClass *cc = CPU_GET_CLASS(cpu);
0f459d16 2744 target_ulong vaddr;
a1d1bb31 2745 CPUWatchpoint *wp;
0f459d16 2746
5aa1ef71 2747 assert(tcg_enabled());
ff4700b0 2748 if (cpu->watchpoint_hit) {
06d55cc1
AL
2749 /* We re-entered the check after replacing the TB. Now raise
2750 * the debug interrupt so that is will trigger after the
2751 * current instruction. */
93afeade 2752 cpu_interrupt(cpu, CPU_INTERRUPT_DEBUG);
06d55cc1
AL
2753 return;
2754 }
93afeade 2755 vaddr = (cpu->mem_io_vaddr & TARGET_PAGE_MASK) + offset;
40612000 2756 vaddr = cc->adjust_watchpoint_address(cpu, vaddr, len);
ff4700b0 2757 QTAILQ_FOREACH(wp, &cpu->watchpoints, entry) {
05068c0d
PM
2758 if (cpu_watchpoint_address_matches(wp, vaddr, len)
2759 && (wp->flags & flags)) {
08225676
PM
2760 if (flags == BP_MEM_READ) {
2761 wp->flags |= BP_WATCHPOINT_HIT_READ;
2762 } else {
2763 wp->flags |= BP_WATCHPOINT_HIT_WRITE;
2764 }
2765 wp->hitaddr = vaddr;
66b9b43c 2766 wp->hitattrs = attrs;
ff4700b0 2767 if (!cpu->watchpoint_hit) {
568496c0
SF
2768 if (wp->flags & BP_CPU &&
2769 !cc->debug_check_watchpoint(cpu, wp)) {
2770 wp->flags &= ~BP_WATCHPOINT_HIT;
2771 continue;
2772 }
ff4700b0 2773 cpu->watchpoint_hit = wp;
a5e99826 2774
0ac20318 2775 mmap_lock();
239c51a5 2776 tb_check_watchpoint(cpu);
6e140f28 2777 if (wp->flags & BP_STOP_BEFORE_ACCESS) {
27103424 2778 cpu->exception_index = EXCP_DEBUG;
0ac20318 2779 mmap_unlock();
5638d180 2780 cpu_loop_exit(cpu);
6e140f28 2781 } else {
9b990ee5
RH
2782 /* Force execution of one insn next time. */
2783 cpu->cflags_next_tb = 1 | curr_cflags();
0ac20318 2784 mmap_unlock();
6886b980 2785 cpu_loop_exit_noexc(cpu);
6e140f28 2786 }
06d55cc1 2787 }
6e140f28
AL
2788 } else {
2789 wp->flags &= ~BP_WATCHPOINT_HIT;
0f459d16
PB
2790 }
2791 }
2792}
2793
6658ffb8
PB
2794/* Watchpoint access routines. Watchpoints are inserted using TLB tricks,
2795 so these check for a hit then pass through to the normal out-of-line
2796 phys routines. */
66b9b43c
PM
2797static MemTxResult watch_mem_read(void *opaque, hwaddr addr, uint64_t *pdata,
2798 unsigned size, MemTxAttrs attrs)
6658ffb8 2799{
66b9b43c
PM
2800 MemTxResult res;
2801 uint64_t data;
79ed0416
PM
2802 int asidx = cpu_asidx_from_attrs(current_cpu, attrs);
2803 AddressSpace *as = current_cpu->cpu_ases[asidx].as;
66b9b43c
PM
2804
2805 check_watchpoint(addr & ~TARGET_PAGE_MASK, size, attrs, BP_MEM_READ);
1ec9b909 2806 switch (size) {
66b9b43c 2807 case 1:
79ed0416 2808 data = address_space_ldub(as, addr, attrs, &res);
66b9b43c
PM
2809 break;
2810 case 2:
79ed0416 2811 data = address_space_lduw(as, addr, attrs, &res);
66b9b43c
PM
2812 break;
2813 case 4:
79ed0416 2814 data = address_space_ldl(as, addr, attrs, &res);
66b9b43c 2815 break;
306526b5
PB
2816 case 8:
2817 data = address_space_ldq(as, addr, attrs, &res);
2818 break;
1ec9b909
AK
2819 default: abort();
2820 }
66b9b43c
PM
2821 *pdata = data;
2822 return res;
6658ffb8
PB
2823}
2824
66b9b43c
PM
2825static MemTxResult watch_mem_write(void *opaque, hwaddr addr,
2826 uint64_t val, unsigned size,
2827 MemTxAttrs attrs)
6658ffb8 2828{
66b9b43c 2829 MemTxResult res;
79ed0416
PM
2830 int asidx = cpu_asidx_from_attrs(current_cpu, attrs);
2831 AddressSpace *as = current_cpu->cpu_ases[asidx].as;
66b9b43c
PM
2832
2833 check_watchpoint(addr & ~TARGET_PAGE_MASK, size, attrs, BP_MEM_WRITE);
1ec9b909 2834 switch (size) {
67364150 2835 case 1:
79ed0416 2836 address_space_stb(as, addr, val, attrs, &res);
67364150
MF
2837 break;
2838 case 2:
79ed0416 2839 address_space_stw(as, addr, val, attrs, &res);
67364150
MF
2840 break;
2841 case 4:
79ed0416 2842 address_space_stl(as, addr, val, attrs, &res);
67364150 2843 break;
306526b5
PB
2844 case 8:
2845 address_space_stq(as, addr, val, attrs, &res);
2846 break;
1ec9b909
AK
2847 default: abort();
2848 }
66b9b43c 2849 return res;
6658ffb8
PB
2850}
2851
1ec9b909 2852static const MemoryRegionOps watch_mem_ops = {
66b9b43c
PM
2853 .read_with_attrs = watch_mem_read,
2854 .write_with_attrs = watch_mem_write,
1ec9b909 2855 .endianness = DEVICE_NATIVE_ENDIAN,
306526b5
PB
2856 .valid = {
2857 .min_access_size = 1,
2858 .max_access_size = 8,
2859 .unaligned = false,
2860 },
2861 .impl = {
2862 .min_access_size = 1,
2863 .max_access_size = 8,
2864 .unaligned = false,
2865 },
6658ffb8 2866};
6658ffb8 2867
b2a44fca
PB
2868static MemTxResult flatview_read(FlatView *fv, hwaddr addr,
2869 MemTxAttrs attrs, uint8_t *buf, int len);
16620684
AK
2870static MemTxResult flatview_write(FlatView *fv, hwaddr addr, MemTxAttrs attrs,
2871 const uint8_t *buf, int len);
2872static bool flatview_access_valid(FlatView *fv, hwaddr addr, int len,
eace72b7 2873 bool is_write, MemTxAttrs attrs);
16620684 2874
f25a49e0
PM
2875static MemTxResult subpage_read(void *opaque, hwaddr addr, uint64_t *data,
2876 unsigned len, MemTxAttrs attrs)
db7b5426 2877{
acc9d80b 2878 subpage_t *subpage = opaque;
ff6cff75 2879 uint8_t buf[8];
5c9eb028 2880 MemTxResult res;
791af8c8 2881
db7b5426 2882#if defined(DEBUG_SUBPAGE)
016e9d62 2883 printf("%s: subpage %p len %u addr " TARGET_FMT_plx "\n", __func__,
acc9d80b 2884 subpage, len, addr);
db7b5426 2885#endif
16620684 2886 res = flatview_read(subpage->fv, addr + subpage->base, attrs, buf, len);
5c9eb028
PM
2887 if (res) {
2888 return res;
f25a49e0 2889 }
6d3ede54
PM
2890 *data = ldn_p(buf, len);
2891 return MEMTX_OK;
db7b5426
BS
2892}
2893
f25a49e0
PM
2894static MemTxResult subpage_write(void *opaque, hwaddr addr,
2895 uint64_t value, unsigned len, MemTxAttrs attrs)
db7b5426 2896{
acc9d80b 2897 subpage_t *subpage = opaque;
ff6cff75 2898 uint8_t buf[8];
acc9d80b 2899
db7b5426 2900#if defined(DEBUG_SUBPAGE)
016e9d62 2901 printf("%s: subpage %p len %u addr " TARGET_FMT_plx
acc9d80b
JK
2902 " value %"PRIx64"\n",
2903 __func__, subpage, len, addr, value);
db7b5426 2904#endif
6d3ede54 2905 stn_p(buf, len, value);
16620684 2906 return flatview_write(subpage->fv, addr + subpage->base, attrs, buf, len);
db7b5426
BS
2907}
2908
c353e4cc 2909static bool subpage_accepts(void *opaque, hwaddr addr,
8372d383
PM
2910 unsigned len, bool is_write,
2911 MemTxAttrs attrs)
c353e4cc 2912{
acc9d80b 2913 subpage_t *subpage = opaque;
c353e4cc 2914#if defined(DEBUG_SUBPAGE)
016e9d62 2915 printf("%s: subpage %p %c len %u addr " TARGET_FMT_plx "\n",
acc9d80b 2916 __func__, subpage, is_write ? 'w' : 'r', len, addr);
c353e4cc
PB
2917#endif
2918
16620684 2919 return flatview_access_valid(subpage->fv, addr + subpage->base,
eace72b7 2920 len, is_write, attrs);
c353e4cc
PB
2921}
2922
70c68e44 2923static const MemoryRegionOps subpage_ops = {
f25a49e0
PM
2924 .read_with_attrs = subpage_read,
2925 .write_with_attrs = subpage_write,
ff6cff75
PB
2926 .impl.min_access_size = 1,
2927 .impl.max_access_size = 8,
2928 .valid.min_access_size = 1,
2929 .valid.max_access_size = 8,
c353e4cc 2930 .valid.accepts = subpage_accepts,
70c68e44 2931 .endianness = DEVICE_NATIVE_ENDIAN,
db7b5426
BS
2932};
2933
c227f099 2934static int subpage_register (subpage_t *mmio, uint32_t start, uint32_t end,
5312bd8b 2935 uint16_t section)
db7b5426
BS
2936{
2937 int idx, eidx;
2938
2939 if (start >= TARGET_PAGE_SIZE || end >= TARGET_PAGE_SIZE)
2940 return -1;
2941 idx = SUBPAGE_IDX(start);
2942 eidx = SUBPAGE_IDX(end);
2943#if defined(DEBUG_SUBPAGE)
016e9d62
AK
2944 printf("%s: %p start %08x end %08x idx %08x eidx %08x section %d\n",
2945 __func__, mmio, start, end, idx, eidx, section);
db7b5426 2946#endif
db7b5426 2947 for (; idx <= eidx; idx++) {
5312bd8b 2948 mmio->sub_section[idx] = section;
db7b5426
BS
2949 }
2950
2951 return 0;
2952}
2953
16620684 2954static subpage_t *subpage_init(FlatView *fv, hwaddr base)
db7b5426 2955{
c227f099 2956 subpage_t *mmio;
db7b5426 2957
2615fabd 2958 mmio = g_malloc0(sizeof(subpage_t) + TARGET_PAGE_SIZE * sizeof(uint16_t));
16620684 2959 mmio->fv = fv;
1eec614b 2960 mmio->base = base;
2c9b15ca 2961 memory_region_init_io(&mmio->iomem, NULL, &subpage_ops, mmio,
b4fefef9 2962 NULL, TARGET_PAGE_SIZE);
b3b00c78 2963 mmio->iomem.subpage = true;
db7b5426 2964#if defined(DEBUG_SUBPAGE)
016e9d62
AK
2965 printf("%s: %p base " TARGET_FMT_plx " len %08x\n", __func__,
2966 mmio, base, TARGET_PAGE_SIZE);
db7b5426 2967#endif
b41aac4f 2968 subpage_register(mmio, 0, TARGET_PAGE_SIZE-1, PHYS_SECTION_UNASSIGNED);
db7b5426
BS
2969
2970 return mmio;
2971}
2972
16620684 2973static uint16_t dummy_section(PhysPageMap *map, FlatView *fv, MemoryRegion *mr)
5312bd8b 2974{
16620684 2975 assert(fv);
5312bd8b 2976 MemoryRegionSection section = {
16620684 2977 .fv = fv,
5312bd8b
AK
2978 .mr = mr,
2979 .offset_within_address_space = 0,
2980 .offset_within_region = 0,
052e87b0 2981 .size = int128_2_64(),
5312bd8b
AK
2982 };
2983
53cb28cb 2984 return phys_section_add(map, &section);
5312bd8b
AK
2985}
2986
8af36743
PM
2987static void readonly_mem_write(void *opaque, hwaddr addr,
2988 uint64_t val, unsigned size)
2989{
2990 /* Ignore any write to ROM. */
2991}
2992
2993static bool readonly_mem_accepts(void *opaque, hwaddr addr,
8372d383
PM
2994 unsigned size, bool is_write,
2995 MemTxAttrs attrs)
8af36743
PM
2996{
2997 return is_write;
2998}
2999
3000/* This will only be used for writes, because reads are special cased
3001 * to directly access the underlying host ram.
3002 */
3003static const MemoryRegionOps readonly_mem_ops = {
3004 .write = readonly_mem_write,
3005 .valid.accepts = readonly_mem_accepts,
3006 .endianness = DEVICE_NATIVE_ENDIAN,
3007 .valid = {
3008 .min_access_size = 1,
3009 .max_access_size = 8,
3010 .unaligned = false,
3011 },
3012 .impl = {
3013 .min_access_size = 1,
3014 .max_access_size = 8,
3015 .unaligned = false,
3016 },
3017};
3018
2d54f194
PM
3019MemoryRegionSection *iotlb_to_section(CPUState *cpu,
3020 hwaddr index, MemTxAttrs attrs)
aa102231 3021{
a54c87b6
PM
3022 int asidx = cpu_asidx_from_attrs(cpu, attrs);
3023 CPUAddressSpace *cpuas = &cpu->cpu_ases[asidx];
32857f4d 3024 AddressSpaceDispatch *d = atomic_rcu_read(&cpuas->memory_dispatch);
79e2b9ae 3025 MemoryRegionSection *sections = d->map.sections;
9d82b5a7 3026
2d54f194 3027 return &sections[index & ~TARGET_PAGE_MASK];
aa102231
AK
3028}
3029
e9179ce1
AK
3030static void io_mem_init(void)
3031{
8af36743
PM
3032 memory_region_init_io(&io_mem_rom, NULL, &readonly_mem_ops,
3033 NULL, NULL, UINT64_MAX);
2c9b15ca 3034 memory_region_init_io(&io_mem_unassigned, NULL, &unassigned_mem_ops, NULL,
1f6245e5 3035 NULL, UINT64_MAX);
8d04fb55
JK
3036
3037 /* io_mem_notdirty calls tb_invalidate_phys_page_fast,
3038 * which can be called without the iothread mutex.
3039 */
2c9b15ca 3040 memory_region_init_io(&io_mem_notdirty, NULL, &notdirty_mem_ops, NULL,
1f6245e5 3041 NULL, UINT64_MAX);
8d04fb55
JK
3042 memory_region_clear_global_locking(&io_mem_notdirty);
3043
2c9b15ca 3044 memory_region_init_io(&io_mem_watch, NULL, &watch_mem_ops, NULL,
1f6245e5 3045 NULL, UINT64_MAX);
e9179ce1
AK
3046}
3047
8629d3fc 3048AddressSpaceDispatch *address_space_dispatch_new(FlatView *fv)
00752703 3049{
53cb28cb
MA
3050 AddressSpaceDispatch *d = g_new0(AddressSpaceDispatch, 1);
3051 uint16_t n;
3052
16620684 3053 n = dummy_section(&d->map, fv, &io_mem_unassigned);
53cb28cb 3054 assert(n == PHYS_SECTION_UNASSIGNED);
16620684 3055 n = dummy_section(&d->map, fv, &io_mem_notdirty);
53cb28cb 3056 assert(n == PHYS_SECTION_NOTDIRTY);
16620684 3057 n = dummy_section(&d->map, fv, &io_mem_rom);
53cb28cb 3058 assert(n == PHYS_SECTION_ROM);
16620684 3059 n = dummy_section(&d->map, fv, &io_mem_watch);
53cb28cb 3060 assert(n == PHYS_SECTION_WATCH);
00752703 3061
9736e55b 3062 d->phys_map = (PhysPageEntry) { .ptr = PHYS_MAP_NODE_NIL, .skip = 1 };
66a6df1d
AK
3063
3064 return d;
00752703
PB
3065}
3066
66a6df1d 3067void address_space_dispatch_free(AddressSpaceDispatch *d)
79e2b9ae
PB
3068{
3069 phys_sections_free(&d->map);
3070 g_free(d);
3071}
3072
1d71148e 3073static void tcg_commit(MemoryListener *listener)
50c1e149 3074{
32857f4d
PM
3075 CPUAddressSpace *cpuas;
3076 AddressSpaceDispatch *d;
117712c3 3077
f28d0dfd 3078 assert(tcg_enabled());
117712c3
AK
3079 /* since each CPU stores ram addresses in its TLB cache, we must
3080 reset the modified entries */
32857f4d
PM
3081 cpuas = container_of(listener, CPUAddressSpace, tcg_as_listener);
3082 cpu_reloading_memory_map();
3083 /* The CPU and TLB are protected by the iothread lock.
3084 * We reload the dispatch pointer now because cpu_reloading_memory_map()
3085 * may have split the RCU critical section.
3086 */
66a6df1d 3087 d = address_space_to_dispatch(cpuas->as);
f35e44e7 3088 atomic_rcu_set(&cpuas->memory_dispatch, d);
d10eb08f 3089 tlb_flush(cpuas->cpu);
50c1e149
AK
3090}
3091
62152b8a
AK
3092static void memory_map_init(void)
3093{
7267c094 3094 system_memory = g_malloc(sizeof(*system_memory));
03f49957 3095
57271d63 3096 memory_region_init(system_memory, NULL, "system", UINT64_MAX);
7dca8043 3097 address_space_init(&address_space_memory, system_memory, "memory");
309cb471 3098
7267c094 3099 system_io = g_malloc(sizeof(*system_io));
3bb28b72
JK
3100 memory_region_init_io(system_io, NULL, &unassigned_io_ops, NULL, "io",
3101 65536);
7dca8043 3102 address_space_init(&address_space_io, system_io, "I/O");
62152b8a
AK
3103}
3104
3105MemoryRegion *get_system_memory(void)
3106{
3107 return system_memory;
3108}
3109
309cb471
AK
3110MemoryRegion *get_system_io(void)
3111{
3112 return system_io;
3113}
3114
e2eef170
PB
3115#endif /* !defined(CONFIG_USER_ONLY) */
3116
13eb76e0
FB
3117/* physical memory access (slow version, mainly for debug) */
3118#if defined(CONFIG_USER_ONLY)
f17ec444 3119int cpu_memory_rw_debug(CPUState *cpu, target_ulong addr,
a68fe89c 3120 uint8_t *buf, int len, int is_write)
13eb76e0
FB
3121{
3122 int l, flags;
3123 target_ulong page;
53a5960a 3124 void * p;
13eb76e0
FB
3125
3126 while (len > 0) {
3127 page = addr & TARGET_PAGE_MASK;
3128 l = (page + TARGET_PAGE_SIZE) - addr;
3129 if (l > len)
3130 l = len;
3131 flags = page_get_flags(page);
3132 if (!(flags & PAGE_VALID))
a68fe89c 3133 return -1;
13eb76e0
FB
3134 if (is_write) {
3135 if (!(flags & PAGE_WRITE))
a68fe89c 3136 return -1;
579a97f7 3137 /* XXX: this code should not depend on lock_user */
72fb7daa 3138 if (!(p = lock_user(VERIFY_WRITE, addr, l, 0)))
a68fe89c 3139 return -1;
72fb7daa
AJ
3140 memcpy(p, buf, l);
3141 unlock_user(p, addr, l);
13eb76e0
FB
3142 } else {
3143 if (!(flags & PAGE_READ))
a68fe89c 3144 return -1;
579a97f7 3145 /* XXX: this code should not depend on lock_user */
72fb7daa 3146 if (!(p = lock_user(VERIFY_READ, addr, l, 1)))
a68fe89c 3147 return -1;
72fb7daa 3148 memcpy(buf, p, l);
5b257578 3149 unlock_user(p, addr, 0);
13eb76e0
FB
3150 }
3151 len -= l;
3152 buf += l;
3153 addr += l;
3154 }
a68fe89c 3155 return 0;
13eb76e0 3156}
8df1cd07 3157
13eb76e0 3158#else
51d7a9eb 3159
845b6214 3160static void invalidate_and_set_dirty(MemoryRegion *mr, hwaddr addr,
a8170e5e 3161 hwaddr length)
51d7a9eb 3162{
e87f7778 3163 uint8_t dirty_log_mask = memory_region_get_dirty_log_mask(mr);
0878d0e1
PB
3164 addr += memory_region_get_ram_addr(mr);
3165
e87f7778
PB
3166 /* No early return if dirty_log_mask is or becomes 0, because
3167 * cpu_physical_memory_set_dirty_range will still call
3168 * xen_modified_memory.
3169 */
3170 if (dirty_log_mask) {
3171 dirty_log_mask =
3172 cpu_physical_memory_range_includes_clean(addr, length, dirty_log_mask);
3173 }
3174 if (dirty_log_mask & (1 << DIRTY_MEMORY_CODE)) {
5aa1ef71 3175 assert(tcg_enabled());
e87f7778
PB
3176 tb_invalidate_phys_range(addr, addr + length);
3177 dirty_log_mask &= ~(1 << DIRTY_MEMORY_CODE);
51d7a9eb 3178 }
e87f7778 3179 cpu_physical_memory_set_dirty_range(addr, length, dirty_log_mask);
51d7a9eb
AP
3180}
3181
23326164 3182static int memory_access_size(MemoryRegion *mr, unsigned l, hwaddr addr)
82f2563f 3183{
e1622f4b 3184 unsigned access_size_max = mr->ops->valid.max_access_size;
23326164
RH
3185
3186 /* Regions are assumed to support 1-4 byte accesses unless
3187 otherwise specified. */
23326164
RH
3188 if (access_size_max == 0) {
3189 access_size_max = 4;
3190 }
3191
3192 /* Bound the maximum access by the alignment of the address. */
3193 if (!mr->ops->impl.unaligned) {
3194 unsigned align_size_max = addr & -addr;
3195 if (align_size_max != 0 && align_size_max < access_size_max) {
3196 access_size_max = align_size_max;
3197 }
82f2563f 3198 }
23326164
RH
3199
3200 /* Don't attempt accesses larger than the maximum. */
3201 if (l > access_size_max) {
3202 l = access_size_max;
82f2563f 3203 }
6554f5c0 3204 l = pow2floor(l);
23326164
RH
3205
3206 return l;
82f2563f
PB
3207}
3208
4840f10e 3209static bool prepare_mmio_access(MemoryRegion *mr)
125b3806 3210{
4840f10e
JK
3211 bool unlocked = !qemu_mutex_iothread_locked();
3212 bool release_lock = false;
3213
3214 if (unlocked && mr->global_locking) {
3215 qemu_mutex_lock_iothread();
3216 unlocked = false;
3217 release_lock = true;
3218 }
125b3806 3219 if (mr->flush_coalesced_mmio) {
4840f10e
JK
3220 if (unlocked) {
3221 qemu_mutex_lock_iothread();
3222 }
125b3806 3223 qemu_flush_coalesced_mmio_buffer();
4840f10e
JK
3224 if (unlocked) {
3225 qemu_mutex_unlock_iothread();
3226 }
125b3806 3227 }
4840f10e
JK
3228
3229 return release_lock;
125b3806
PB
3230}
3231
a203ac70 3232/* Called within RCU critical section. */
16620684
AK
3233static MemTxResult flatview_write_continue(FlatView *fv, hwaddr addr,
3234 MemTxAttrs attrs,
3235 const uint8_t *buf,
3236 int len, hwaddr addr1,
3237 hwaddr l, MemoryRegion *mr)
13eb76e0 3238{
13eb76e0 3239 uint8_t *ptr;
791af8c8 3240 uint64_t val;
3b643495 3241 MemTxResult result = MEMTX_OK;
4840f10e 3242 bool release_lock = false;
3b46e624 3243
a203ac70 3244 for (;;) {
eb7eeb88
PB
3245 if (!memory_access_is_direct(mr, true)) {
3246 release_lock |= prepare_mmio_access(mr);
3247 l = memory_access_size(mr, l, addr1);
3248 /* XXX: could force current_cpu to NULL to avoid
3249 potential bugs */
6d3ede54
PM
3250 val = ldn_p(buf, l);
3251 result |= memory_region_dispatch_write(mr, addr1, val, l, attrs);
13eb76e0 3252 } else {
eb7eeb88 3253 /* RAM case */
f5aa69bd 3254 ptr = qemu_ram_ptr_length(mr->ram_block, addr1, &l, false);
eb7eeb88
PB
3255 memcpy(ptr, buf, l);
3256 invalidate_and_set_dirty(mr, addr1, l);
13eb76e0 3257 }
4840f10e
JK
3258
3259 if (release_lock) {
3260 qemu_mutex_unlock_iothread();
3261 release_lock = false;
3262 }
3263
13eb76e0
FB
3264 len -= l;
3265 buf += l;
3266 addr += l;
a203ac70
PB
3267
3268 if (!len) {
3269 break;
3270 }
3271
3272 l = len;
efa99a2f 3273 mr = flatview_translate(fv, addr, &addr1, &l, true, attrs);
13eb76e0 3274 }
fd8aaa76 3275
3b643495 3276 return result;
13eb76e0 3277}
8df1cd07 3278
4c6ebbb3 3279/* Called from RCU critical section. */
16620684
AK
3280static MemTxResult flatview_write(FlatView *fv, hwaddr addr, MemTxAttrs attrs,
3281 const uint8_t *buf, int len)
ac1970fb 3282{
eb7eeb88 3283 hwaddr l;
eb7eeb88
PB
3284 hwaddr addr1;
3285 MemoryRegion *mr;
3286 MemTxResult result = MEMTX_OK;
eb7eeb88 3287
4c6ebbb3 3288 l = len;
efa99a2f 3289 mr = flatview_translate(fv, addr, &addr1, &l, true, attrs);
4c6ebbb3
PB
3290 result = flatview_write_continue(fv, addr, attrs, buf, len,
3291 addr1, l, mr);
a203ac70
PB
3292
3293 return result;
3294}
3295
3296/* Called within RCU critical section. */
16620684
AK
3297MemTxResult flatview_read_continue(FlatView *fv, hwaddr addr,
3298 MemTxAttrs attrs, uint8_t *buf,
3299 int len, hwaddr addr1, hwaddr l,
3300 MemoryRegion *mr)
a203ac70
PB
3301{
3302 uint8_t *ptr;
3303 uint64_t val;
3304 MemTxResult result = MEMTX_OK;
3305 bool release_lock = false;
eb7eeb88 3306
a203ac70 3307 for (;;) {
eb7eeb88
PB
3308 if (!memory_access_is_direct(mr, false)) {
3309 /* I/O case */
3310 release_lock |= prepare_mmio_access(mr);
3311 l = memory_access_size(mr, l, addr1);
6d3ede54
PM
3312 result |= memory_region_dispatch_read(mr, addr1, &val, l, attrs);
3313 stn_p(buf, l, val);
eb7eeb88
PB
3314 } else {
3315 /* RAM case */
f5aa69bd 3316 ptr = qemu_ram_ptr_length(mr->ram_block, addr1, &l, false);
eb7eeb88
PB
3317 memcpy(buf, ptr, l);
3318 }
3319
3320 if (release_lock) {
3321 qemu_mutex_unlock_iothread();
3322 release_lock = false;
3323 }
3324
3325 len -= l;
3326 buf += l;
3327 addr += l;
a203ac70
PB
3328
3329 if (!len) {
3330 break;
3331 }
3332
3333 l = len;
efa99a2f 3334 mr = flatview_translate(fv, addr, &addr1, &l, false, attrs);
a203ac70
PB
3335 }
3336
3337 return result;
3338}
3339
b2a44fca
PB
3340/* Called from RCU critical section. */
3341static MemTxResult flatview_read(FlatView *fv, hwaddr addr,
3342 MemTxAttrs attrs, uint8_t *buf, int len)
a203ac70
PB
3343{
3344 hwaddr l;
3345 hwaddr addr1;
3346 MemoryRegion *mr;
eb7eeb88 3347
b2a44fca 3348 l = len;
efa99a2f 3349 mr = flatview_translate(fv, addr, &addr1, &l, false, attrs);
b2a44fca
PB
3350 return flatview_read_continue(fv, addr, attrs, buf, len,
3351 addr1, l, mr);
ac1970fb
AK
3352}
3353
b2a44fca
PB
3354MemTxResult address_space_read_full(AddressSpace *as, hwaddr addr,
3355 MemTxAttrs attrs, uint8_t *buf, int len)
3356{
3357 MemTxResult result = MEMTX_OK;
3358 FlatView *fv;
3359
3360 if (len > 0) {
3361 rcu_read_lock();
3362 fv = address_space_to_flatview(as);
3363 result = flatview_read(fv, addr, attrs, buf, len);
3364 rcu_read_unlock();
3365 }
3366
3367 return result;
3368}
3369
4c6ebbb3
PB
3370MemTxResult address_space_write(AddressSpace *as, hwaddr addr,
3371 MemTxAttrs attrs,
3372 const uint8_t *buf, int len)
3373{
3374 MemTxResult result = MEMTX_OK;
3375 FlatView *fv;
3376
3377 if (len > 0) {
3378 rcu_read_lock();
3379 fv = address_space_to_flatview(as);
3380 result = flatview_write(fv, addr, attrs, buf, len);
3381 rcu_read_unlock();
3382 }
3383
3384 return result;
3385}
3386
db84fd97
PB
3387MemTxResult address_space_rw(AddressSpace *as, hwaddr addr, MemTxAttrs attrs,
3388 uint8_t *buf, int len, bool is_write)
3389{
3390 if (is_write) {
3391 return address_space_write(as, addr, attrs, buf, len);
3392 } else {
3393 return address_space_read_full(as, addr, attrs, buf, len);
3394 }
3395}
3396
a8170e5e 3397void cpu_physical_memory_rw(hwaddr addr, uint8_t *buf,
ac1970fb
AK
3398 int len, int is_write)
3399{
5c9eb028
PM
3400 address_space_rw(&address_space_memory, addr, MEMTXATTRS_UNSPECIFIED,
3401 buf, len, is_write);
ac1970fb
AK
3402}
3403
582b55a9
AG
3404enum write_rom_type {
3405 WRITE_DATA,
3406 FLUSH_CACHE,
3407};
3408
2a221651 3409static inline void cpu_physical_memory_write_rom_internal(AddressSpace *as,
582b55a9 3410 hwaddr addr, const uint8_t *buf, int len, enum write_rom_type type)
d0ecd2aa 3411{
149f54b5 3412 hwaddr l;
d0ecd2aa 3413 uint8_t *ptr;
149f54b5 3414 hwaddr addr1;
5c8a00ce 3415 MemoryRegion *mr;
3b46e624 3416
41063e1e 3417 rcu_read_lock();
d0ecd2aa 3418 while (len > 0) {
149f54b5 3419 l = len;
bc6b1cec
PM
3420 mr = address_space_translate(as, addr, &addr1, &l, true,
3421 MEMTXATTRS_UNSPECIFIED);
3b46e624 3422
5c8a00ce
PB
3423 if (!(memory_region_is_ram(mr) ||
3424 memory_region_is_romd(mr))) {
b242e0e0 3425 l = memory_access_size(mr, l, addr1);
d0ecd2aa 3426 } else {
d0ecd2aa 3427 /* ROM/RAM case */
0878d0e1 3428 ptr = qemu_map_ram_ptr(mr->ram_block, addr1);
582b55a9
AG
3429 switch (type) {
3430 case WRITE_DATA:
3431 memcpy(ptr, buf, l);
845b6214 3432 invalidate_and_set_dirty(mr, addr1, l);
582b55a9
AG
3433 break;
3434 case FLUSH_CACHE:
3435 flush_icache_range((uintptr_t)ptr, (uintptr_t)ptr + l);
3436 break;
3437 }
d0ecd2aa
FB
3438 }
3439 len -= l;
3440 buf += l;
3441 addr += l;
3442 }
41063e1e 3443 rcu_read_unlock();
d0ecd2aa
FB
3444}
3445
582b55a9 3446/* used for ROM loading : can write in RAM and ROM */
2a221651 3447void cpu_physical_memory_write_rom(AddressSpace *as, hwaddr addr,
582b55a9
AG
3448 const uint8_t *buf, int len)
3449{
2a221651 3450 cpu_physical_memory_write_rom_internal(as, addr, buf, len, WRITE_DATA);
582b55a9
AG
3451}
3452
3453void cpu_flush_icache_range(hwaddr start, int len)
3454{
3455 /*
3456 * This function should do the same thing as an icache flush that was
3457 * triggered from within the guest. For TCG we are always cache coherent,
3458 * so there is no need to flush anything. For KVM / Xen we need to flush
3459 * the host's instruction cache at least.
3460 */
3461 if (tcg_enabled()) {
3462 return;
3463 }
3464
2a221651
EI
3465 cpu_physical_memory_write_rom_internal(&address_space_memory,
3466 start, NULL, len, FLUSH_CACHE);
582b55a9
AG
3467}
3468
6d16c2f8 3469typedef struct {
d3e71559 3470 MemoryRegion *mr;
6d16c2f8 3471 void *buffer;
a8170e5e
AK
3472 hwaddr addr;
3473 hwaddr len;
c2cba0ff 3474 bool in_use;
6d16c2f8
AL
3475} BounceBuffer;
3476
3477static BounceBuffer bounce;
3478
ba223c29 3479typedef struct MapClient {
e95205e1 3480 QEMUBH *bh;
72cf2d4f 3481 QLIST_ENTRY(MapClient) link;
ba223c29
AL
3482} MapClient;
3483
38e047b5 3484QemuMutex map_client_list_lock;
72cf2d4f
BS
3485static QLIST_HEAD(map_client_list, MapClient) map_client_list
3486 = QLIST_HEAD_INITIALIZER(map_client_list);
ba223c29 3487
e95205e1
FZ
3488static void cpu_unregister_map_client_do(MapClient *client)
3489{
3490 QLIST_REMOVE(client, link);
3491 g_free(client);
3492}
3493
33b6c2ed
FZ
3494static void cpu_notify_map_clients_locked(void)
3495{
3496 MapClient *client;
3497
3498 while (!QLIST_EMPTY(&map_client_list)) {
3499 client = QLIST_FIRST(&map_client_list);
e95205e1
FZ
3500 qemu_bh_schedule(client->bh);
3501 cpu_unregister_map_client_do(client);
33b6c2ed
FZ
3502 }
3503}
3504
e95205e1 3505void cpu_register_map_client(QEMUBH *bh)
ba223c29 3506{
7267c094 3507 MapClient *client = g_malloc(sizeof(*client));
ba223c29 3508
38e047b5 3509 qemu_mutex_lock(&map_client_list_lock);
e95205e1 3510 client->bh = bh;
72cf2d4f 3511 QLIST_INSERT_HEAD(&map_client_list, client, link);
33b6c2ed
FZ
3512 if (!atomic_read(&bounce.in_use)) {
3513 cpu_notify_map_clients_locked();
3514 }
38e047b5 3515 qemu_mutex_unlock(&map_client_list_lock);
ba223c29
AL
3516}
3517
38e047b5 3518void cpu_exec_init_all(void)
ba223c29 3519{
38e047b5 3520 qemu_mutex_init(&ram_list.mutex);
20bccb82
PM
3521 /* The data structures we set up here depend on knowing the page size,
3522 * so no more changes can be made after this point.
3523 * In an ideal world, nothing we did before we had finished the
3524 * machine setup would care about the target page size, and we could
3525 * do this much later, rather than requiring board models to state
3526 * up front what their requirements are.
3527 */
3528 finalize_target_page_bits();
38e047b5 3529 io_mem_init();
680a4783 3530 memory_map_init();
38e047b5 3531 qemu_mutex_init(&map_client_list_lock);
ba223c29
AL
3532}
3533
e95205e1 3534void cpu_unregister_map_client(QEMUBH *bh)
ba223c29
AL
3535{
3536 MapClient *client;
3537
e95205e1
FZ
3538 qemu_mutex_lock(&map_client_list_lock);
3539 QLIST_FOREACH(client, &map_client_list, link) {
3540 if (client->bh == bh) {
3541 cpu_unregister_map_client_do(client);
3542 break;
3543 }
ba223c29 3544 }
e95205e1 3545 qemu_mutex_unlock(&map_client_list_lock);
ba223c29
AL
3546}
3547
3548static void cpu_notify_map_clients(void)
3549{
38e047b5 3550 qemu_mutex_lock(&map_client_list_lock);
33b6c2ed 3551 cpu_notify_map_clients_locked();
38e047b5 3552 qemu_mutex_unlock(&map_client_list_lock);
ba223c29
AL
3553}
3554
16620684 3555static bool flatview_access_valid(FlatView *fv, hwaddr addr, int len,
eace72b7 3556 bool is_write, MemTxAttrs attrs)
51644ab7 3557{
5c8a00ce 3558 MemoryRegion *mr;
51644ab7
PB
3559 hwaddr l, xlat;
3560
3561 while (len > 0) {
3562 l = len;
efa99a2f 3563 mr = flatview_translate(fv, addr, &xlat, &l, is_write, attrs);
5c8a00ce
PB
3564 if (!memory_access_is_direct(mr, is_write)) {
3565 l = memory_access_size(mr, l, addr);
eace72b7 3566 if (!memory_region_access_valid(mr, xlat, l, is_write, attrs)) {
51644ab7
PB
3567 return false;
3568 }
3569 }
3570
3571 len -= l;
3572 addr += l;
3573 }
3574 return true;
3575}
3576
16620684 3577bool address_space_access_valid(AddressSpace *as, hwaddr addr,
fddffa42
PM
3578 int len, bool is_write,
3579 MemTxAttrs attrs)
16620684 3580{
11e732a5
PB
3581 FlatView *fv;
3582 bool result;
3583
3584 rcu_read_lock();
3585 fv = address_space_to_flatview(as);
eace72b7 3586 result = flatview_access_valid(fv, addr, len, is_write, attrs);
11e732a5
PB
3587 rcu_read_unlock();
3588 return result;
16620684
AK
3589}
3590
715c31ec 3591static hwaddr
16620684 3592flatview_extend_translation(FlatView *fv, hwaddr addr,
53d0790d
PM
3593 hwaddr target_len,
3594 MemoryRegion *mr, hwaddr base, hwaddr len,
3595 bool is_write, MemTxAttrs attrs)
715c31ec
PB
3596{
3597 hwaddr done = 0;
3598 hwaddr xlat;
3599 MemoryRegion *this_mr;
3600
3601 for (;;) {
3602 target_len -= len;
3603 addr += len;
3604 done += len;
3605 if (target_len == 0) {
3606 return done;
3607 }
3608
3609 len = target_len;
16620684 3610 this_mr = flatview_translate(fv, addr, &xlat,
efa99a2f 3611 &len, is_write, attrs);
715c31ec
PB
3612 if (this_mr != mr || xlat != base + done) {
3613 return done;
3614 }
3615 }
3616}
3617
6d16c2f8
AL
3618/* Map a physical memory region into a host virtual address.
3619 * May map a subset of the requested range, given by and returned in *plen.
3620 * May return NULL if resources needed to perform the mapping are exhausted.
3621 * Use only for reads OR writes - not for read-modify-write operations.
ba223c29
AL
3622 * Use cpu_register_map_client() to know when retrying the map operation is
3623 * likely to succeed.
6d16c2f8 3624 */
ac1970fb 3625void *address_space_map(AddressSpace *as,
a8170e5e
AK
3626 hwaddr addr,
3627 hwaddr *plen,
f26404fb
PM
3628 bool is_write,
3629 MemTxAttrs attrs)
6d16c2f8 3630{
a8170e5e 3631 hwaddr len = *plen;
715c31ec
PB
3632 hwaddr l, xlat;
3633 MemoryRegion *mr;
e81bcda5 3634 void *ptr;
ad0c60fa 3635 FlatView *fv;
6d16c2f8 3636
e3127ae0
PB
3637 if (len == 0) {
3638 return NULL;
3639 }
38bee5dc 3640
e3127ae0 3641 l = len;
41063e1e 3642 rcu_read_lock();
ad0c60fa 3643 fv = address_space_to_flatview(as);
efa99a2f 3644 mr = flatview_translate(fv, addr, &xlat, &l, is_write, attrs);
41063e1e 3645
e3127ae0 3646 if (!memory_access_is_direct(mr, is_write)) {
c2cba0ff 3647 if (atomic_xchg(&bounce.in_use, true)) {
41063e1e 3648 rcu_read_unlock();
e3127ae0 3649 return NULL;
6d16c2f8 3650 }
e85d9db5
KW
3651 /* Avoid unbounded allocations */
3652 l = MIN(l, TARGET_PAGE_SIZE);
3653 bounce.buffer = qemu_memalign(TARGET_PAGE_SIZE, l);
e3127ae0
PB
3654 bounce.addr = addr;
3655 bounce.len = l;
d3e71559
PB
3656
3657 memory_region_ref(mr);
3658 bounce.mr = mr;
e3127ae0 3659 if (!is_write) {
16620684 3660 flatview_read(fv, addr, MEMTXATTRS_UNSPECIFIED,
5c9eb028 3661 bounce.buffer, l);
8ab934f9 3662 }
6d16c2f8 3663
41063e1e 3664 rcu_read_unlock();
e3127ae0
PB
3665 *plen = l;
3666 return bounce.buffer;
3667 }
3668
e3127ae0 3669
d3e71559 3670 memory_region_ref(mr);
16620684 3671 *plen = flatview_extend_translation(fv, addr, len, mr, xlat,
53d0790d 3672 l, is_write, attrs);
f5aa69bd 3673 ptr = qemu_ram_ptr_length(mr->ram_block, xlat, plen, true);
e81bcda5
PB
3674 rcu_read_unlock();
3675
3676 return ptr;
6d16c2f8
AL
3677}
3678
ac1970fb 3679/* Unmaps a memory region previously mapped by address_space_map().
6d16c2f8
AL
3680 * Will also mark the memory as dirty if is_write == 1. access_len gives
3681 * the amount of memory that was actually read or written by the caller.
3682 */
a8170e5e
AK
3683void address_space_unmap(AddressSpace *as, void *buffer, hwaddr len,
3684 int is_write, hwaddr access_len)
6d16c2f8
AL
3685{
3686 if (buffer != bounce.buffer) {
d3e71559
PB
3687 MemoryRegion *mr;
3688 ram_addr_t addr1;
3689
07bdaa41 3690 mr = memory_region_from_host(buffer, &addr1);
d3e71559 3691 assert(mr != NULL);
6d16c2f8 3692 if (is_write) {
845b6214 3693 invalidate_and_set_dirty(mr, addr1, access_len);
6d16c2f8 3694 }
868bb33f 3695 if (xen_enabled()) {
e41d7c69 3696 xen_invalidate_map_cache_entry(buffer);
050a0ddf 3697 }
d3e71559 3698 memory_region_unref(mr);
6d16c2f8
AL
3699 return;
3700 }
3701 if (is_write) {
5c9eb028
PM
3702 address_space_write(as, bounce.addr, MEMTXATTRS_UNSPECIFIED,
3703 bounce.buffer, access_len);
6d16c2f8 3704 }
f8a83245 3705 qemu_vfree(bounce.buffer);
6d16c2f8 3706 bounce.buffer = NULL;
d3e71559 3707 memory_region_unref(bounce.mr);
c2cba0ff 3708 atomic_mb_set(&bounce.in_use, false);
ba223c29 3709 cpu_notify_map_clients();
6d16c2f8 3710}
d0ecd2aa 3711
a8170e5e
AK
3712void *cpu_physical_memory_map(hwaddr addr,
3713 hwaddr *plen,
ac1970fb
AK
3714 int is_write)
3715{
f26404fb
PM
3716 return address_space_map(&address_space_memory, addr, plen, is_write,
3717 MEMTXATTRS_UNSPECIFIED);
ac1970fb
AK
3718}
3719
a8170e5e
AK
3720void cpu_physical_memory_unmap(void *buffer, hwaddr len,
3721 int is_write, hwaddr access_len)
ac1970fb
AK
3722{
3723 return address_space_unmap(&address_space_memory, buffer, len, is_write, access_len);
3724}
3725
0ce265ff
PB
3726#define ARG1_DECL AddressSpace *as
3727#define ARG1 as
3728#define SUFFIX
3729#define TRANSLATE(...) address_space_translate(as, __VA_ARGS__)
0ce265ff
PB
3730#define RCU_READ_LOCK(...) rcu_read_lock()
3731#define RCU_READ_UNLOCK(...) rcu_read_unlock()
3732#include "memory_ldst.inc.c"
1e78bcc1 3733
1f4e496e
PB
3734int64_t address_space_cache_init(MemoryRegionCache *cache,
3735 AddressSpace *as,
3736 hwaddr addr,
3737 hwaddr len,
3738 bool is_write)
3739{
48564041
PB
3740 AddressSpaceDispatch *d;
3741 hwaddr l;
3742 MemoryRegion *mr;
3743
3744 assert(len > 0);
3745
3746 l = len;
3747 cache->fv = address_space_get_flatview(as);
3748 d = flatview_to_dispatch(cache->fv);
3749 cache->mrs = *address_space_translate_internal(d, addr, &cache->xlat, &l, true);
3750
3751 mr = cache->mrs.mr;
3752 memory_region_ref(mr);
3753 if (memory_access_is_direct(mr, is_write)) {
53d0790d
PM
3754 /* We don't care about the memory attributes here as we're only
3755 * doing this if we found actual RAM, which behaves the same
3756 * regardless of attributes; so UNSPECIFIED is fine.
3757 */
48564041 3758 l = flatview_extend_translation(cache->fv, addr, len, mr,
53d0790d
PM
3759 cache->xlat, l, is_write,
3760 MEMTXATTRS_UNSPECIFIED);
48564041
PB
3761 cache->ptr = qemu_ram_ptr_length(mr->ram_block, cache->xlat, &l, true);
3762 } else {
3763 cache->ptr = NULL;
3764 }
3765
3766 cache->len = l;
3767 cache->is_write = is_write;
3768 return l;
1f4e496e
PB
3769}
3770
3771void address_space_cache_invalidate(MemoryRegionCache *cache,
3772 hwaddr addr,
3773 hwaddr access_len)
3774{
48564041
PB
3775 assert(cache->is_write);
3776 if (likely(cache->ptr)) {
3777 invalidate_and_set_dirty(cache->mrs.mr, addr + cache->xlat, access_len);
3778 }
1f4e496e
PB
3779}
3780
3781void address_space_cache_destroy(MemoryRegionCache *cache)
3782{
48564041
PB
3783 if (!cache->mrs.mr) {
3784 return;
3785 }
3786
3787 if (xen_enabled()) {
3788 xen_invalidate_map_cache_entry(cache->ptr);
3789 }
3790 memory_region_unref(cache->mrs.mr);
3791 flatview_unref(cache->fv);
3792 cache->mrs.mr = NULL;
3793 cache->fv = NULL;
3794}
3795
3796/* Called from RCU critical section. This function has the same
3797 * semantics as address_space_translate, but it only works on a
3798 * predefined range of a MemoryRegion that was mapped with
3799 * address_space_cache_init.
3800 */
3801static inline MemoryRegion *address_space_translate_cached(
3802 MemoryRegionCache *cache, hwaddr addr, hwaddr *xlat,
bc6b1cec 3803 hwaddr *plen, bool is_write, MemTxAttrs attrs)
48564041
PB
3804{
3805 MemoryRegionSection section;
3806 MemoryRegion *mr;
3807 IOMMUMemoryRegion *iommu_mr;
3808 AddressSpace *target_as;
3809
3810 assert(!cache->ptr);
3811 *xlat = addr + cache->xlat;
3812
3813 mr = cache->mrs.mr;
3814 iommu_mr = memory_region_get_iommu(mr);
3815 if (!iommu_mr) {
3816 /* MMIO region. */
3817 return mr;
3818 }
3819
3820 section = address_space_translate_iommu(iommu_mr, xlat, plen,
3821 NULL, is_write, true,
2f7b009c 3822 &target_as, attrs);
48564041
PB
3823 return section.mr;
3824}
3825
3826/* Called from RCU critical section. address_space_read_cached uses this
3827 * out of line function when the target is an MMIO or IOMMU region.
3828 */
3829void
3830address_space_read_cached_slow(MemoryRegionCache *cache, hwaddr addr,
3831 void *buf, int len)
3832{
3833 hwaddr addr1, l;
3834 MemoryRegion *mr;
3835
3836 l = len;
bc6b1cec
PM
3837 mr = address_space_translate_cached(cache, addr, &addr1, &l, false,
3838 MEMTXATTRS_UNSPECIFIED);
48564041
PB
3839 flatview_read_continue(cache->fv,
3840 addr, MEMTXATTRS_UNSPECIFIED, buf, len,
3841 addr1, l, mr);
3842}
3843
3844/* Called from RCU critical section. address_space_write_cached uses this
3845 * out of line function when the target is an MMIO or IOMMU region.
3846 */
3847void
3848address_space_write_cached_slow(MemoryRegionCache *cache, hwaddr addr,
3849 const void *buf, int len)
3850{
3851 hwaddr addr1, l;
3852 MemoryRegion *mr;
3853
3854 l = len;
bc6b1cec
PM
3855 mr = address_space_translate_cached(cache, addr, &addr1, &l, true,
3856 MEMTXATTRS_UNSPECIFIED);
48564041
PB
3857 flatview_write_continue(cache->fv,
3858 addr, MEMTXATTRS_UNSPECIFIED, buf, len,
3859 addr1, l, mr);
1f4e496e
PB
3860}
3861
3862#define ARG1_DECL MemoryRegionCache *cache
3863#define ARG1 cache
48564041
PB
3864#define SUFFIX _cached_slow
3865#define TRANSLATE(...) address_space_translate_cached(cache, __VA_ARGS__)
48564041
PB
3866#define RCU_READ_LOCK() ((void)0)
3867#define RCU_READ_UNLOCK() ((void)0)
1f4e496e
PB
3868#include "memory_ldst.inc.c"
3869
5e2972fd 3870/* virtual memory access for debug (includes writing to ROM) */
f17ec444 3871int cpu_memory_rw_debug(CPUState *cpu, target_ulong addr,
b448f2f3 3872 uint8_t *buf, int len, int is_write)
13eb76e0
FB
3873{
3874 int l;
a8170e5e 3875 hwaddr phys_addr;
9b3c35e0 3876 target_ulong page;
13eb76e0 3877
79ca7a1b 3878 cpu_synchronize_state(cpu);
13eb76e0 3879 while (len > 0) {
5232e4c7
PM
3880 int asidx;
3881 MemTxAttrs attrs;
3882
13eb76e0 3883 page = addr & TARGET_PAGE_MASK;
5232e4c7
PM
3884 phys_addr = cpu_get_phys_page_attrs_debug(cpu, page, &attrs);
3885 asidx = cpu_asidx_from_attrs(cpu, attrs);
13eb76e0
FB
3886 /* if no physical page mapped, return an error */
3887 if (phys_addr == -1)
3888 return -1;
3889 l = (page + TARGET_PAGE_SIZE) - addr;
3890 if (l > len)
3891 l = len;
5e2972fd 3892 phys_addr += (addr & ~TARGET_PAGE_MASK);
2e38847b 3893 if (is_write) {
5232e4c7
PM
3894 cpu_physical_memory_write_rom(cpu->cpu_ases[asidx].as,
3895 phys_addr, buf, l);
2e38847b 3896 } else {
5232e4c7
PM
3897 address_space_rw(cpu->cpu_ases[asidx].as, phys_addr,
3898 MEMTXATTRS_UNSPECIFIED,
5c9eb028 3899 buf, l, 0);
2e38847b 3900 }
13eb76e0
FB
3901 len -= l;
3902 buf += l;
3903 addr += l;
3904 }
3905 return 0;
3906}
038629a6
DDAG
3907
3908/*
3909 * Allows code that needs to deal with migration bitmaps etc to still be built
3910 * target independent.
3911 */
20afaed9 3912size_t qemu_target_page_size(void)
038629a6 3913{
20afaed9 3914 return TARGET_PAGE_SIZE;
038629a6
DDAG
3915}
3916
46d702b1
JQ
3917int qemu_target_page_bits(void)
3918{
3919 return TARGET_PAGE_BITS;
3920}
3921
3922int qemu_target_page_bits_min(void)
3923{
3924 return TARGET_PAGE_BITS_MIN;
3925}
a68fe89c 3926#endif
13eb76e0 3927
8e4a424b
BS
3928/*
3929 * A helper function for the _utterly broken_ virtio device model to find out if
3930 * it's running on a big endian machine. Don't do this at home kids!
3931 */
98ed8ecf
GK
3932bool target_words_bigendian(void);
3933bool target_words_bigendian(void)
8e4a424b
BS
3934{
3935#if defined(TARGET_WORDS_BIGENDIAN)
3936 return true;
3937#else
3938 return false;
3939#endif
3940}
3941
76f35538 3942#ifndef CONFIG_USER_ONLY
a8170e5e 3943bool cpu_physical_memory_is_io(hwaddr phys_addr)
76f35538 3944{
5c8a00ce 3945 MemoryRegion*mr;
149f54b5 3946 hwaddr l = 1;
41063e1e 3947 bool res;
76f35538 3948
41063e1e 3949 rcu_read_lock();
5c8a00ce 3950 mr = address_space_translate(&address_space_memory,
bc6b1cec
PM
3951 phys_addr, &phys_addr, &l, false,
3952 MEMTXATTRS_UNSPECIFIED);
76f35538 3953
41063e1e
PB
3954 res = !(memory_region_is_ram(mr) || memory_region_is_romd(mr));
3955 rcu_read_unlock();
3956 return res;
76f35538 3957}
bd2fa51f 3958
e3807054 3959int qemu_ram_foreach_block(RAMBlockIterFunc func, void *opaque)
bd2fa51f
MH
3960{
3961 RAMBlock *block;
e3807054 3962 int ret = 0;
bd2fa51f 3963
0dc3f44a 3964 rcu_read_lock();
99e15582 3965 RAMBLOCK_FOREACH(block) {
e3807054
DDAG
3966 ret = func(block->idstr, block->host, block->offset,
3967 block->used_length, opaque);
3968 if (ret) {
3969 break;
3970 }
bd2fa51f 3971 }
0dc3f44a 3972 rcu_read_unlock();
e3807054 3973 return ret;
bd2fa51f 3974}
d3a5038c 3975
b895de50
CLG
3976int qemu_ram_foreach_migratable_block(RAMBlockIterFunc func, void *opaque)
3977{
3978 RAMBlock *block;
3979 int ret = 0;
3980
3981 rcu_read_lock();
3982 RAMBLOCK_FOREACH(block) {
3983 if (!qemu_ram_is_migratable(block)) {
3984 continue;
3985 }
3986 ret = func(block->idstr, block->host, block->offset,
3987 block->used_length, opaque);
3988 if (ret) {
3989 break;
3990 }
3991 }
3992 rcu_read_unlock();
3993 return ret;
3994}
3995
d3a5038c
DDAG
3996/*
3997 * Unmap pages of memory from start to start+length such that
3998 * they a) read as 0, b) Trigger whatever fault mechanism
3999 * the OS provides for postcopy.
4000 * The pages must be unmapped by the end of the function.
4001 * Returns: 0 on success, none-0 on failure
4002 *
4003 */
4004int ram_block_discard_range(RAMBlock *rb, uint64_t start, size_t length)
4005{
4006 int ret = -1;
4007
4008 uint8_t *host_startaddr = rb->host + start;
4009
4010 if ((uintptr_t)host_startaddr & (rb->page_size - 1)) {
4011 error_report("ram_block_discard_range: Unaligned start address: %p",
4012 host_startaddr);
4013 goto err;
4014 }
4015
4016 if ((start + length) <= rb->used_length) {
db144f70 4017 bool need_madvise, need_fallocate;
d3a5038c
DDAG
4018 uint8_t *host_endaddr = host_startaddr + length;
4019 if ((uintptr_t)host_endaddr & (rb->page_size - 1)) {
4020 error_report("ram_block_discard_range: Unaligned end address: %p",
4021 host_endaddr);
4022 goto err;
4023 }
4024
4025 errno = ENOTSUP; /* If we are missing MADVISE etc */
4026
db144f70
DDAG
4027 /* The logic here is messy;
4028 * madvise DONTNEED fails for hugepages
4029 * fallocate works on hugepages and shmem
4030 */
4031 need_madvise = (rb->page_size == qemu_host_page_size);
4032 need_fallocate = rb->fd != -1;
4033 if (need_fallocate) {
4034 /* For a file, this causes the area of the file to be zero'd
4035 * if read, and for hugetlbfs also causes it to be unmapped
4036 * so a userfault will trigger.
e2fa71f5
DDAG
4037 */
4038#ifdef CONFIG_FALLOCATE_PUNCH_HOLE
4039 ret = fallocate(rb->fd, FALLOC_FL_PUNCH_HOLE | FALLOC_FL_KEEP_SIZE,
4040 start, length);
db144f70
DDAG
4041 if (ret) {
4042 ret = -errno;
4043 error_report("ram_block_discard_range: Failed to fallocate "
4044 "%s:%" PRIx64 " +%zx (%d)",
4045 rb->idstr, start, length, ret);
4046 goto err;
4047 }
4048#else
4049 ret = -ENOSYS;
4050 error_report("ram_block_discard_range: fallocate not available/file"
4051 "%s:%" PRIx64 " +%zx (%d)",
4052 rb->idstr, start, length, ret);
4053 goto err;
e2fa71f5
DDAG
4054#endif
4055 }
db144f70
DDAG
4056 if (need_madvise) {
4057 /* For normal RAM this causes it to be unmapped,
4058 * for shared memory it causes the local mapping to disappear
4059 * and to fall back on the file contents (which we just
4060 * fallocate'd away).
4061 */
4062#if defined(CONFIG_MADVISE)
4063 ret = madvise(host_startaddr, length, MADV_DONTNEED);
4064 if (ret) {
4065 ret = -errno;
4066 error_report("ram_block_discard_range: Failed to discard range "
4067 "%s:%" PRIx64 " +%zx (%d)",
4068 rb->idstr, start, length, ret);
4069 goto err;
4070 }
4071#else
4072 ret = -ENOSYS;
4073 error_report("ram_block_discard_range: MADVISE not available"
d3a5038c
DDAG
4074 "%s:%" PRIx64 " +%zx (%d)",
4075 rb->idstr, start, length, ret);
db144f70
DDAG
4076 goto err;
4077#endif
d3a5038c 4078 }
db144f70
DDAG
4079 trace_ram_block_discard_range(rb->idstr, host_startaddr, length,
4080 need_madvise, need_fallocate, ret);
d3a5038c
DDAG
4081 } else {
4082 error_report("ram_block_discard_range: Overrun block '%s' (%" PRIu64
4083 "/%zx/" RAM_ADDR_FMT")",
4084 rb->idstr, start, length, rb->used_length);
4085 }
4086
4087err:
4088 return ret;
4089}
4090
ec3f8c99 4091#endif
a0be0c58
YZ
4092
4093void page_size_init(void)
4094{
4095 /* NOTE: we can always suppose that qemu_host_page_size >=
4096 TARGET_PAGE_SIZE */
a0be0c58
YZ
4097 if (qemu_host_page_size == 0) {
4098 qemu_host_page_size = qemu_real_host_page_size;
4099 }
4100 if (qemu_host_page_size < TARGET_PAGE_SIZE) {
4101 qemu_host_page_size = TARGET_PAGE_SIZE;
4102 }
4103 qemu_host_page_mask = -(intptr_t)qemu_host_page_size;
4104}
5e8fd947
AK
4105
4106#if !defined(CONFIG_USER_ONLY)
4107
4108static void mtree_print_phys_entries(fprintf_function mon, void *f,
4109 int start, int end, int skip, int ptr)
4110{
4111 if (start == end - 1) {
4112 mon(f, "\t%3d ", start);
4113 } else {
4114 mon(f, "\t%3d..%-3d ", start, end - 1);
4115 }
4116 mon(f, " skip=%d ", skip);
4117 if (ptr == PHYS_MAP_NODE_NIL) {
4118 mon(f, " ptr=NIL");
4119 } else if (!skip) {
4120 mon(f, " ptr=#%d", ptr);
4121 } else {
4122 mon(f, " ptr=[%d]", ptr);
4123 }
4124 mon(f, "\n");
4125}
4126
4127#define MR_SIZE(size) (int128_nz(size) ? (hwaddr)int128_get64( \
4128 int128_sub((size), int128_one())) : 0)
4129
4130void mtree_print_dispatch(fprintf_function mon, void *f,
4131 AddressSpaceDispatch *d, MemoryRegion *root)
4132{
4133 int i;
4134
4135 mon(f, " Dispatch\n");
4136 mon(f, " Physical sections\n");
4137
4138 for (i = 0; i < d->map.sections_nb; ++i) {
4139 MemoryRegionSection *s = d->map.sections + i;
4140 const char *names[] = { " [unassigned]", " [not dirty]",
4141 " [ROM]", " [watch]" };
4142
4143 mon(f, " #%d @" TARGET_FMT_plx ".." TARGET_FMT_plx " %s%s%s%s%s",
4144 i,
4145 s->offset_within_address_space,
4146 s->offset_within_address_space + MR_SIZE(s->mr->size),
4147 s->mr->name ? s->mr->name : "(noname)",
4148 i < ARRAY_SIZE(names) ? names[i] : "",
4149 s->mr == root ? " [ROOT]" : "",
4150 s == d->mru_section ? " [MRU]" : "",
4151 s->mr->is_iommu ? " [iommu]" : "");
4152
4153 if (s->mr->alias) {
4154 mon(f, " alias=%s", s->mr->alias->name ?
4155 s->mr->alias->name : "noname");
4156 }
4157 mon(f, "\n");
4158 }
4159
4160 mon(f, " Nodes (%d bits per level, %d levels) ptr=[%d] skip=%d\n",
4161 P_L2_BITS, P_L2_LEVELS, d->phys_map.ptr, d->phys_map.skip);
4162 for (i = 0; i < d->map.nodes_nb; ++i) {
4163 int j, jprev;
4164 PhysPageEntry prev;
4165 Node *n = d->map.nodes + i;
4166
4167 mon(f, " [%d]\n", i);
4168
4169 for (j = 0, jprev = 0, prev = *n[0]; j < ARRAY_SIZE(*n); ++j) {
4170 PhysPageEntry *pe = *n + j;
4171
4172 if (pe->ptr == prev.ptr && pe->skip == prev.skip) {
4173 continue;
4174 }
4175
4176 mtree_print_phys_entries(mon, f, jprev, j, prev.skip, prev.ptr);
4177
4178 jprev = j;
4179 prev = *pe;
4180 }
4181
4182 if (jprev != ARRAY_SIZE(*n)) {
4183 mtree_print_phys_entries(mon, f, jprev, j, prev.skip, prev.ptr);
4184 }
4185 }
4186}
4187
4188#endif